


default search action
IRPS 2018: Burlingame, CA, USA
- IEEE International Reliability Physics Symposium, IRPS 2018, Burlingame, CA, USA, March 11-15, 2018. IEEE 2018, ISBN 978-1-5386-5479-8
- Yasunori Tateno, Yasuyo Kurachi, Hiroshi Yamamoto, Takashi Nakabayashi:
Investigation of the pulsed-IV degradation mechanism of GaN-HEMT under high temperature storage tests. 2-1 - Lucile Arnaud, Stéphane Moreau
, Amadine Jouve, Imed Jani, Didier Lattard, F. Fournel, C. Euvrard, Y. Exbrayat, Viorel Balan, Nicolas Bresson, S. Lhostis, J. Jourdon, E. Deloffre, S. Guillaumet, Alexis Farcy, Simon Gousseau, M. Arnoux:
Fine pitch 3D interconnections with hybrid bonding technology: From process robustness to reliability. 4 - Yang Xiu, Samuel Sagan, Advika Battini, Xiao Ma, Maxim Raginsky, Elyse Rosenbaum:
Stochastic modeling of air electrostatic discharge parameters. 2 - Xavier Garros, Alexandre Subirats, Gilles Reimbold, Fred Gaillard, Cheikh Diouf, X. Federspiel, Vincent Huard, M. Rafik:
A new method for quickly evaluating reversible and permanent components of the BTI degradation. 6-1 - Bhawani Shankar, Ankit Soni, Sayak Dutta Gupta
, R. Sengupta
, Heena Khand
, N. Mohan, Srinivasan Raghavan, Mayank Shrivastava:
On the trap assisted stress induced safe operating area limits of AlGaN/GaN HEMTs. 4 - Chen Wu, O. Varela Pedreira
, Alicja Lesniewska, Yunlong Li, Ivan Ciofi, Zsolt Tökei, Kris Croes:
Insights into metal drift induced failure in MOL and BEOL. 3 - Christine S. Hau-Riege, Huilin Xu, You-Wen Yau, Manasi S. Kakade, Jianfeng Li, Xiaonan Zhang, Hosain Farr:
Electromigration of multi-solder ball test structures. 5 - Jifa Hao, Amartya Ghosh, Mark Rinehimer, Joe Yedinak, Muhammad Ashraful Alam:
BVDSS (drain to source breakdown voltage) instability in shielded gate trench power MOSFETs. 6 - Jae-Gyung Ahn, Ping-Chin Yeh, Jonathan Chang:
Electromigration failure rate of redundant via. 1 - Riddhi Jitendrakumar Shah, Florian Cacho, Vincent Huard, Souhir Mhira, D. Arora, Pankaj Agarwal, Shubham Kumar, S. Balaraman, Bijoy Kumar Singh, Lorena Anghel:
Investigation of speed sensors accuracy for process and aging compensation. 5 - Kai-Hsin Chuang, Erik Bury, Robin Degraeve, Ben Kaczer, T. Kallstenius, Guido Groeseneken
, Dimitri Linten, Ingrid Verbauwhede
:
A multi-bit/cell PUF using analog breakdown positions in CMOS. 2-1 - Yi-Pin Fang, Anthony S. Oates:
Soft errors in 7nm FinFET SRAMs with integrated fan-out packaging. 4 - Kodai Yamada, Haruki Maruoka, Jun Furuta, Kazutoshi Kobayashi:
Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process. 3-1 - Younggeun Ji, Jeonghoon Kim, Jungin Kim, Miji Lee, Jaeheon Noh, Taeyoung Jeong, Juhyeon Shin, Junho Kim, Young Heo, Ung Cho, Hyun-Chul Sagong, Junekyun Park, Yeonsik Choo, Gilhwan Do, Hoyoung Kang, Eunkyeong Choi, Dongyoon Sun, Changki Kang, Sangchul Shin, Sangwoo Pae:
Reliability characterization of advanced CMOS image sensor (CIS) with 3D stack and in-pixel DTI. 3 - Toru Sugiyama, Kohei Oasa, Yasunobu Saito, Akira Yoshioka, Takuo Kikuchi, Aya Shindome, Tatsuya Ohguro, Takeshi Hamamoto:
Evaluation methodology for current collapse phenomenon of GaN HEMTs. 3 - Anthonin Verdy, Gabriele Navarro
, Mathieu Bernard, Sophie Chevalliez, Niccolo Castellani, Emmanuel Nolot, Julien Garrione, Pierre Noe
, Guillaume Bourgeois, Veronique Sousa, Marie Claire Cyrille, Etienne Nowak
:
Carbon electrode for Ge-Se-Sb based OTS selector for ultra low leakage current and outstanding endurance. 6 - Chris Kendrick, Michael Cook, Jeff P. Gambino, T. Myers, J. Slezak, T. Hirano, T. Sano, Y. Watanabe, K. Ozeki:
Polysilicon resistor stability under voltage stress for safe-operating area characterization. 4-1 - Cameron McNairy:
Exascale fault tolerance challenge and approaches. 3 - C. S. Premachandran, Seungman Choi, Salvatore Cimino, Thuy Tran-Quinn, Lloyd Burrell, Patrick Justison:
Reliability challenges for 2.5D/3D integration: An overview. 5 - Kin P. Cheung:
SiC power MOSFET gate oxide breakdown reliability - Current status. 2 - Y. H. Liu, H. Y. Lin, C. M. Jiang, Tahui Wang, W. J. Tsai, T. C. Lu, K. C. Chen, Chih-Yuan Lu:
Investigation of data pattern effects on nitride charge lateral migration in a charge trap flash memory by using a random telegraph signal method. 6 - Kuo-Hsuan Meng, Mohamed Moosa
, Cynthia A. Torres, James W. Miller:
A case study of ESD trigger circuit: Time-out and stability. 1 - Guido T. Sasse:
Hot carrier induced TDDB in HV MOS: Lifetime model and extrapolation to use conditions. 3-1 - Sami Alghamdi
, Mengwei Si, Lingming Yang, Peide D. Ye:
Low frequency noise in MOS2 negative capacitance field-effect transistor. 1 - Barry J. O'Sullivan, Simon Van Beek, Philippe J. Roussel, Sidharth Rao
, Wonsub Kim, S. Couet, Johan Swerts, Farrukh Yasin, Dimitri Crotti, Dimitri Linten, Gouri Sankar Kar:
Extended RVS characterisation of STT-MRAM devices: Enabling detection of AP/P switching and breakdown. 5-1 - James H. Stathis:
The physics of NBTI: What do we really know? 2 - Giovanni Landi
, Carlo Barone
, Costantino Mauro
, Sergio Pagano, Heinz-Christoph Neitzert:
Evaluation of silicon, organic, and perovskite solar cell reliability with low-frequency noise spectroscopy. 6 - T. W. Lin, S. H. Ku, C. H. Cheng, C. W. Lee, Ijen Huang, Wen-Jer Tsai, T. C. Lu, W. P. Lu, K. C. Chen, Tahui Wang, Chih-Yuan Lu:
Chip-level characterization and RTN-induced error mitigation beyond 20nm floating gate flash memory. 6-1 - Baozhen Li, Andrew Kim, Paul McLaughlin, Barry P. Linder, Cathryn Christiansen:
Electromigration characteristics of power grid like structures. 4 - Pai-Yu Chen, Shimeng Yu
:
Reliability perspective of resistive synaptic devices on the neuromorphic system performance. 5 - Bhawani Shankar, Ankit Soni, Sayak Dutta Gupta
, Mayank Shrivastava:
Safe Operating Area (SOA) reliability of Polarization Super Junction (PSJ) GaN FETs. 4 - Yoko Yoshimura, Kensuke Ota, Masumi Saitoh:
Hot carrier degradation, TDDB, and 1/f noise in Poly-Si Tri-gate nanowire transistor. 5 - Kirby K. H. Smithe, Zhongwei Zhu, Connor S. Bailey, Eric Pop
, Alex Yoon:
Investigation of monolayer MX2 as sub-nanometer copper diffusion barriers. 1 - Erik Bury, Adrian Vaisman Chasin, Ben Kaczer, Kai-Hsin Chuang, Jacopo Franco, Marko Simicic
, Pieter Weckx, Dimitri Linten:
Self-heating-aware CMOS reliability characterization using degradation maps. 2 - Srikanth Jagannathan, Kumar Abhishek, Nihaar N. Mahatme, Ender Yilmaz:
Design of aging aware 5 Gbps LVDS transmitter for automotive applications. 5 - Katja Puschkarsky
, Tibor Grasser, Thomas Aichinger, Wolfgang Gustin, Hans Reisinger:
Understanding and modeling transient threshold voltage instabilities in SiC MOSFETs. 3 - Yuichiro Mitani, Yusuke Higashi
, Yasushi Nakasaki:
Study on mechanism of thermal curing in ultra-thin gate dielectrics. 3 - Marina Yamaguchi, Shosuke Fujii, Yuuichi Kamimuta, Shoichi Kabuyanagi, Tsunehiro Ino
, Yasushi Nakasaki, Riichiro Takaishi, Reika Ichihara, Masumi Saitoh:
Impact of specific failure mechanisms on endurance improvement for HfO2-based ferroelectric tunnel junction memory. 6 - Gilbert Sassine, Cecile Nail, Luc Tillie, Diego Alfaro Robayo, Alexandre Levisse, Carlo Cagli, Khalil El Hajjam, Jean-Francois Nodin, Elisa Vianello, Mathieu Bernard, Gabriel Molas, Etienne Nowak
:
Sub-pJ consumption and short latency time in RRAM arrays for high endurance applications. 2-1 - Thibault Kempf, Vincenzo Della Marca, L. Baron, F. Maugain, Francesco La Rosa, Stephan Niel, Arnaud Régnier, Jean-Michel Portal, Pascal Masson:
Threshold voltage bitmap analysis methodology: Application to a 512kB 40nm Flash memory test chip. 6 - Seungman Choi, Cathryn Christiansen, Linjun Cao, James Zhang, Ronald Filippi, Tian Shen, Kong Boon Yeap, Sean P. Ogden, Haojun Zhang, Bianzhu Fu, Patrick Justison:
Effect of metal line width on electromigration of BEOL Cu interconnects. 4 - Philippe Nivelle, Tom Borgers, Eszter Voroshazi
, Jef Poortmans, Jan D'Haen
, Ward De Ceuninck, Michael Daenen
:
Mechanical and chemical adhesion at the encapsulant interfaces in laminated photovoltaic modules. 6 - C. Zhou, Keith A. Jenkins, P. I. Chuang, Christos Vezyrtzis:
Effect of HCI degradation on the variability of MOSFETS. 1 - Subramanian S. Iyer, Adeel Ahmad Bajwa:
Reliability challenges in advance packaging. 4 - Nirmal R. Saxena, Sanu Mathew, Krishna Saraswat:
Keynote 1: The road to resilient computing in autonomous driving is paved with redundancy. 1-3 - Pengyu Wei, Javad Meiguni, David J. Pommerenke
:
System-level design for ESD protection on multiple IO interfaces. 2 - Yiming Qu, Ran Cheng, Wei Liu, Junkang Li, Bich-Yen Nguyen, Olivier Faynot, Nuo Xu, Bing Chen, Yi Zhao:
Effect of measurement speed (μs-800 ps) on the characterization of reliability behaviors for FDSOI nMOSFETs. 6 - Jiejie Zhu, Bin Hou, Lixiang Chen, Qing Zhu, Ling Yang, Xiaowei Zhou, Peng Zhang, Xiaohua Ma, Yue Hao:
Threshold voltage shift and interface/border trapping mechanism in Al2O3/AlGaN/GaN MOS-HEMTs. 1 - Chia-Chi Fan, Chun-Yuan Tu, Ming-Huei Lin, Chun-Yen Chang, Chun-Hu Cheng, Yen-Liang Chen, Guan-Lin Liou, Chien Liu, Wu-Ching Chou, Hsiao-Hsuan Hsu:
Interface engineering of ferroelectric negative capacitance FET for hysteresis-free switch and reliability improvement. 8-1 - Alberto Castellazzi
, Asad Fayyaz
, Siwei Zhu, Thorsten Oeder, Martin Pfost:
Single pulse short-circuit robustness and repetitive stress aging of GaN GITs. 4 - Ferdinando Iucolano, Antonino Maurizio Parisi, Santo Reina, Alessandro Chini:
A novel GaN HEMT degradation mechanism observed during HTST test. 4-1 - F. Griggio, James Palmer, F. Pan, N. Toledo, Anthony Schmitz, Ilan Tsameret, R. Kasim, Gerald S. Leatherman, Jeffery Hicks, A. Madhavan, J. Shin, J. Steigerwald, A. Yeoh, C. Auth:
Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology. 6 - Balaji Narasimham, Tim Wu, Jung K. Wang, Bruce Conway:
Evaluation of the system-level SER performance of gigabit ethernet transceiver devices. 4 - SangHoon Shin, Yen-Pu Chen, Woojin Ahn, Honglin Guo, Byron Williams, Jeff West, Tom Bonifield, Dhanoop Varghese, Srikanth Krishnan, Muhammad Ashraful Alam:
High voltage time-dependent dielectric breakdown in stacked intermetal dielectrics. 9-1 - Junji Senzaki, Shohei Hayashi, Yoshiyuki Yonezawa, Hajime Okumura:
Challenges to realize highly reliable SiC power devices: From the current status and issues of SiC wafers. 3 - Kurt J. Lezon, Shi-Jie Wen, Y.-F. Dan, Richard Wong, Bharat L. Bhuva:
Single-event effects on optical transceiver. 6-1 - M. Rafik, A. P. Nguyen, Xavier Garros, M. Arabi, X. Federspiel, Cheikh Diouf:
AC TDDB extensive study for an enlargement of its impact and benefit on circuit lifetime assessment. 4 - Philippe J. Roussel, Adrian Vaisman Chasin, Steven Demuynck, Naoto Horiguchi, Dimitri Linten, Anda Mocuta:
New methodology for modelling MOL TDDB coping with variability. 3 - Jia Hao Lim, Nagarajan Raghavan
, Sen Mei, Vinayak Bharat Naik, Jae Hyun Kwon, S. M. Noh, B. Liu, E. H. Toh, Nyuk Leong Chung, Robin Chao, K. H. Lee, Kin Leong Pey
:
Area and pulsewidth dependence of bipolar TDDB in MgO magnetic tunnel junction. 6 - Akin Akturk, James McGarrity, Neil Goldsman, Daniel J. Lichtenwalner
, Brett Hull, Dave Grider, Richard Wilkins:
The effects of radiation on the terrestrial operation of SiC MOSFETs. 2 - David Z. Gao, Jack Strand
, Al-Moatasem El-Sayed, Alexander L. Shluger, Andrea Padovani, Luca Larcher:
Role of electron and hole trapping in the degradation and breakdown of SiO2 and HfO2 films. 5 - Vamsi Putcha, Jacopo Franco, Abhitosh Vais
, Ben Kaczer, S. Sioncke, Dimitri Linten, Guido Groeseneken
:
Impact of slow and fast oxide traps on In0.53Ga0.47As device operation studied using CET maps. 5 - Pin-Shiang Chen, Shou-Chung Lee, A. S. Oates, Chee Wee Liu:
BEOL TDDB reliability modeling and lifetime prediction using critical energy to breakdown. 6 - Ayanori Ikoshi, Masahiro Toki, Hiroto Yamagiwa, Daijiro Arisawa, Masahiro Hikita, Kazuki Suzuki, Manabu Yanagihara, Yasuhiro Uemoto, Kenichiro Tanaka, Tetsuzo Ueda
:
Lifetime evaluation for Hybrid-Drain-embedded Gate Injection Transistor (HD-GIT) under practical switching operations. 4 - Kangwook Lee:
High-density fan-out technology for advanced SiP and 3D heterogeneous integration. 4 - Krzysztof Domanski:
Latch-up in FinFET technologies. 2 - Riccardo Mariani:
An overview of autonomous vehicles safety. 6 - Kento Kakikawa, Yuji Yamagishi
, Yasuo Cho, Katsuto Tanahashi, Hidetaka Takato
:
Charge state evaluation of passivation layers for silicon solar cells by scanning nonlinear dielectric microscopy. 1 - Ahmad Khan
, Frede Blaabjerg:
Modified transformerless dual buck inverter with improved lifetime for PV applications. 6 - C. Monachon, Marcin Stefan Zielinski, J. Berney
, D. Poppitz, Andreas Graff, Steffen Breuer
, Lutz Kirste
:
Cathodoluminescence spectroscopy for failure analysis and process development of GaN-based microelectronic devices. 6 - Jacopo Franco, Ben Kaczer, Adrian Vaisman Chasin, Erik Bury, Dimitri Linten:
Hot electron and hot hole induced degradation of SiGe p-FinFETs studied by degradation maps in the entire bias space. 5 - Sofie Beyne, Shibesh Dutta, Olalla Varela Pedreira
, Niels Bosman, Christoph Adelmann
, Ingrid De Wolf, Zsolt Tökei
, Kristof Croes:
The first observation of p-type electromigration failure in full ruthenium interconnects. 6 - Rajat Sinha
, Prasenjit Bhattacharya
, Sanjiv Sambandan, Mayank Shrivastava:
On the ESD behavior of a-Si: H based thin film transistors: Physical insights, design and technological implications. 3 - Jeff Peter Gambino, H. Soleimani, I. Rahim, B. Riebeek, L. Sheng, G. Hosey, H. Truong, Gavin D. R. Hall, R. Jerome, D. Price:
Device reliability for CMOS image sensors with backside through-silicon vias. 5 - Jay Sarkar, Cory Peterson, Amir Sanayei:
Machine-learned assessment and prediction of robust solid state storage system reliability physics. 3 - Arno Stockman, Eleonora Canato, Alaleh Tajalli, Matteo Meneghini
, Gaudenzio Meneghesso, Enrico Zanoni
, Peter Moens, Benoit Bakeroot
:
On the origin of the leakage current in p-gate AlGaN/GaN HEMTs. 4 - Anapathur V. Ramesh, Shilpa M. Reddy, Dan K. Fitzsimmons:
Airplane system design for reliability and quality. 3 - Pengpeng Ren, Changze Liu, Sanping Wan, Jiayang Zhang, Zhuoqing Yu, Nie Liu, Yongsheng Sun, Runsheng Wang, Canhui Zhan, Zhenghao Gan, Waisum Wong, Yu Xia, Ru Huang:
New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology. 3-1 - Andy Fenner, Mark Porter, Randy Crutchfield:
Making the connection between physics of failure and system-level reliability for medical devices. 6 - Yi Ching Ong, Shou-Chung Lee, A. S. Oates:
Percolation defect nucleation and growth as a description of the statistics of electrical breakdown for gate, MOL and BEOL dielectrics. 7-1 - Omar Chihani, Loic Théolier
, Jean-Yves Delétage
, Eric Woirgard, Alain Bensoussan, André Durier:
Temperature and voltage effects on HTRB and HTGB stresses for AlGaN/GaN HEMTs. 2-1 - Fernando L. Aguirre
, Sebastián Matías Pazos
, Felix Palumbo, Sivan Fadida, Roy Winter, Moshe Eizenberg:
Impact of forming gas annealing on the degradation dynamics of Ge-based MOS stacks. 3-1 - Taiki Uemura, Soonyoung Lee, Dahye Min, Ihlhwa Moon, Jungman Lim, Seungbae Lee, Hyun-Chul Sagong, Sangwoo Pae:
Investigation of alpha-induced single event transient (SET) in 10 nm FinFET logic circuit. 1 - Hyunjin Kim, Minjung Jin, Hyun-Chul Sagong, Jinju Kim, Ukjin Jung, Minhyuck Choi, Junekyun Park, Sangchul Shin, Sangwoo Pae:
A systematic study of gate dielectric TDDB in FinFET technology. 4 - Yu Zhou, Diing Shenp Ang, Pranav Sairam Kalaga, Sankara Rao Gollu:
Oxide breakdown path for optical sensing at the nanoscale level. 8-1 - Miaomiao Wang, Richard G. Southwick, Kangguo Cheng, James H. Stathis:
Lateral profiling of HCI induced damage in ultra-scaled FinFET devices with Id-Vd characteristics. 6 - Marta Pedro
, Javier Martín-Martínez, E. Miranda, Rosana Rodríguez, Montserrat Nafría
, M. B. González
, Francesca Campabadal
:
Device variability tolerance of a RRAM-based self-organizing neuromorphic system. 4-1 - Miky Lee, K. Kim, D. Lim, D. Cho, Ck. Han:
Weibull cumulative distribution function (CDF) analysis with life expectancy endurance test result of power window switch. 1 - Sol-Kyu Lee, Kyung-Tae Jang, Seol-Min Yi, Young-Chang Joo:
Successive breakdown mode of time-dependent dielectric breakdown for Cu interconnects and lifetime enhancement under dynamic bias stress. 4 - Yasuyo Kurachi, Hiroshi Yamamoto, Yukinori Nose, Satoshi Shimizu, Yasunori Tateno, Takumi Yonemura, Masato Furukawa:
Failure mode analysis of GaN-HEMT under high temperature operation. 3-1 - Daniel J. Lichtenwalner
, Brett Hull, Edward Van Brunt, Shadi Sabri, Donald A. Gajewski, Dave Grider, Scott Allen, John W. Palmour, Akin Akturk, James McGarrity:
Reliability studies of SiC vertical power MOSFETs. 2 - William Vandendaele, Xavier Garros, Thomas Lorin, Erwan Morvan, A. Torres, René Escoffier
, Marie-Anne Jaud, Marc Plissonnier, Fred Gaillard:
A novel insight of pBTI degradation in GaN-on-Si E-mode MOSc-HEMT. 4 - Anisur Rahman, Javier Dacuña, Pinakpani Nayak, Gerald S. Leatherman, Stephen Ramey:
Reliability studies of a 10nm high-performance and low-power CMOS technology featuring 3rd generation FinFET and 5th generation HK/MG. 6 - Andrea Padovani, Luca Larcher:
Time-dependent dielectric breakdown statistics in SiO2 and HfO2 dielectrics: Insights from a multi-scale modeling approach. 3 - Andrew Kim, Baozhen Li, Barry P. Linder:
Transient self-heating modeling and simulations of back-end-of-line interconnects. 2-1 - P. C. Su, C. M. Jiang, C. W. Wang, Tahui Wang:
Correlation between SET-state current level and read-disturb failure time in a resistive switching memory. 1 - Xianhu Liang, Bin Yuan, Yuanyuan Shi
, Fei Hui, Xu Jing, Mario Lanza, Felix Palumbo:
Enhanced reliability of hexagonal boron nitride dielectric stacks due to high thermal conductivity. 6-1 - C. Chung, D. Kobayashi, K. Hirose:
Threshold ion parameters of line-type soft-errors in biased thin-BOX SOI SRAMs: Difference between sensitivities to terrestrial and space radiation. 4 - I. K. Chen, S. C. Chen, S. Mukhopadhyay, D. S. Huang, J. H. Lee, Y. S. Tsai, Ryan Lu, Jun He:
The physical mechanism investigation of off-state drain bias TDDB and its implication in advance HK/MG FinFETs. 4 - D. S. Huang, J. H. Lee, Y. S. Tsai, Y. F. Wang, Y. S. Huang, C. K. Lin, Ryan Lu, Jun He:
Comprehensive device and product level reliability studies on advanced CMOS technologies featuring 7nm high-k metal gate FinFET transistors. 6 - Andreas Graff, Michél Simon-Najasek, David Poppitz, Frank Altmann
:
Physical failure analysis methods for wide band gap semiconductor devices. 3 - Gyusung Park, Minsu Kim, Chris H. Kim, Bongjin Kim, Vijay Reddy:
All-digital PLL frequency and phase noise degradation measurements using simple on-chip monitoring circuits. 5 - Heejin Kim, Hayeon Shin, Jiyoung Park, Youngtae Choi, Jongwoo Park:
Statistical modeling and reliability prediction for transient luminance degradation of flexible OLEDs. 3 - Pradeep Lall, Kazi Mirza, David Locker:
Prognostics health management of electronic systems - A reliability physics approach. 6 - Tian Shen, Kong Boon Yeap, Sean P. Ogden, Cathryn Christiansen, Patrick Justison:
New insight on TDDB area scaling methodology of non-Poisson systems. 1 - Ernest Y. Wu, Andrew Kim, Baozhen Li, James H. Stathis:
Elapsed-time statistics of successive breakdown in the presence of variability for dielectric breakdown in BEOL/MOL/FEOL applications. 3 - Mariappan Murugesan, Takafumi Fukushima
, Ji Chel Bea, Hiroyuki Hashimoto, Mitsu Koyanagi:
Intra- and inter-chip electrical interconnection formed by directed self assembly of nanocomposite containing diblock copolymer and nanometal. 4 - Ming-Yi Lee, T.-Y. Chang, W.-F. Hsueh, Li-Kuang Kuo, Ding-Jhang Lin, Yen-Hai Chao, U. J. Tzeng, Chih-Yuan Lu:
Electrical method to localize the high-resistance of nanoscale CoSi2 word-line for OTP memories. 6 - André Clausner, Simon Schlipf, Gottfried Kurz, Michael Otto, Jens Paul, Kay-Uwe Giering
, Jens Warmuth, André Lange, Roland Jancke, Andreas Aal, Rüdiger Rosenkranz, Martin Gall, Ehrenfried Zschech:
Analysis of 28 nm SRAM cell stability under mechanical load applied by nanoindentation. 5 - Deniz Kocaay, Philippe Roussel, Kristof Croes, Ivan Ciofi, Alicja Lesniewska, Ingrid De Wolf:
Method to assess the impact of LER and spacing variation on BEOL dielectric reliability using 2D-field simulations for <20nm spacing. 10-1 - Vincent Huard, Cheikh Ndiaye, M. Arabi, Narendra Parihar, X. Federspiel, Souhir Mhira, S. Mahapatra, Alain Bravaix
:
Key parameters driving transistor degradation in advanced strained SiGe channels. 4-1 - R. E. Stahbush, Nadeemullah A. Mahadik:
Defects affecting SiC power device reliability. 2