


default search action
ISCAS 2012: Seoul, Korea
- 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012. IEEE 2012, ISBN 978-1-4673-0218-0

- Koushik Maharatna

, Evangelos B. Mazomenos
, John M. Morgan, Silvio Bonfiglio:
Towards the development of next-generation remote healthcare system: Some practical considerations. 1-4 - Mitja Lustrek, Bozidara Cvetkovic

, Simon Kozina:
Energy expenditure estimation with wearable accelerometers. 5-8 - Erik Fernández, Héctor Solar, Joaquín de No, Iñaki Gutiérrez, Roc Berenguer

:
A 150nW CMOS novel temperature sensor for remote patient monitoring based on an auto-resonant active inductor architecture. 9-12 - Mohamad Sawan, Muhammad Tariqus Salam, Sebastien Gelinas, Jerome Le Lan, Frederic Lesage, Dang Khoa Nguyen:

Combined NIRS-EEG remote recordings for epilepsy and stroke real-time monitoring. 13-16 - Shen-Jui Huang, Sau-Gee Chen:

A memory-efficient continuous-flow FFT processor for Wimax application. 17-20 - Min-Woo Lee, Ji-Hwan Yoon, Jongsun Park

:
High-speed tournament givens rotation-based QR Decomposition Architecture for MIMO Receiver. 21-24 - Jérôme L. V. M. Stanislaus, Tinoosh Mohsenin:

High performance compressive sensing reconstruction hardware with QRD process. 29-32 - C. Vennila

, Kumar Palaniappan CT, Kodati Vamsi Krishna, G. Lakshminarayanan
, Seok-Bum Ko
:
Dynamic partial reconfigurable FFT/IFFT pruning for OFDM based Cognitive radio. 33-36 - Gang Li, Yong Ching Lim, Chaogeng Huang, Hong Xu:

A novel digital IIR filter design strategy - Structure-based discrete coefficient filters. 37-40 - Aimin Jiang

, Hon Keung Kwan
:
Efficient design of sparse FIR filters in WLS sense. 41-44 - Wen Bin Ye, Ya Jun Yu

:
Design of high order and wide coefficient wordlength multiplierless FIR filters with low hardware cost using genetic algorithm. 45-48 - Martin Kumm, Peter Zipf

, Mathias Faust
, Chip-Hong Chang
:
Pipelined adder graph optimization for high speed multiple constant multiplication. 49-52 - Iman Moazzen, Panajotis Agathoklis:

Broadband beamfoming using Nested Planar Arrays and 3D FIR frustum filters. 53-56 - Andrew C. R. Angus, H. Martin Reekie:

STF behaviour in optimised for ELD cascaded CT Delta-Sigma Modulators. 57-60 - Rafael T. Blumer, César Augusto Prior, João B. Martins:

14-bit DR, 20 kHz BW, 2-2 MASH SI-Σ modulator using low-distortion feedforward topology. 61-64 - Tao He, Yang Jiang

, Yun Du, Sai-Weng Sin
, Seng-Pan U., Rui Paulo Martins:
A 10MHz BW 78dB DR CT ΣΔ modulator with novel switched high linearity VCO-based quantizer. 65-68 - Anant S. Kamath, Biman Chattopadhyay:

A wide output range, mismatch tolerant Sigma Delta DAC for digital PLL in 90nm CMOS. 69-72 - Pascal Witte

, John G. Kauffman, Timon Brückner, Joachim Becker, Maurits Ortmanns:
An error estimation technique for lowpass and bandpass ΣΔ ADC feedback DACs using a residual test signal. 73-76 - Thi Hong Tran, Leonardo Lanante

, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi:
Hardware Implementation of High Throughput RC4 algorithm. 77-80 - Shohreh Sharif Mansouri, Elena Dubrova:

Power-security trade-off in multi-level power analysis countermeasures for FSR-based stream ciphers. 81-84 - Youngjune Gwon, H. T. Kung, Dario Vlah, Keng-Yen Huang, Yi-Min Tsai:

Statistical screening for IC Trojan detection. 85-88 - Jiafeng Xie, Pramod Kumar Meher, Jianjun He:

Low-latency area-delay-efficient systolic multiplier over GF(2m) for a wider class of trinomials using parallel register sharing. 89-92 - Karl Leboeuf, Roberto Muscedere, Majid Ahmadi:

High performance prime field multiplication for GPU. 93-96 - Bo Liu, Siew Kei Lam, Thambipillai Srikanthan, Weiqi Yuan:

Exploiting stable features for iris recognition of defocused images. 97-100 - Huan-Hsiang Lin, Ming-Rung Tsai, Chun-Fu Chen, Szu-Yu Chen, Yi-Hua Liao

, Gwo Giun Lee, Chi-Kuang Sun
:
Cell segmentation and NC ratio analysis of third harmonic generation virtual biopsy images based on marker-controlled gradient watershed algorithm. 101-104 - Joon Hyuk Park, Jelena Platisa

, Vincent A. Pieribone
, Eugenio Culurciello:
A second-generation imaging system for freely moving animals. 105-108 - Atahar Mostafa, Khan A. Wahid, Seok-Bum Ko

:
A low-power subsample-based image compression algorithm for capsule endoscopy. 109-112 - Ming Yang, Chaitali Chakrabarti:

Design of orthogonal coded excitation for synthetic aperture imaging in ultrasound systems. 113-116 - Shouyi Yin, Chongyong Yin, Leibo Liu

, Min Zhu, Yansheng Wang, Shaojun Wei:
Reducing configuration contexts for coarse-grained reconfigurable architecture. 121-124 - Mineo Kaneko, Jian Li:

Post-silicon skew tuning algorithm utilizing setup and hold timing tests. 125-128 - Jomu George Mani Paret, Otmane Aït Mohamed:

Modeling discrete event system with distributions using SystemVerilog. 129-132 - Qifei Wang, Ming-Ting Sun, Gary J. Sullivan

, Jin Li:
Complexity-reduced geometry partition search and high efficiency prediction for video coding. 133-136 - Yongsheng Zhang, Hongkai Xiong

, Chang Wen Chen:
A novel Slepian-Wolf decoding algorithm exploiting geometric regularity constraints with anisotropic MRF modeling. 137-140 - Danny Hong, Jill M. Boyce

, Stephan Wenger:
Picture orientation information in video compression. 141-144 - Shiqi Wang

, Jingjing Fu, Yan Lu, Shipeng Li
, Wen Gao:
Content-aware layered compound video compression. 145-148 - Viet Anh Nguyen, Jiangbo Lu

, Minh N. Do
:
Efficient video compression methods for a lightweight tele-immersive video chat system. 149-152 - Yao Chen, Fengling Han

, Haibin Liu, Jinhu Lu
:
3D reconstruction from planar points: A candidate method for authentication of fingerprint images captured by mobile devices. 153-156 - Georges Kaddoum

, Mai Vu, François Gagnon
:
Chaotic symbolic dynamics modulation in MIMO systems. 157-160 - Min Xiao, Wei Xing Zheng:

Nonlinear dynamics and limit cycle bifurcation of a fractional-order three-node recurrent neural network. 161-164 - Weiguo Xia, Ming Cao

:
Cluster synchronization and controllability of complex multi-agent networks. 165-168 - Shaolin Tan

, Jinhu Lu
, Xinghuo Yu
, David J. Hill
:
Exploring evolutionary dynamics in a class of structured populations. 169-172 - Jordi Albo-Canals, Joan Navarro

, D. Serra-Puig, Xavier Vilasís-Cardona:
A robot swarm as a cellular multicore processor. 173-176 - Tuba Ayhan, Ramazan Yeniceri

, Selman Ergunay, Müstak Erhan Yalçin:
Hybrid processor population for odor processing. 177-180 - Leonardo Nicolosi, Ronald Tetzlaff

, Felix Abt, Andreas Heider, Andreas Blug, Heinrich Höfler:
Novel algorithm for the real time multi-feature detection in laser beam welding. 181-184 - Kartheek Chandu, Mikel Stanich, Barry M. Trager, Chai Wah Wu

:
A GPU implementation of color digital halftoning using the Direct Binary Search algorithm. 185-188 - Zoltán Nagy, András Kiss, Ákos Zarándy, Tamás Zsedrovits

, Bálint Vanek
, Tamas Peni, Jozsef Bokor
, Tamás Roska:
Volume and power optimized high-performance system for UAV collision avoidance. 189-192 - Sivylla E. Paraskevopoulou, Timothy G. Constandinou

:
An ultra-low-power front-end neural interface with automatic gain for uncalibrated monitoring. 193-196 - Edward K. F. Lee:

A sub-0.5V, 1.5µW rail-to-rail constant gm opamp and its filter application. 197-200 - Aldo Pena-Perez, Franco Maloberti:

Performance enhanced op-amp for 65nm CMOS technologies and below. 201-204 - Siddharth Seth, Boris Murmann

:
Settling time and noise optimization of a three-stage operational transconductance amplifier. 205-208 - Ming-Huang Li

, Cheng-Syun Li, Li-Jen Hou, Yu-Chia Liu, Sheng-Shian Li
:
A 1.57mW 99dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillators. 209-212 - Meng Huang

, Chi Kong Tse
, Siu Chung Wong
:
Line-frequency instability of three-phase PFC power supplies connecting to non-ideal power grid. 213-216 - Guan-Chun Huang, Tsorng-Juu Liang, Kai-Hui Chen:

Losses analysis and low standby losses quasi-resonant flyback converter design. 217-220 - Yi-Ping Su, Shih-Wei Wang, Yu-Huei Lee, Ke-Horng Chen

:
Dynamic sawtooth compensation (DSC) technique with self-tuning mode selection (SMS) for current-mode Buck-Boost converter. 221-224 - Wei Zhang, Siu Chung Wong

, Chi Kong Tse
, Qianhong Chen
:
Compensation technique for optimized efficiency and voltage controllability of IPT systems. 225-228 - Y. Zhang, N. H. W. Fong, David C. W. Ng, Ngai Wong

:
Co-simulation of RFIC with bondwire antenna via retarded PEEC method. 229-232 - David Correia, Marcelo dal Alba, Miguel A. Martins, Taimur Gibran Rabuske

, Cesar Ramos Rodrigues
, Jorge R. Fernandes
:
An IR-UWB transmitter with digital pulse duration control. 233-236 - Venumadhav Bhagavatula, Jacques Christophe Rudell:

Transformer feedback based CMOS amplifiers. 237-240 - Elbert Bechthum, Georgi I. Radulov, Joost Briaire, Govert Geelen, Arthur H. M. van Roermund:

Systematic analysis of the impact of mixing locality on Mixing-DAC linearity for multicarrier GSM. 241-244 - Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu, Ying-Fang Tsao:

A novel low gate-count serializer topology with Multiplexer-Flip-Flops. 245-248 - Chen-Chieh Wang, Sheng-Hsin Lo, Yao-Ning Liu, Chung-Ho Chen:

NetVP: A system-level NETwork Virtual Platform for network accelerator development. 249-252 - Jude Angelo Ambrose, Aleksandar Ignjatovic, Sri Parameswaran

:
CoRaS: A multiprocessor key corruption and random round swapping for power analysis side channel attacks: A DES case study. 253-256 - Ingoo Heo, Sanghyun Park, Yunheung Paek:

Compiler and microarchitectural approaches for register file thermal management. 257-260 - Sebastian Höppner, Chenming Shao, Holger Eisenreich, Georg Ellguth, Mario Ander, René Schüffny:

A power management architecture for fast per-core DVFS in heterogeneous MPSoCs. 261-264 - Mathieu Allard, Patrick Grogan, Yvon Savaria, Jean-Pierre David:

Two-level configuration for FPGA: A new design methodology based on a computing fabric. 265-268 - Patrick Maechler, Norbert Felber, Hubert Kaeslin, Andreas Burg

:
Hardware-efficient random sampling of fourier-sparse signals. 269-272 - Keith Wilhelm, Yehia Massoud:

Compressive sensing based classification of intramuscular electromyographic signals. 273-276 - Jeevan K. Pant, Wu-Sheng Lu, Andreas Antoniou:

Reconstruction of block-sparse signals by using an l2/p-regularized least-squares algorithm. 277-280 - Ahmed Shaharyar Khwaja, Xiao-Ping (Steven) Zhang:

Reconstruction of compressively sensed complex-valued terahertz data. 281-284 - Dalei Wu, Wei-Ping Zhu

, M. N. S. Swamy:
On sparsity issues in compressive sensing based speech enhancement. 285-288 - Oscar Belotti, Edoardo Bonizzoni, Franco Maloberti:

A 1-V 1.1-MHz BW digitally assisted multi-bit multi-rate hybrid CT ΣΔ with 78-dB SFDR. 289-292 - Yang Xu, Baoyong Chi, Zhihua Wang:

Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receivers. 293-296 - Ali Zahabi, Farabi Ibne Jamal, Joachim Becker, Muhammad Anis, Maurits Ortmanns:

Digitally-switched resonators for bandpass integrated transmission line ΣΔ modulators. 297-300 - João L. A. de Melo, Blazej Nowacki, Nuno Paulino

, João Goes
:
Design methodology for Sigma-Delta modulators based on a genetic algorithm using hybrid cost functions. 301-304 - Rui Wang, Xiaoke Wen, Kamran Azadet, Changzhi Li

, Jinghong Chen:
A power-optimized reconfigurable CT ΔΣ modulator in 65nm CMOS. 305-308 - Shijie Hu, Chen Jia, Ke Huang, Chun Zhang, Xuqiang Zheng, Zhihua Wang:

A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOS. 309-312 - Ke Huang, Chen Jia, Xuqiang Zheng, Ni Xu, Chun Zhang, Woogeun Rhee

, Zhihua Wang:
A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technology. 313-316 - Arash Zargaran-Yazd, Shahriar Mirabbasi:

A 25 Gb/s full-rate CDR circuit based on quadrature phase generation in data path. 317-320 - Yong-Hun Kim, Lee-Sup Kim:

A 20 Gbps 1-tap decision feedback equalizer with unfixed tap coefficient. 321-324 - Pervez M. Aziz, Hiroshi Kimura, Amaresh V. Malipatil, Shiva Kotagiri:

A class of downsampled floating tap DFE architectures with application to serial links. 325-328 - Nozomi Hayashi, Akira Taguchi:

Iris feature extraction based on gray-scale morphological skeleton. 329-332 - Xu Yao, Guangmin Sun, Wen-Yen Lin

, Wen-Cheng Chou, Kin Fong Lei
, Ming-Yih Lee:
The design of an in-line accelerometer-based inclination sensing system. 333-336 - Todd J. Freeborn

, Brent Maundy, Ahmed S. Elwakil
:
Improved Cole-Cole parameter extraction from frequency response using least squares fitting. 337-340 - Teng-Chieh Huang, Hsiao-Yu Chen, Wai-Chi Fang:

Real-time obstructive sleep apnea detection based on ECG derived respiration signal. 341-344 - Akitoshi Itai, Arao Funase, Andrzej Cichocki

, Hiroshi Yasukawa:
Non-linear filter based outer product expansion with reference signal for EEG analysis. 345-348 - Wen-Pin Tu, Shih-Wei Wu, Shih-Hsu Huang, Mely Chen Chi:

NBTI-aware dual threshold voltage assignment for leakage power reduction. 349-352 - Shaoxi Wang, Xiaoya Fan, Shengbing Zhang, Ming-e Jing:

Analog layout retargeting with geometric programming and constrains symbolization method. 353-356 - Minbeom Kim, Byung-Gyu Ahn, Jaehwan Kim, Bongki Lee, Jong-Wha Chong:

Thermal aware timing budget for buffer insertion in early stage of physical design. 357-360 - Jai Narayan Tripathi

, Nitin Kumar Chhabra, Raj Kumar Nagpal, Rakesh Malik, Jayanta Mukherjee:
Damping the cavity-mode anti-resonances' peaks on a power plane by swarm intelligence algorithms. 361-364 - Chun-Po Huang, Soon-Jyh Chang, Guan-Ying Huang, Cheng-Wu Lin:

A power-efficient sizing methodology of SAR ADCs. 365-368 - Wei Hu, Oscar C. Au, Lin Sun

, Wenxiu Sun, Lingfeng Xu, Yujun Li:
Adaptive depth map filter for blocking artifacts removal and edge preserving. 369-372 - Yeong-Kang Lai, Yu-Fan Lai, Jung-Wei Lin:

High-quality view synthesis algorithm and architecture for 2D to 3D conversion. 373-376 - Jui-Chiu Chiang, Ping-He Hou, Kai-Che Liu, Wen-Nung Lie:

Multiview texture coding and free viewpoint image synthesis for mesh-based 3D video transmission. 377-380 - Minsu Choi, Jinsang Kim, Won-Kyung Cho, Yunmo Chung:

Low complexity image rectification for multi-view video coding. 381-384 - An-Ti Chiang, Hung-Ming Wang, Jar-Ferr Yang, Jhing-Fa Wang:

A new stereo packing format based on checkerboard sub-sampling for efficient stereo video coding. 385-388 - Xiaoling Xiong, Chi Kong Tse

, Xinbo Ruan:
Bifurcation in standalone photovoltaic-battery hybrid power systems. 389-392 - Ching-Hung Yuen, Oi-Yan Lui, Kwok-Wo Wong:

Application of chaotic maps for simultaneous lossy image compression and encryption. 393-396 - Elena Blokhina

, Dimitri Galayko
, Rhona Wade, Philippe Basset, Orla Feely
:
Bifurcations and chaos in electrostatic vibration energy harvesters. 397-400 - Zbigniew Galias

:
Trapping region for the double scroll attractor. 401-404 - Daisuke Ito, Jun-ichi Imura

, Tetsushi Ueta
, Kazuyuki Aihara:
Energy saving controlling chaos. 405-408 - Beomkyu Shin, Changkyu Seol, Jung-Soo Chung, Jun Jin Kong:

Error control coding and signal processing for flash memories. 409-412 - Kijun Lee, Sejin Lim, Jaehong Kim:

Low-cost, low-power and high-throughput BCH decoder for NAND Flash Memory. 413-415 - Daehyun Kim, Biwoong Chung, Roy E. Kim:

Improved hard-decision decoding LDPC Codec IP design. 416-419 - Byoungjun Park, Sunghoon Cho, Milim Park, Sukkwang Park

, Yunbong Lee, Myoungkwan Cho, Kunok Ahn, Gihyun Bae, Sungwook Park:
Challenges and limitations of NAND flash memory devices based on floating gates. 420-423 - Hyunyoung Shim, Myoungkwan Cho, Kunok Ahn, Gihyun Bae, Sungwook Park:

Novel integration technologies for improving reliability in NAND flash memory. 424-427 - Hariprasath Venkatram, Taehwan Oh, Jon Guerber, Un-Ku Moon:

Class A+ amplifier with controlled positive feedback for discrete-time signal processing circuits. 428-431 - Yi Yang, David M. Binkley, Changzhi Li

:
Using moderate inversion to optimize voltage gain, thermal noise, and settling time in two-stage CMOS amplifiers. 432-435 - Salvatore Pennisi

, Giuseppe Scotti
, Alessandro Trifiletti:
Constant and maximum bandwidth feedback amplifier with adaptive frequency compensation. 436-439 - John Richard E. Hizon, Esther Rodríguez-Villegas

:
A compact linearly tunable low voltage triode OTA using self-cascodes. 440-443 - Loai G. Salem, Yehea Ismail:

Switched-capacitor dc-dc converters with output inductive filter. 444-447 - Ming Liu, Tatsuo Nakagawa, Kenichi Osada:

Fully digital voltage-mode control based on predictive hysteresis method (FDVC-PH) for DC-DC converters. 448-451 - Hadar Dagan, Adam Teman

, Alexander Fish
, Evgeny Pikhay, Vladislav Dayan, Yakov Roizin:
A GIDL free tunneling gate driver for a low power non-volatile memory array. 452-455 - Chu-Hsiang Chia, Pui-Sun Lei, Robert Chen-Hao Chang

:
A high-speed converter with light-load improvement circuit and transient detector. 456-459 - Suhwan Kim, Gabriel A. Rincón-Mora:

Efficiency of switched-inductor dc-dc converter ICs across process technologies. 460-463 - Heng-Ming Hsu, Yi-Te Chou, Yo-Hao Hsu, Yue-Shiang Shu:

An inductorless frequency divider with 15GHz locking range using 90nm CMOS technology. 464-467 - Long Chen, Chuan Wang, Chen Li, Le Ye, Huailin Liao, Ru Huang:

A +21.2 dBm out-of-band IIP3 0.2-3GHz RF front-end using impedance translation technique. 468-471 - Miguel A. Martins, Pui-In Mak

, Rui Paulo Martins:
A 0.02-to-6GHz SDR balun-LNA using a triple-stage inverter-based amplifier. 472-475 - Mark Stoopman, Wouter A. Serdijn, Kathleen Philips:

A robust and large range optimally mismatched RF energy harvester with resonance control loop. 476-479 - Junwhan Ahn, Kiyoung Choi:

Lower-bits cache for low power STT-RAM caches. 480-483 - Hailong Jiao, Volkan Kursun

:
Full-custom design of low leakage data preserving ground gated 6T SRAM cells to facilitate single-ended write operations. 484-487 - Youngkyu Jang, Changnoh Yoon, Jinsang Kim, Won-Kyung Cho:

Low-power variation-aware flip flop. 488-491 - Weng-Geng Ho, Kwen-Siong Chong, Tong Lin, Bah-Hwee Gwee

, Joseph S. Chang:
Energy-delay efficient asynchronous-logic 16×16-bit pipelined multiplier based on Sense Amplifier-Based Pass Transistor Logic. 492-495 - Jin-Fa Lin, Yin-Tsung Hwang, Ming-Hwa Sheu:

Low power 10-transistor full adder design based on degenerate pass transistor logic. 496-499 - Wei Bai, Jiaying Liu

, Jie Ren, Zongming Guo:
Visual-weighted motion compensation frame interpolation with motion vector refinement. 500-503 - Zeng Hu, Houqiang Li, Weiping Li:

An adaptive down-sampling based video coding with hybrid super-resolution method. 504-507 - Cheng-Yen Chang, Cheng-An Chien, Hsiu-Cheng Chang, Jia-Wei Chen, Jiun-In Guo:

A two level mode decision algorithm for H.264 high profile intra encoding. 508-511 - Jingjing Fu, Shiqi Wang

, Yan Lu, Shipeng Li
, Wenjun Zeng
:
Kinect-like depth denoising. 512-515 - Alonso Morgado

, J. Gerardo García-Sánchez, Sohail Asghar, Luis Guerrero, Rocío del Río
, José M. de la Rosa:
A power-scalable concurrent cascade 2-2-2 SC ΣΔ modulator for Software Defined Radio. 516-519 - Yong-Sik Kwak, Kwang-Soo Kim, Gil-Cho Ahn:

A 1.8 V 89.2 dB delta-sigma adc for sensor interface with on-chip reference. 520-523 - Radha S. Rajan, Shanthi Pavan:

Device noise in continuous-time ΔΣ modulators with Switched-Capacitor feedback DACs. 524-527 - Arindam Sanyal, Nan Sun:

A simple and efficient dithering method for vector quantizer based mismatch-shaped ΔΣ DACs. 528-531 - Min-Kyu Kim, Min-Seok Shin, Yun-Rae Jo, Jong-Boo Kim, Jaseung Gou, Sangdong Yoo, Oh-Kyong Kwon:

A ΔΣ-cyclic hybrid ADC for parallel readout sensor applications. 532-535 - Ze-Hua Dong, Zhe-Yan Piao, In-Gul Jang, Jin-Gyun Chung, Chul-Dong Lee:

Design of FlexRay-MOST gateway using static segments and control messages. 536-539 - Dongwan Kim, Wan-Seon Lim, Jongsun Park

:
Dual queue based rate selecting schedule for throughput enhancement in WLANs. 540-543 - Shin-Hun Kang, Jae-Hyun Kim:

QoS-aware network selection for seamless multimedia service. 544-547 - Fabian Steiner, Amine Mezghani, Josef A. Nossek

:
Information theoretic analysis of concurrent information transfer and power gain. 548-551 - Yang Xu, Nan Qi, Zhou Chen, Baoyong Chi, Zhihua Wang:

A hybrid approach to I/Q imbalance self-calibration in reconfigurable low-IF receivers. 552-555 - Ching-Ju Cheng, Shih-Yang Wu, Shih Kang, Tien-Ho Chen, Wai-Chi Fang:

A SoC design for portable 2-dimension oximeter image system. 556-559 - JongKwan Choi, MinGyu Choi, Hyeon-Min Bae:

An efficient data extraction method for high-temporal-and-spatial-resolution near infrared spectroscopy (NIRS) systems. 560-563 - Jordan Bisasky, Darin Chandler, Tinoosh Mohsenin:

A many-core platform implemented for multi-channel seizure detection. 564-567 - Benjamin Y. M. Kwan, Jennifer Y. Y. Kwan

, Hon Keung Kwan
:
Spectral techniques for classifying short exon and intron sequences. 568-571 - Hung Tien Bui:

Pipelined FPGA design of the Goertzel algorithm for exon prediction. 572-575 - Shin-ya Abe, Masao Yanagisawa, Nozomu Togawa

:
An energy-efficient high-level synthesis algorithm for huddle-based distributed-register architectures. 576-579 - Seyyed Ali Hashemi

, Behrouz Nowrouzian:
A novel particle swarm optimization for high-level synthesis of digital filters. 580-583 - Hua-Hsin Yeh, Shih-Hsu Huang, Chun-Hua Cheng:

A formal approach to slack-driven high-level synthesis. 584-587 - Matthew B. Gately, Mark B. Yeary, C. Y. Tang:

Multiple real-constant multiplication with improved cost model and greedy and optimal searches. 588-591 - Omid Sarbishei, Katarzyna Radecka:

Verification of fixed-point datapaths with comparator units using Constrained Arithmetic Transform (CAT). 592-595 - Yiyi Ren, Wenshou Chen, Xiang Xie, Guolin Li, Yangdong Deng, Kai Zhao, Enbo Shi, Zhihua Wang:

A theoretical and empirical error analysis of mobile 3D data acquisition system. 596-599 - Woo-seok Jang, Yo-Sung Ho:

Disparity map acquisition with occlusion handling using warping constraint. 600-603 - Dan Miao, Jingjing Fu, Yan Lu, Shipeng Li

, Chang Wen Chen:
Texture-assisted Kinect depth inpainting. 604-607 - Shen-Fu Hsiao, Jin-Wen Cheng, Wen-Ling Wang, Guan-Fu Yeh:

Low latency design of Depth-Image-Based Rendering using hybrid warping and hole-filling. 608-611 - Ming-Hwa Kim, Lap-Pui Chau

, Wan-Chi Siu:
Keyframe selection for motion capture using motion activity analysis. 612-615 - Pietro De Lellis

, Massimiliano de Magistris, Mario di Bernardo
, Sabato Manfredi
:
Experimental validation of pinning controllability in networked Chua's circuits. 616-619 - Kosuke Matsumura, Takahiro Nagai, Yoko Uwate, Yoshifumi Nishio

:
Analysis of synchronization phenomenon in coupled oscillator chains. 620-623 - Zhiwen Zhu, Henry Leung:

Channel equalization and timing recovery technique for chaotic communications systems. 624-627 - Mark Bradley, Eduard Alarcón, Orla Feely

:
Analysis of limit cycles in a PI digitally controlled buck converter. 628-631 - Satoshi Taoka, Toshimasa Watanabe:

Performance comparison of approximation algorithms for the minimum weight vertex cover problem. 632-635 - Anuroop Vidapalapati, Vineeth Vijayakumaran, Amlan Ganguly, Andres Kwasinski:

NoC architectures with adaptive Code Division Multiple Access based wireless links. 636-639 - Ankit More, Baris Taskin:

A unified design methodology for a hybrid wireless 2-D NoC. 640-643 - Fernando Gehm Moraes

, Guilherme A. Madalozzo, Guilherme M. Castilhos, Everton Alceu Carara:
Proposal and evaluation of a task migration protocol for NoC-based MPSoCs. 644-647 - Liyang Zhou, Ming-e Jing, Liulin Zhong, Zhiyi Yu, Xiaoyang Zeng:

Task-binding based branch-and-bound algorithm for NoC mapping. 648-651 - Debora Matos, Cezar Reinbrecht, Gianluca Palermo

, Jonathan Martinelli, Altamiro Amadeu Susin, Cristina Silvano
, Luigi Carro
:
Floorplan-aware hierarchical NoC topology with GALS interfaces. 652-655 - Chutham Sawigun

, Wannaya Ngamkham, Wouter A. Serdijn:
A 2.6nW, 0.5V, 52dB-DR, 4th-order Gm-C BPF: Moving closer to the FoM's fundamental limit. 656-659 - Dingkun Du, Kofi M. Odame:

An adaptive microphone preamplifier for low power applications. 660-663 - Chamith Wijenayake

, Arjuna Madanayake
, Yongsheng Xu
, Leonid Belostotski
, Leonard T. Bruton:
Discrete space continuous time 2D delay block using 2D all-pass frequency planar networks. 664-667 - Paulina Mariana Maya-Hernández, María Teresa Sanz-Pascual

, Belén Calvo López:
A 1.8V-0.18µm CMOS lock-in amplifier for portable applications. 668-671 - Le Ye, Yixiao Wang, Long Chen, Huailin Liao, Ru Huang:

Widely reconfigurable 8th-order chebyshev analog baseband IC with proposed push-pull op-amp for Software-Defined Radio in 65nm CMOS. 672-675 - Karl D. Peterson, Gabriel A. Rincón-Mora:

High-damping energy-harvesting electrostatic CMOS charger. 676-679 - Jens Masuch, Manuel Delgado-Restituto

, Dusan M. Milosevic, Peter G. M. Baltus
:
An RF-to-DC energy harvester for co-integration in a low-power 2.4 GHz transceiver frontend. 680-683 - Raul Gomez Cid-Fuentes, Herminio Martínez, Alberto Poveda, Eduard Alarcón:

Electronically tunable switch-mode high-efficiency adaptive band-pass filters for energy harvesting applications. 684-687 - Enric Rodriguez, Herminio Martínez, Francisco Guinjoan, Alberto Poveda, Abdelali El Aroudi, Eduard Alarcón:

Ripple-based prediction of fast-scale instabilities in current mode controlled switching converters. 688-691 - Wei-Chung Chen, Chia-Ching Lin, Ke-Horng Chen

:
Differential zero compensator in delay-ripple reshaped constant on-time control for buck converter with multi-layer ceramic capacitors. 692-695 - Wei Tang

, Shoushun Chen, Eugenio Culurciello:
Live demonstration: A FSK-OOK ultra wideband impulse radio system with spontaneous clock and data recovery. 696-700 - Bo Zhao, Shoushun Chen:

Live demonstration: A real-time moving object localization and extraction system. 701 - Johannes Schemmel

, Andreas Grübl, Stephan Hartmann, Alexander Kononov, Christian Mayr, Karlheinz Meier, Sebastian Millner, Johannes Partzsch
, Stefan Schiefer, Stefan Scholze, René Schüffny, Marc-Olivier Schwartz:
Live demonstration: A scaled-down version of the BrainScaleS wafer-scale neuromorphic system. 702 - Bernhard Kohn, Ahmed Nabil Belbachir, Thomas Hahn, Hannes Kaufmann

:
Event-driven body motion analysis for real-time gesture recognition. 703-706 - Jongkil Park, Theodore Yu, Christoph Maier

, Siddharth Joshi, Gert Cauwenberghs
:
Live demonstration: Hierarchical Address-Event Routing architecture for reconfigurable large scale neuromorphic systems. 707-711 - Ray-Shine Run, Yi-Chih Chang, Feng-Chi Cheng:

A straightforward approach of Automatic Parking System - "Training-Recording-Play back". 712-715 - Hakaru Tamukoh, Nadav Bergstein, Kotoko Fujita, Masatoshi Sekine:

Live demonstration: "Internet Booster" a novel WEB application platform accelerated by reconfigurable virtual hardware circuits. 716 - George Sineriz, Michael Kuhlman, Pamela Abshire:

High resolution distance sensing for mini-robots using Time Difference of Arrival. 717-720 - Manuel Domínguez-Morales

, Angel Jiménez-Fernandez, Rafael Paz-Vicente
, Gabriel Jiménez
, Alejandro Linares-Barranco
:
Live demonstration: On the distance estimation of moving targets with a Stereo-Vision AER system. 721-725 - Juan A. Leñero-Bardallo

, Dag Halvdan Bryn, Philipp Häfliger
:
Live demonstration: A bio-inspired asynchronous pixel event tri-color vision sensor. 726 - Ahmed Nabil Belbachir, Manfred Mayerhofer, Daniel Matolin, J. Colineau:

Real-time 360° panoramic views using BiCa360, the fast rotating dynamic vision sensor to up to 10 rotations per Sec. 727-730 - Ahmed Nabil Belbachir, Martin Litzenberger, Stephan Schraml, Michael Hofstätter, Michael D. Bauer, Peter Schön, Martin Humenberger, Christoph Sulzbachner, Tommi Lunden, M. Merne:

CARE: A dynamic stereo vision sensor system for fall detection. 731-734 - Sang-Jin Lee, Omid Kavehei

, Kamran Eshraghian, Kyoung-Rok Cho:
Live demonstration: High fill factor CIS based on single inverter architecture. 735 - Matthew L. Katz, Konstantin Nikolic, Tobi Delbruck

:
Live demonstration: Behavioural emulation of event-based vision sensors. 736-740 - Junhaeng Lee, Tobi Delbruck, Paul K. J. Park, Michael Pfeiffer, Chang-Woo Shin, Hyunsurk Ryu, Byung-Chang Kang:

Live demonstration: Gesture-based remote control using stereo pair of dynamic vision sensors. 741-745 - Moonil Kim, Jae-Sung Rieh, Sanggeun Jeon:

Recent progress in terahertz monolithic integrated circuits. 746-749 - Seo Weon Heo:

Multi-channel DVB-T transmitter design based on the SW/HW co-design method. 750-753 - Jaeha Kim, Sigang Ryu, Byoung-Joo Yoo, Hanseok Kim, Yunju Choi, Deog-Kyoon Jeong:

A model-first design and verification flow for analog-digital convergence systems: A high-speed receiver example in digital TVs. 754-757 - Chanhong Kim, Kyowon Jeong, Kyungjun Ko, Jungwoo Lee:

SNR-based adaptive modulation for wireless LAN systems. 758-761 - Chi-Li Yu, Chaitali Chakrabarti:

Transpose-free SAR imaging on FPGA platform. 762-765 - Wen-Ching Lin, Jheng-Hao Ye, Der-Wei Yang, Si-Yu Huang, Ming-Der Shieh, Jonas Wang:

Efficient scissoring scheme for scanline-based rendering of 2D vector graphics. 766-769 - Eung Sup Kim, Hyuk-Jae Lee:

A practical hardware design for the keypoint detection in the SIFT algorithm with a reduced memory requirement. 770-773 - Ju-Hyeon Hong, Eung Sup Kim, Hyuk-Jae Lee:

Rotation-invariant hand posture classification with a convexity defect histogram. 774-777 - Shin-Chi Lai, Yi-Ping Yeh, Sheau-Fang Lei:

Hardware-efficient filterbank design for fast recursive MDST and IMDST algorithms. 778-781 - Wu-Sheng Lu, Takao Hinamoto:

Variable fractional delay FIR filters with sparse coefficients. 782-785 - Tian-Bo Deng:

Bi-minimax design of odd-order variable fractional-delay digital filters. 786-789 - Kazuki Shiogai, Naoto Sasaoka, Yoshio Itoh, Yasutomo Kinugasa, Masaki Kobayashi:

Bias free adaptive exponential notch filter with low constant delay. 790-793 - Baoyong Zhang, Wei Xing Zheng:

Design of robust H∞ Filters for markovian jump systems with time-varying delays and parametric uncertainties. 794-797 - Xuan Wang, Changyi Yang, Xiaoxiao Zhao, Chao Wu, Fule Li, Zhihua Wang, Bin Wu:

A 12-bit, 270MS/s pipelined ADC with SHA-eliminating front end. 798-801 - Xiaoke Wen, Rui Wang, Renguo Peng, Min Hao, Jinghong Chen:

A 12b 60MS/s SHA-less opamp-sharing pipeline A/D with switch-embedded dual input OTAs. 802-805 - Xian Tang, Kong-Pang Pun:

Novel overshoot cancellation in comparator-based pipelined ADC. 806-809 - Taehwan Oh, Hariprasath Venkatram, Jon Guerber, Un-Ku Moon:

Correlated jitter sampling for jitter cancellation in pipelined TDC. 810-813 - Chan Hua Vun, A. Benjamin Premkumar:

RNS encoding based folding ADC. 814-817 - Di He, Winston Li, Fusheng Zhu, Weiyao Lin

:
An enhanced covariance spectrum sensing technique based on stochastic resonance in cognitive radio networks. 818-821 - Roberto Gómez-García, José M. N. Vieira

, Nuno Borges Carvalho
, José Pedro Magalhães:
Mixed-domain receiver architecture for white space software-defined radio scenarios. 822-825 - Duan Zhao, Wouter A. Serdijn, Guido Dolmans:

Subsampling based Software Defined Radio with jitter compensation. 826-829 - José M. Muñoz-Ferreras

, Roberto Gómez-García, Félix Pérez-Martínez:
Acquisition of multiband signals with minimum sub-Nyquist sampling. 830-833 - Yilei Li, Chuansheng Dong, Kefeng Han, Cheng Zhang, Yongchang Yu, Xi Tan, Na Yan, Hao Min:

A triple-band flexible low-noise transmitter with linearity enhancement. 834-837 - Luis Henrique de Carvalho Ferreira

, Sameer R. Sonkusale:
A hybrid multi-tanh bulk-driven input stage OTA for Low-THD biomedical Gm-C applications. 838-841 - Wei-Ming Chen, Liang-Ting Kuo, Chung-Yu Wu:

A low-power current-mode front-end acquisition system for biopotential signal recording. 842-845 - Jonathan Coulombe, Olivier Rossel, Serge Bernard

, Fabien Soulier
, Guy Cathébras:
A new shared-input amplifier architecture with enhanced noise-power efficiency for parallel biosignal recordings. 846-849 - Matt Duwe:

Offset correction of low power, high precision op amp using digital assist for biomedical applications. 850-853 - Young San Shin, Seongsoo Lee, Jae-Kyung Wee:

Current readout circuit using two-stage amplification method for 64-channel CNT arrays. 854-857 - Cheen-Hau Tan, Lap-Pui Chau

:
Image-driven simplification with single viewpoint. 858-861 - Ola Amayri, Nizar Bouguila:

Content-based spam filtering using hybrid generative discriminative learning of both textual and visual features. 862-865 - Muwei Jian

, Kin-Man Lam, Junyu Dong
:
Dynamic textures indexing and retrieval based on intrinsic properties. 866-869 - Thi Nhat Anh Nguyen

, Jianfei Cai
, Juyong Zhang, Jianmin Zheng:
Constrained active contours for boundary refinement in interactive image segmentation. 870-873 - Dong-Yan Huang, Wei Sun:

A comparison of SVM and asymmetric SIMPLS in emotion recognition from naturalistic dialogues. 874-877 - Bin Li, Jizheng Xu, Houqiang Li:

Counter based adaptation for CAVLC in HEVC. 878-881 - Marko Viitanen

, Jarno Vanne
, Timo D. Hämäläinen, Moncef Gabbouj
, Jani Lainema:
Complexity analysis of next-generation HEVC decoder. 882-885 - Bin Li, Gary J. Sullivan

, Jizheng Xu:
Compression performance of high efficiency video coding (HEVC) working draft 4. 886-889 - Danny Hong, Wonkap Jang, Jill M. Boyce

, Adeel Abbas:
Scalability support in HEVC. 890-893 - Jung-Ah Choi, Yo-Sung Ho:

Improved near-lossless HEVC codec for depth map based on statistical analysis of residual data. 894-897 - Xiaoqun Wu

, Weihan Wang, Wei Xing Zheng:
Topology detection of complex networks with hidden variables and stochastic perturbations. 898-901 - Cuili Yang, Wallace Kit-Sang Tang

:
A degree-based genetic algorithm for constrained pinning control in complex networks. 902-905 - Yongxiang Xia, Chi Kong Tse

, Francis Chung-Ming Lau
:
Effect of assortativity on traffic performance in scale-free networks. 906-909 - Dong Yang, Xiang Li

:
Bridge time series and complex networks with a frequency-degree mapping algorithm. 910-913 - Yuji Takamaru, Hiroshige Kataoka, Yoko Uwate, Yoshifumi Nishio

:
Clustering phenomena in complex networks of chaotic circuits. 914-917 - Di Guo, Xiaobo Qu

, Lianfen Huang, Yan Yao, Zicheng Liu, Ming-Ting Sun:
Sparsity-based online missing sensor data recovery. 918-921 - Milos Davidovic, Michael Hofbauer

, Horst Zimmermann
:
A 33 × 25 µm2 low-power range finder. 922-925 - Hsuan-Tsung Wang, Walter D. Leon-Salas:

A multiresolution algorithm for focal-plane compression. 926-929 - Derek Ho

, P. Glenn Gulak, Roman Genov:
CMOS 3-T digital pixel sensor with in-pixel shared comparator. 930-933 - Péter Földesy:

Characterization of silicon field effect transistor sub-THz detectors for imaging systems. 934-937 - Gong Chen, Bo Yang, Shigetoshi Nakatake, Zhangcai Huang, Yasuaki Inoue:

A retargeting methodology of nano-watt CMOS reference circuit based on advanced compact MOSFET model. 938-941 - Benjamin P. Hershberg, Tawfiq Musah

, Skyler Weaver, Un-Ku Moon:
The effect of correlated level shifting on noise performance in switched capacitor circuits. 942-945 - Igor M. Filanovsky

:
On synthesis of pulse-transforming linear networks. 946-949 - Bartosz Palucki, Krzysztof Galkowski

, Anton Kummert, Blazej Cichy:
Wave repetitive process approach to a class of ladder circuits. 950-953 - Xiaohua Yu, Nathan M. Neihart:

Design and characterization of symmetric multi-tap transformers. 954-957 - Andrea Bazzini, Jingqi Liu, Stefano Gregori

:
A pMOS-based double-ladder integrated charge pump for standard process. 958-961 - Man Pun Chan

, Philip K. T. Mok
:
On-chip digital inductor current sensor for monolithic digitally controlled DC-DC Converters. 962-965 - Da-Long Ming, Yu-Huei Lee, Ke-Horng Chen

:
A high efficiency adaptive frequency hopping controlled 1/3× step-down switch capacitor DC-DC converter with deep-green mode operation. 966-969 - Jun Hua, Hoi Lee, Ken King:

An event-driven ultra-low-current battery management system with reconfigurable linear regulator for multi-cell battery applications. 970-973 - Kichang Jang, Jungsoo Choi, Chulkyu Park, Joongho Choi:

A voltage-mode DC-DC converter with enhanced transient responses. 974-977 - Young-Jun Hong, Joonseong Kang, Seong Joong Kim, Sang Joon Kim, Ui-Kun Kwon:

Ultra-low power sensor platform with wireless charging system. 978-981 - Seung Keun Yoon, Sang Joon Kim, Ui-Kun Kwon:

A new circuit structure for near field wireless power transmission. 982-985 - Seong Joong Kim, Bumman Kim, Sangwook Nam, Dejan Markovic, Sang-Gug Lee, Jaesup Lee:

Challenges and directions of ultra low energy wireless sensor nodes for biosignal monitoring. 986-989 - Michiel A. P. Pertijs, Zeng Zeng, Devrez M. Karabacak, Mercedes Crego Calama, Sywert H. Brongersma:

An energy-efficient interface for resonant sensors based on ring-down measurement. 990-993 - Po-Hung Kuo, Shey-Shi Lu

, Jui-Chang Kuo, Yao-Joe Yang, Tao Wang, Yi-Lwun Ho
, Ming-Fong Chen:
A hydrogel-based implantable wireless CMOS glucose sensor SoC. 994-997 - Yu-Chi Tsao, Ken Choi:

Hardware-efficient VLSI implementation for 3-parallel linear-phase FIR digital filter of odd length. 998-1001 - Pengfei Zhu, Chun Zhang, Hua Li, Ray C. C. Cheung

, Bryan Hu:
An FPGA-based acceleration platform for auction algorithm. 1002-1005 - Nicolas Ngan, Eva Dokládalová

, Mohamed Akil
:
Dynamically adaptable NoC router architecture for multiple pixel streams applications. 1006-1009 - Yin-Tsung Hwang, Tao-Hsing Huang:

Efficient TWIN-VQ audio decoder implementation on a configurable processor using instruction extension. 1010-1013 - Anand D. Darji, Nisarg Trivedi, S. N. Merchant, Arun N. Chandorkar:

Hardware efficient recursive VLSI architecture for multilevel lifting 2-D DWT. 1014-1017 - Daniel Pak-Kong Lun

, Tak-Wai Shen, Tai-Chiu Hsung
, Dominic K. C. Ho:
Improved speech presence probability estimation based on wavelet denoising. 1018-1021 - Y. J. Chu, Shing-Chow Chan, Z. G. Zhang, Kai Man Tsui:

A new recursive algorithm for time-varying autoregressive (TVAR) model estimation and its application to speech analysis. 1026-1029 - Celia Shahnaz

, Shaikh Anowarul Fattah, Upal Mahbub, Wei-Ping Zhu
, M. Omair Ahmad:
Detection of voice disorders based on wavelet and prosody-related properties. 1030-1033 - Koji Seto, Tokunbo Ogunfunmi

:
Scalable multi-rate iLBC. 1034-1037 - Stefan Hänzsche, Stephan Henker, René Schüffny, Thomas Reichel, Matthias Garzarolli:

A 14 bit self-calibrating charge redistribution SAR ADC. 1038-1041 - Bing-Nan Fang, Jieh-Tsorng Wu:

A 10-Bit 200-MS/s digitally-calibrated pipelined ADC using switching opamps. 1042-1045 - Matthias Völker, Johann Hauer:

A low power oscillator based TDC with in-system non-linearity correction. 1046-1049 - Junya Matsuno, Takafumi Yamaji, Masanori Furuta, Tetsuro Itakura:

All-digital background calibration for time-interleaved ADC using pseudo aliasing signal. 1050-1053 - Wei Li, Tao Wang, Gabor C. Temes:

Digital foreground calibration methods for SAR ADCs. 1054-1057 - David Tian, L. Richard Carley, David S. Ricketts

:
Frequency scaling of power reclamation networks in outphasing PA architectures. 1058-1061 - Sriram Muralidharan, Mona Mostafa Hella:

A 213GHz - 228GHz, -91dB/Hz phase noise triple push oscillator in 65nm CMOS. 1062-1065 - Ying-Tsung Lin, Sau-Gee Chen:

An efficient blind fine synchronization scheme for SCBT systems. 1066-1070 - Elkim Roa

, Byunghoo Jung:
A 50GHz 130µW inductorless prescaler in 45nm SOI CMOS using ETSPC logic. 1071-1074 - Karim Abdelhalim, Roman Genov:

Compact chopper-stabilized neural amplifier with low-distortion high-pass filter in 0.13µm CMOS. 1075-1078 - Hamed Mazhab-Jafari, Roman Genov:

Bidirectional current conveyer with chopper stabilization and dynamic element matching. 1079-1082 - Wannaya Ngamkham, Marijn N. van Dongen, Wouter A. Serdijn:

Biphasic stimulator circuit for a wide range of electrode-tissue impedance dedicated to cochlear implants. 1083-1086 - Edward K. F. Lee, Rongching Dai, Natasha Reeves, Xiao Yun:

A 36V biphasic stimulator with electrode monitoring circuit. 1087-1090 - Ian Williams, Timothy G. Constandinou

:
An energy-efficient, dynamic voltage scaling neural stimulator for a proprioceptive prosthesis. 1091-1094 - Maodong Li, Zhenzhong Chen, Yap-Peng Tan:

A scalable resource allocation framework for SVC video transmissions over downlink MIMO-OFDM networks. 1095-1098 - Eunji Kim, Hyunggon Park, Pascal Frossard:

Low complexity iterative multimedia resource allocation based on game theoretic approach. 1099-1102 - Po-Han Wu, Jenq-Neng Hwang, Jae-Young Pyun, Kung-Ming Lan, Jian-Ren Chen:

QoE-aware resource allocation for integrated surveillance system over 4G mobile networks. 1103-1106 - Zhaotai Pan, Huifeng Shen, Yan Lu, Shipeng Li

:
A low-latency transmission scheme for interactive screen sharing. 1107-1110 - Xiaoming Nan, Yifeng He, Ling Guan:

Optimal resource allocation for multimedia cloud in priority service scheme. 1111-1114 - Tie Yun, Ling Guan:

Human emotion recognition using a deformable 3D facial expression model. 1115-1118 - Takashi Aoki, Eiichi Hosoya, Takuya Otsuka, Akira Onozawa:

A novel hardware algorithm for real-time image recognition based on real AdaBoost classification. 1119-1122 - Likun Huang, Jiwen Lu

, Gao Yang, Yap-Peng Tan:
Generalized subspace distance for set-to-set image classification. 1123-1126 - Yongsheng Wang, Máire O'Neill, Fatih Kurugollu

:
Adaptive binary mask for privacy region protection. 1127-1130 - Luntian Mou, Xilin Chen, Yonghong Tian, Tiejun Huang:

Robust and discriminative image authentication based on standard model feature. 1131-1134 - Kuntal Mandal

, Soumitro Banerjee
, Chandan Chakraborty, Mrityunjoy Chakraborty:
Bifurcations in frequency controlled load resonant DC-DC converters. 1135-1138 - Andrew Lewis Fitch, Herbert Ho-Ching Iu, X. Y. Wang, Victor Sreeram

, W. G. Qi:
Realization of an analog model of memristor based on light dependent resistor. 1139-1142 - Kohshi Okumura:

Finding all modes of nonlinear oscillations by the Krawczyk-Moore-Jones algorithm. 1143-1146 - Jeong-Ho Park, Sang-Sun Yoo, Han-Won Cho, Hyung-Joun Yoo:

Effect of capacitor nonlinearity on the oscillation frequency of a digitally-controlled oscillator using oppositely-coupled PMOS capacitor pairs. 1147-1150 - Shiwei Wang

, Thomas Jacob Koickal, Alister Hamilton
, Enrico Mastropaolo
, Rhonira Latif, Rebecca Cheung, Michael J. Newton, Leslie S. Smith
:
A low-noise interface circuit for MEMS cochlea-mimicking acoustic sensors. 1151-1154 - Daniel J. White

, Peter E. William, Michael W. Hoffman, Sina Balkir, Nathan Schemm:
Analog sensing front-end system for harmonic signal classification. 1155-1158 - Cheng-Han Li, Tobi Delbruck, Shih-Chii Liu:

Real-time speaker identification using the AEREAR2 event-based silicon cochlea. 1159-1162 - Xiaoyi Mu, Nathan L. Ward, Lin Li, Wen Li, Andrew J. Mason, Elizabeth Covington

, Gustavo Serrano, Cagliyan Kurdak, Edward T. Zellers:
CMOS monolithic chemiresistor array with microfluidic channel for micro gas chromatograph. 1163-1166 - Pikul Sarkar, Chenling Huang, Shantanu Chakrabartty:

A self-powered static-strain sensor based on differential linear piezo-floating-gate injectors. 1167-1170 - Alejandro Garzón-Camacho

, Begoña Fernandez Martinez
, Marcos Aurelio Gonzalez Alvarez
, Joaquin Ceballos Caceres, José M. de la Rosa:
A preamplifier for the front-end readout system of particles tracking in secondary electron detectors. 1171-1174 - Montree Kumngern

:
Electronically tunable current-mode universal biquadratic filter using a single CCCFTA. 1175-1178 - Terdpun Choogorn, Jirayuth Mahattanakul:

Distortion analysis of the alternative doubly-terminated ladder fully-differential Gm-C filters. 1179-1182 - Chutham Sawigun

, Wouter A. Serdijn:
A modular transconductance reduction technique for very low-frequency Gm-C filters. 1183-1186 - Xin Jin, Fa Foster Dai:

A 6th order zero capacitor spread 1MHz - 10MHz tunable CMOS active-RC low pass filter with fast tuning scheme. 1187-1190 - Jen-Chieh Tsai, Chia-Lung Ni, Chun-Yen Chen, Yi-Ting Chen, Chi-Lin Chen, Ke-Horng Chen

:
Triple loop modulation (TLM) for high reliability and efficiency in Power Factor Correction (PFC) system. 1191-1194 - Zhen Li

, Siu Chung Wong
, Chi Kong Tse
:
Anti-windup dual-loop control of DFIG under unbalanced voltage conditions. 1195-1198 - Anthony S. Deese, Brian Carrigan, Elie Klein, Elliot Stein:

Simple circuit-based solution to problem of residential load participation in demand response. 1199-1202 - Mohammed Muthalib, Chika Nwankpa:

Dynamic characterization of building electrical loads by equivalent energy circuit analysis. 1203-1206 - Anthony S. Deese, Chika O. Nwankpa, Juan C. Jiménez, Jon Berardino, Jesse Hill:

Design of modular field programmable analog array hardware for analysis of large power systems. 1207-1210 - Ehsan ul Haq, Syed Jahanzeb Hussain Pirzada, Jingchun Piao, Teng Yu, Hyunchul Shin:

Image processing and vision techniques for smart vehicles. 1211-1214 - HyeongKoo Lee, Tae-Chan Kim:

Local self-similarity based backprojection for image upscaling. 1215-1218 - Seaung Lok Ham, Nojun Kwak:

Boosted-PCA for binary classification problems. 1219-1222 - Jonghyun Bae, Yujin Yun, Kyungman Kim, Jaeseok Kim:

A new edge directed interpolation algorithm using accurate estimation of edge directional covariance. 1223-1226 - Stevo D. Bailey, Mircea R. Stan

:
A new taxonomy for reconfigurable prefix adders. 1227-1230 - Ioannis Kouretas

, Vassilis Paliouras
:
Residue arithmetic for designing multiply-add units in the presence of non-gaussian variation. 1231-1234 - Joshua Yung Lih Low, Ching-Chuen Jong

, Jeremy Yung Shern Low, Thian Fatt Tay, Chip-Hong Chang
:
A fast and compact circuit for integer square root computation based on Mitchell logarithmic method. 1235-1238 - Zhuo Wang, Liu Han, Seok-Bum Ko

:
Design and implementation of a Radix-100 division unit. 1239-1242 - Theo Drane, Wai-chuen Cheung, George A. Constantinides:

Correctly rounded constant integer division via multiply-add. 1243-1246 - Xinping Huang, Mario Caron:

Statistics-based LINC amplifier calibration. 1247-1250 - Chiao-En Chen

, Hang Su, Chun-Fu Liao, Yuan-Hao Huang:
A constant-throughput LLL algorithm with deep insertion for LR-aided MIMO detection. 1251-1254 - Wenwen Wang, Saman S. Abeysekera:

Joint data detection and channel estimation for CPM in frequency-flat fading channel. 1255-1258 - Tao Xu, Zijian Tang, Hao Lu, Rene van Leuken:

Memory and computation reduction for least-square channel estimation of mobile OFDM systems. 1259-1262 - Aye Aung, Kah Chan Teh, Kwok Hung Li

:
Detection of partial-band noise interference in slow FH/QPSK systems. 1263-1266 - Lei Sun, Kong-Pang Pun, Alex K. Y. Wong:

Analysis and Design of a 14-bit SAR ADC using self-calibration DAC. 1267-1270 - Rabeeh Majidi, Anthony Crasso, John A. McNeill

:
Digital background calibration of redundant split-flash ADC in 45nm CMOS. 1271-1274 - Wei Guo, Shahriar Mirabbasi:

A low-power 10-bit 50-MS/s SAR ADC using a parasitic-compensated split-capacitor DAC. 1275-1278 - Masood Karimian, Saeid Hashemi, Ali Naderi, Mohamad Sawan:

Impact of gradient error on switching sequence in high-accuracy thermometer-decoded current-steering DACs. 1279-1282 - Denis Guangyin Chen, Amine Bermak

:
A low-power dynamic comparator with digital calibration for reduced offset mismatch. 1283-1286 - Carsten Barth, Ivan R. Linscott, Umran S. Inan

:
A 9mW direct RF sampling GPS receiver front-end in 0.13µm BiCMOS. 1287-1290 - Jinbo Li, Dongpo Chen, Rui Guan, Peng Qin, Zhijian Lu, Jianjun Zhou

:
Low-power high-linearity area-efficient multi-mode GNSS RF receiver in 40nm CMOS. 1291-1294 - Baptiste Grave, Antoine Frappé

, Andreas Kaiser
:
A reconfigurable 60GHz subsampling receiver architecture with embedded channel filtering. 1295-1298 - Deping Huang, Wei Li, Jin Zhou, Ning Li, Junyan Ren, Jinghong Chen:

A time-to-digital converter based AFC for wideband frequency synthesizer. 1299-1302 - Pere Palà-Schönwälder

, Jordi Bonet-Dalmau
, Francisco del Águìla López, Ricard Sanahuja, F. Xavier Moncunill-Geniz:
Effects of quench discretization on superregenerative oscillators. 1303-1306 - Miguel A. Martins, Miguel Santos, Jorge R. Fernandes

, Moisés Simões Piedade
:
A digital-to-analog converter for a cortical microelectrode stimulator. 1307-1310 - Yongjia Li, Wouter A. Serdijn:

A continuous-time level-crossing ADC with 1-bit DAC and 3-input comparator. 1311-1314 - Wei Zhang, Woogeun Rhee

, Zhihua Wang:
A ΔΣ IR-UWB radar with sub-mm ranging capability for human body monitoring systems. 1315-1318 - Aravind Heragu, David Ruffieux, Christian C. Enz:

A low power 2.4 GHz front end with MEMS lattice based channel filtering at RF. 1319-1322 - Pau-Choo Chung, Yu-Liang Hsu, Chun-Yao Wang, Chien-Wen Lin, Jeen-Shing Wang, Ming-Chyi Pai

:
Gait analysis for patients with Alzheimer'S disease using a triaxial accelerometer. 1323-1326 - Hsu-Yao Huang, Chi-Yuan Huang, Chung-Ho Chen:

Tile-based GPU optimizations through ESL full system simulation. 1327-1330 - Wing-Yee Lo, Daniel Pak-Kong Lun

, Wan-Chi Siu:
A new 3-phase design exploration methodology for video processor design. 1331-1334 - Shih-Hao Ou, Che-Wei Yeh, Tay-Jyi Lin, Chih-Wei Liu:

A smart stream controller for efficient implementation of streaming applications on the heterogeneous multicore processor. 1335-1338 - Hasitha Muthumala Waidyasooriya, Yasuhiro Takei, Masanori Hariyama, Michitaka Kameyama:

FPGA implementation of heterogeneous multicore platform with SIMD/MIMD custom accelerators. 1339-1342 - Hyojin Choi, Kyuyeon Hwang

, Jae-Woo Ahn, Wonyong Sung:
A simulation-based study for DRAM power reduction strategies in GPGPUs. 1343-1346 - Weiqiang Liu, Liang Lu, Máire O'Neill, Earl E. Swartzlander Jr.:

Cost-efficient decimal adder design in Quantum-dot cellular automata. 1347-1350 - Raj S. Katti, Sarjan Shrestha:

Novel asynchronous registers for sequential circuits with quantum-dot cellular automata. 1351-1354 - Kushal Das, Torsten Lehmann:

A cryogenic single electron transistor readout circuit: Practical issues and measurement considerations. 1359-1362 - Malgorzata Chrzanowska-Jeske, Rehman Ashraf, Rajeev K. Nain, Siva G. Narendra:

Performance analysis of CNFET based circuits in the presence of fabrication imperfections. 1363-1366 - Bo Zhao, Huazhong Yang, Hui Wang

:
A low-power fast-settling bond-wire frequency synthesizer with a dynamic-bandwidth scheme. 1367-1370 - Sally Safwat, Amr Lotfy, Maged Ghoneima, Yehea I. Ismail:

A 5-10GHz low power bang-bang all digital PLL based on programmable digital loop filter. 1371-1374 - Sankaran Aniruddhan:

Quadrature generation techniques in CMOS relaxation oscillators. 1375-1378 - Vahideh Sadat Sadeghi, Hossein Miar Naimi, Michael Peter Kennedy:

A fast charge pump PLL using a bang-bang frequency comparator with dead zone. 1379-1382 - Qing Jin

, Kaiyuan Yang
, Chunyuan Zhou, Dongxu Yang, Lei Zhang, Yan Wang, Zhiping Yu, Weidong Geng:
A transformer-based filtering technique to lower LC-oscillator phase noise. 1383-1386 - Jung-Chuan Chou, Po-Hao Shih, Shu-Ying Yang:

Investigation of characteristics of tungsten oxide with different work pressures in photoelectrochromic cell. 1387-1390 - Jaber Hassan J. Al Yamani, Farid Boussaïd

, Amine Bermak
, Dominique Martinez:
Bio-inspired gas recognition based on the organization of the olfactory pathway. 1391-1394 - Hyun Kyu Ouh, Jungwoo Lee

, Sangyun Han, Hyunjip Kim, Insik Yoon, Soonwon Hong:
A programmable mutual capacitance sensing circuit for a large-sized touch panel. 1395-1398 - Andrea Ajbl, Marc Pastre, Maher Kayal:

A Hall sensor microsystem with continuous gain calibration using fully integrated references. 1399-1402 - Evripides Kyriakides, Constantinos Hadjistassou, Julius Georgiou

:
A new memristor based on NiTi smart alloys. 1403-1406 - Sakir Sezer, Dwayne Burns:

Custom purpose regular expression processor architecture for network processing. 1407-1411 - Xiaolin Cao, Máire O'Neill:

Application-oriented SHA-256 hardware design for low-cost RFID. 1412-1415 - Seongho Cho, Martin Gawecki, C.-C. Jay Kuo

:
Content-dependent feature selection for block-based image steganalysis. 1416-1419 - Sung-Won Moon, Hee-Dong Kim, Ji Won Lee, Heung-Kyu Lee:

Dual video watermarking for CCL protection and manipulation detection. 1420-1423 - Hsiang-Cheh Huang

, Wai-Chi Fang, Wei-Hao Lai:
Secure medical information exchange with reversible data hiding. 1424-1427 - Pierre-Jean Lapray

, Barthélémy Heyrman, Matthieu Rossé, Dominique Ginhac
:
HDR-ARtiSt: High dynamic range advanced real-time imaging system. 1428-1431 - Huibo Zhong, Yibo Fan, Xiaoyang Zeng:

A parallel CAVLC design for 4096×2160p encoder. 1432-1435 - Keng-Yen Huang, Yi-Min Tsai, Tien-Ju Yang, Liang-Gee Chen

:
A high speed feature matching architecture for real-time video stabilization. 1436-1439 - Chi-Cheng Ju, Tsu-Ming Liu, Yung-Chang Chang, Chih-Ming Wang, Chun-Chia Chen, Hue-Min Lin, Chia-Yun Cheng, Min-Hao Chiu, Sheng-Jen Wang, Ping Chao, Meng-Jye Hu, Hao-Wei Li, Chung-Hung Tsai:

A 775-µW/fps/view H.264/MVC decoder chip compliant with 3D Blu-ray specifications. 1440-1443 - Suraj Sindia, Fa Foster Dai, Vishwani D. Agrawal, Virendra Singh:

Impact of process variations on computers used for image processing. 1444-1447 - Anupam Chattopadhyay, Ayesha Khalid, Subhamoy Maitra, Shashwat Raizada:

Designing high-throughput hardware accelerator for stream cipher HC-128. 1448-1451 - Matthew Mayhew, Radu Muresan:

Integrated capacitor switchbox for security protection. 1452-1455 - Szu-Chi Chung

, Jen-Wei Lee, Hsie-Chia Chang, Chen-Yi Lee:
A high-performance elliptic curve cryptographic processor over GF(p) with SPA resistance. 1456-1459 - Ashley Novak, Farinoush Saffar, Mitra Mirhassani, Huapeng Wu:

Current mode multiple-valued adder for cryptography processors. 1460-1463 - Naoki Miura, Akihiko Miyazaki, Junichi Kato, Nobuyuki Tanaka, Masami Urano, Mamoru Nakanishi, Tsugumichi Shibata:

Extendable point-to-multi-point protocol processor for 10G-EPON MAC SoCs. 1464-1467 - Shi Yan, Li Xu, Yegui Xiao:

Order reduction for Roesser state-space model based on elementary operations. 1468-1471 - Ning Zheng, Yun Pan, Xiaolang Yan, Ruohong Huan:

Weight sorting based scheme and architecture for distributed particle filters. 1472-1475 - Amir Fathi, Sarkis Azizian

, Khayrollah Hadidi, Abdollah Khoei
, Amin Chegeni:
CMOS implementation of a fast 4-2 compressor for parallel accumulations. 1476-1479 - Basant K. Mohanty

, Pramod Kumar Meher, Subodh Kumar Singhal
:
Efficient architectures for VLSI implementation of 2-D discrete Hadamard transform. 1480-1483 - Shiva Madishetty

, Arjuna Madanayake
, Renato J. Cintra
, Dale H. Mugler
, Vassil S. Dimitrov:
Error-free VLSI architecture for the 2-D Daubechies 4-tap filter using algebraic integers. 1484-1487 - João Casaleiro

, Luís Bica Oliveira
, Igor M. Filanovsky
:
Low-power and low-area CMOS quadrature RC oscillator with capacitive coupling. 1488-1491 - Shuenn-Yuh Lee, Liang-Hung Wang, Tsung-Yen Chen, Chih-Tao Yu:

A low-power RF front-end with merged LNA, differential power splitter, and quadrature mixer for IEEE 802.15.4 (ZigBee) applications. 1492-1495 - Barend van Liempd, Maja Vidojkovic, Maarten Lont, Cui Zhou, Pieter Harpe, Dusan M. Milosevic, Guido Dolmans:

A 3µW fully-differential RF envelope detector for ultra-low power receivers. 1496-1499 - Marcello De Matteis

, Giuseppe Cocciolo, Stefano D'Amico
, Andrea Baschirotto
, M. Sabatini:
A 115µW UWB Programmable Gain Amplifier for intelligent tire personal area network. 1500-1503 - M. Shahriar Jahan, Jeremy H. Holleman:

A 3.3 µW dual-modulus frequency divider with 189% locking range for MICS band applications. 1504-1507 - Jing-Shiun Lin, Yin-Tsung Hwang, Po-Han Chu, Ming-Der Shieh, Shih-Hao Fang:

An efficient QR decomposition design for MIMO systems. 1508-1511 - Chin-Liang Wang, Ying-Yi Chen, Hung-Chin Wang:

A synchronization scheme based on Gaussian pulses for cooperative MIMO OFDM systems. 1512-1515 - Na Ding, Chen Chen, Wenhua Fan, Yun Chen, Xiaoyang Zeng:

An improved coarse synchronization scheme in 3GPP LTE downlink OFDM systems. 1516-1519 - Jea Hack Lee

, Eun Ji Kim, Myung Hoon Sunwoo:
Low complexity FFT/IFFT processor for high-speed OFDM system using efficient multiplier scheduling. 1520-1523 - Jyun-Yu Lee, Hsin-De Lin, Tzu-Hsien Sang:

An SFBC-OFDM receiver with MLSE equalizer to combat multiple frequency offsets. 1524-1527 - Robert Rieger

, Jing-Yuan Chen:
An axon emulator for evaluation of nerve recording systems. 1528-1531 - Adam Quotb, Yannick Bornat

, Matthieu Raoux
, Jochen Lang
, Sylvie Renaud:
NeuroBetaMed: A re-configurable wavelet-based event detection circuit for in vitro biological signals. 1532-1535 - Jun Jia, Xin Yi, Mengde Wang, Guoxing Wang, Simin Deng, Guofang Shen:

A blink restoration system with contralateral EMG triggered stimulation and real-time software based artifact blanking. 1536-1539 - Seulki Lee, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:

A 2.1µW real-time reconfigurable wearable BAN controller with dual linked list structure. 1540-1543 - Yuanqi Hu, Yan Liu, Christofer Toumazou, Pantelis Georgiou

:
A CMOS architecture allowing parallel DNA comparison for on-chip assembly. 1544-1547 - Wei Xiao, Jizheng Xu, Feng Wu, Guangming Shi:

Joint rate-distortion optimization for H.264/AVC intra coding based on cluster computing. 1548-1551 - Do-Kyoung Kwon, Hyung J. Kim:

Base-layer motion estimation with limited enhancement-layer search window for hardware H.264/SVC encoder. 1552-1555 - Sung Dae Kim, Jin-Wook Baek, Jin Wook Burm, Myung Hoon Sunwoo:

Data reusable search scan methods for low power motion estimation. 1556-1559 - Wei Dai, Oscar C. Au, Sijin Li, Lin Sun

, Ruobing Zou:
Fast sub-pixel motion estimation with simplified modeling in HEVC. 1560-1563 - Qingbo Wu, Hongliang Li:

Mode dependent deblocking filter for video coding. 1564-1567 - Tong Boon Tang

, Alan F. Murray, Binjie Cheng, Asen Asenov:
A framework to study time-dependent variability in circuits at sub-35nm technology nodes. 1568-1571 - Haiqing Nan, Ken Choi:

Soft error tolerant latch design with low cost for nanoelectronic systems. 1572-1575 - Massimo Alioto, Gaetano Palumbo, Melita Pennisi:

A simple keeper topology to reduce delay variations in nanometer domino logic. 1576-1579 - Tony T. Kim, Pong-Fei Lu, Chris H. Kim:

Design of ring oscillator structures for measuring isolated NBTI and PBTI. 1580-1583 - Sukeshwar Kannan, Bruce C. Kim, Anurag Gupta, Seok-Ho Noh, Li Li, Sang-Bock Cho:

Modeling and characterization of CNT-based TSV for high frequency applications. 1584-1589 - Ronald Tetzlaff

, Torsten Schmidt:
Memristors and memristive circuits - an overview. 1590-1595 - Rainer Waser

, Stephan Menzel, Vikas Rana:
Recent progress in redox-based resistive switching. 1596-1599 - Fernando Corinto

, Alon Ascoli, Marco Gilli:
Modeling dynamics of memristive nano-structures. 1600-1603 - Maheshwar Pd. Sah

, Changju Yang, Hyongsuk Kim
, Leon O. Chua:
Memristor circuit for artificial synaptic weighting of pulse inputs. 1604-1607 - Sangho Shin, Kyungmin Kim, Sung-Mo Kang:

Memristive computing- multiplication and correlation. 1608-1611 - Hongbo Zhu, Tadashi Shibata:

A real-time motion-feature-extraction image processor employing digital-pixel-sensor-based parallel architecture. 1612-1615 - Sonia Vargas-Sierra

, Gustavo Liñán Cembrano
, Ángel Rodríguez-Vázquez
:
A 148dB focal-plane tone-mapping QCIF imager. 1616-1619 - Jiwon Lee, Inkyu Baek, Kyounghoon Yang:

New FPN correction method for PD-storage dual-capture CMOS image sensor using a nonfully depleted pinned photodiode. 1620-1623 - Hang Yu, Xinyuan Qian, Shoushun Chen, Kay Soon Low

:
A Time-Delay-Integration CMOS image sensor with pipelined charge transfer architecture. 1624-1627 - Xiangyu Zhang, Shoushun Chen:

A hybrid-readout and dynamic-resolution motion detection image sensor for object tracking. 1628-1631 - Jiajing Wu, Chi Kong Tse

, Francis Chung-Ming Lau
, Ivan Wang Hei Ho
:
Complex network approach to communication network performance analysis. 1632-1635 - Baha Alzalg

, Catalina V. Anghel
, Wenying Gan, Qing Huang, Mustazee Rahman
, Alex Shum
, Chai Wah Wu
:
Contingency constrained optimal power flow solutions in complex network power grids. 1636-1639 - Pietro De Lellis

, Mario di Bernardo
:
On adaptive bounded synchronization in Power Network models. 1640-1643 - Wilson Wang-Kit Thong, Guanrong Chen

, Ljiljana Trajkovic
:
RED-f routing protocol for complex networks. 1644-1647 - Shih-Nung Wei, Yi-Ming Wang, Jyun-Hua Peng:

An output tracking delay-recycled clock skew-compensation and/or duty-cycle-correction circuit. 1648-1651 - Ai-Jia Chuang, Yu Lee, Ching-Yuan Yang:

A chip-to-chip clock-deskewing circuit for 3-D ICs. 1652-1655 - Inna Vaisband, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny:

Energy metrics for power efficient crosslink and mesh topologies. 1656-1659 - Ezz El-Din O. Hussein, Sally Safwat, Maged Ghoneima, Yehea I. Ismail:

A 16Gbps low power self-timed SerDes transceiver for multi-core communication. 1660-1663 - Keisuke Inoue, Mineo Kaneko:

Reliable and low-power clock distribution using pre- and post-silicon delay adaptation in high-level synthesis. 1664-1667 - Seungju Lee, Nozomu Togawa

, Takashi Aoki, Akira Onozawa:
A novel BMNoC configuration algorithm utilizing communication volume and locality among cores. 1668-1671 - Qiaoyan Yu, Paul Ampadu:

Transient error management for partially adaptive router in network-on-chip (NoC). 1672-1675 - Selin Bastas, Mohammad Wadood Majid, Golrokh Mirzaei, Jeremy Ross

, Mohsin M. Jamali, Peter V. Gorsevski
, Joseph P. Frizado, Verner P. Bingman:
A novel feature extraction algorithm for classification of bird flight calls. 1676-1679 - Hongming Chen, Yuhua Cheng:

VLSI implementation of color interpolation in color difference spaces. 1680-1683 - Meiqing Wu, Nirmala Ramakrishnan, Siew Kei Lam, Thambipillai Srikanthan:

Low-complexity pruning for accelerating corner detection. 1684-1687 - Jian Zhang, Chen Zhao, Ruiqin Xiong, Siwei Ma, Debin Zhao:

Image super-resolution via dual-dictionary learning and sparse representation. 1688-1691 - Masaaki Fujiyoshi

, Hitoshi Kiya:
A visually-lossless data hiding method based on histogram modification. 1692-1695 - Xiaoyan Zhou, Wenming Zheng, Minghai Xin:

Improving CCA via spectral components selection for facial expression recognition. 1696-1699 - Jeong-Hyu Yang, Jinseok Im, Kyoungwon Lim, Seung-Jong Choi:

An ASIC design for 3D depth control of full HD resolution stereoscopic video. 1700-1703 - Kang-Yeob Park, Woo-Young Choi, Seon-Young Lee, Wonseok Oh:

A 6.24-Gb/s wide-input-range serializer ASIC using fixed-data-rate scheme. 1704-1707 - Feng Qi, Tingting Jiang

, Siwei Ma
, Debin Zhao:
Quality of experience assessment for stereoscopic images. 1712-1715 - Alexandre G. Ciancio, José F. L. de Oliveira, Cassius D. Estrada, Eduardo A. B. da Silva, Amir Said:

Impact of encoding configurations on the perceived quality of high definition videoconference sequences. 1716-1719 - Tsung-Che Wu, Ji-Hua Hsu, Chang-Ming Lee, Jui-Chiu Chiang:

Efficient improvement of side information in GOB-based DVC system. 1720-1723 - Kyung Joon Kwon, Sung-Kyu Lee, Sanghun Kim, Su Yeong Cho, Young Hwan Kim:

Analysis and design for text readability increase in quad-structure RGBW color EPD. 1724-1727 - Mohamed Atef

, Horst Zimmermann
:
10Gbit/s 2mW inductorless transimpedance amplifier. 1728-1731 - Cecilia Gimeno, Concepción Aldea, Santiago Celma, Francisco Aznar

, Cristina Azcona:
A 1-V CMOS receiver front-end for high-speed SI-POF links. 1732-1735 - Shun-Tien Chou, Shih-Hao Huang, Zheng-Hao Hong, Wei-Zen Chen:

A 40 Gbps optical receiver analog front-end in 65 nm CMOS. 1736-1739 - Mohamed Atef

, Horst Zimmermann
:
2.5Gbit/s transimpedance amplifier using noise cancelling for optical receivers. 1740-1743 - Yier Yan, Xueqin Jiang, Li Jun, Duan Wei, TaeChol Shin, Moon Ho Lee:

A novel high rate transmission scheme for space time coding with low decoding complexity. 1748-1751 - Mahdi Bagheri, Rahim Bagheri, Lawrence E. Larson:

Wide tuning range CMOS LC quadrature oscillators based on quadrature mode switching. 1752-1755 - Hossein Noori

, Fa Foster Dai:
Remedies for noise degradation due to active Q-Enhancement Circuit. 1756-1759 - Sangyong Park, Sungmoon Park, Joonhong Park, Donghyun Baek

:
Design of 13.56 MHz ASK transmitter for near field communication using a DLL architecture. 1760-1762 - Anandaroop Chakrabarti, Harish Krishnaswamy:

An improved analysis and design methodology for RF Class-E power amplifiers with finite DC-feed inductance and switch On-resistance. 1763-1766 - Jun Lin, Zhiyuan Yan:

Modified shuffled schedule for nonbinary low-density parity-check codes. 1767-1770 - Seong-In Hwang, Hanho Lee, Shin-Il Lim:

A novel method of constructing Quasi-Cyclic RS-LDPC codes for 10GBASE-T Ethernet. 1771-1774 - Yi-Huan Ou-Yang

, Chien-Yu Kao, Jen-Yuan Hsu, Pangan Ting, Chen-Yi Lee:
Extrinsic data compression method for double-binary turbo codes. 1775-1778 - Ji-Hoon Kim:

Design of TETRA 2 turbo decoder with minimum memory hardware interleaver. 1779-1782 - Chang-Seok Choi, Hanho Lee, Noriaki Kaneda, Young-Kai Chen:

Concatenated non-binary LDPC and HD-FEC codes for 100Gb/s optical transport systems. 1783-1786 - Piljoo Choi, Dong Kyue Kim:

Design of security enhanced TPM chip against invasive physical attacks. 1787-1790 - Hao Wang, Wei Zhang, Boyang Pan:

Modified polynomial selection architecture for low-complexity chase decoding of Reed-Solomon codes. 1791-1794 - Toshiki Takeuchi, Hiroyuki Igura, Masao Ikekawa:

Stream-access-oriented baseband signal processors for SDR. 1795-1798 - Chenxin Zhang, Liang Liu

, Viktor Öwall:
Mapping channel estimation and MIMO detection in LTE-advanced on a reconfigurable cell array. 1799-1802 - Shuai Chen, Hao Li, Kai Jia, Yue Wang, Xiaobing Shi, Feng Zhang:

A fast-lock-in wide-range harmonic-free all-digital DLL with a complementary delay line. 1803-1806 - Mohsen Ghasempour, Delong Shang, Fei Xia, Alex Yakovlev

:
Ultra-low power transmitter. 1807-1810 - Yi-Chung Chen, Hai Li

, Wei Zhang
:
A novel peripheral circuit for RRAM-based LUT. 1811-1814 - Benjamin Krill, Abbes Amira, Hassan Rabah

:
Generic virtual filesystems for reconfigurable devices. 1815-1818 - Kok-Leong Chang, Tong Lin, Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee

, Joseph S. Chang:
A comparative study on asynchronous Quasi-Delay-Insensitive templates. 1819-1822 - Janna Mezhibovsky, Adam Teman

, Alexander Fish
:
State space modeling for sub-threshold SRAM stability analysis. 1823-1826 - Hadar Dagan, Adam Teman

, Alexander Fish
, Evgeny Pikhay, Vladislav Dayan, Yakov Roizin:
A low-cost low-power non-volatile memory for RFID applications. 1827-1830 - Hao-I Yang, Yi-Wei Lin, Mao-Chih Hsia, Geng-Cing Lin, Chi-Shin Chang, Yin-Nien Chen, Ching-Te Chuang, Wei Hwang, Shyh-Jye Jou, Nan-Chun Lien, Hung-Yu Li, Kuen-Di Lee, Wei-Chiang Shih, Ya-Ping Wu, Wen-Ta Lee, Chih-Chiang Hsu:

High-performance 0.6V VMIN 55nm 1.0Mb 6T SRAM with adaptive BL bleeder. 1831-1834 - Junchao Chen, Kwen-Siong Chong, Bah-Hwee Gwee

, Joseph S. Chang:
An Ultra-Dynamic Voltage Scalable (U-DVS) 10T SRAM with bit-interleaving capability. 1835-1838 - Sukeshwar Kannan, Bruce C. Kim, Sang-Bock Cho, Byoungchul Ahn:

Analysis of propagation delay in 3 - D stacked DRAM. 1839-1842 - Chun-Rong Huang

, Hsing-Cheng Chen, Pau-Choo Chung:
Online surveillance video synopsis. 1843-1846 - Shian-Ru Ke, Jenq-Neng Hwang, Maryam Fazel, Shen-Zheng Wang, Hung-I Pai:

Constrained multiple kernel tracking for human limbs. 1847-1850 - Zhangyang Wang, Houqiang Li, Qing Ling, Weiping Li:

Mixed Gaussian-impulse video noise removal via temporal-spatial decomposition. 1851-1854 - Shengkui Gao, Viktor Gruev:

Gradient based interpolation for division of focal plane polarization imaging sensors. 1855-1858 - Jun-Wei Hsieh, Li-Chih Chen, Sin-Yu Chen, Shih-Chun Lin, Duan-Yu Chen:

Vehicle color classification under different lighting conditions through color correction. 1859-1862 - Wen-Nung Lie, Hung-Wei Shiu, Chieh Huang:

3D human pose tracking based on depth camera and dynamic programming optimization. 1863-1866 - Muhammad Shoaib, Tobias Elbrandt, Evgeny Zaretskiy, Jörn Ostermann

:
Hierarchical Bayer-pattern based background subtraction for low resource devices. 1867-1870 - Li-Wei Kang, Chia-Wen Lin

, Che-Tsung Lin, Yu-Chen Lin:
Self-learning-based rain streak removal for image/video. 1871-1874 - Kristofor B. Gibson, Truong Q. Nguyen:

A perceptual based contrast enhancement metric using AdaBoost. 1875-1878 - Tzu-Yi Hung, Ce Zhu, Gao Yang, Yap-Peng Tan:

Video organization: Near-Duplicate Video clustering. 1879-1882 - Qian Zhang, Chunhui Cui, King Ngi Ngan

, Yu Liu:
Depth estimation and view synthesis for narrow-baseline video. 1883-1886 - Wenyao Zhang, Jun Sun, Jiaying Liu

, Zongming Guo:
Optimized bit extraction of SVC exploiting linear error model. 1887-1890 - Weichen Wang, Satoshi Goto:

Stereo matching with pixel classification and reliable disparity propagation. 1891-1894 - Miok Kim, Nam Ling, John D. Ralston, Steven Saunders:

Non-Delaunay hierarchical mesh-based motion estimation and compensation for Wavelet Video coding. 1895-1898 - Yizhou Duan, Jun Sun, Zongming Guo:

Novel rate-distortion modeling for H.264/AVC and its application in two-pass VBR coding. 1899-1902 - Chung-Hao Wu, Yu-Chen Tseng, Wen-Hsiao Peng

:
Analytical mode-dependent rate and distortion models for H.264/SVC coarse grain scalability. 1903-1906 - Ming Zhang, Nicolas Llaser, Hervé Mathias, Antoine Dupret:

Design and optimization of two motion detection circuits for video monitoring system. 1907-1910 - Henryk Blasinski, Wei Hai, Frantz Lohier:

Real-time, color image barrel distortion removal. 1911-1914 - Yu-Lin Chou, Chung-Bin Wu

:
A hardware sharing architecture of deblocking filter for VP8 and H.264/AVC video coding. 1915-1918 - Hsuan-ku Chen, Tian-Sheuan Chang

:
A high throughput CAVLC design for HEVC. 1919-1922 - Keng-Hsien Huang, Shao-Yi Chien

:
Universal embedded compression engine for LCD TV system-on-a-chip with Band-Expansion Progressive Wavelet Coding. 1923-1926 - Ming-Jhe Zeng, Ro-Min Weng:

A 0.8V 4.3mW sub-harmonic mixer for ultra-wideband systems. 1927-1930 - Ro-Min Weng, Mei-Lian Fan, Ming-Jhe Zeng:

A 5.9mW full-band low-noise-amplifier for ultra-wideband systems. 1931-1934 - Leonardo C. Neves, Genival Mariano de Araujo, José C. da Costa, Sandro A. P. Haddad:

Design of a PSWF impulse response filter for UWB systems. 1935-1938 - Géza Kolumbán, Tamás Krébesz

, Chi Kong Tse
, Francis Chung-Ming Lau
:
Improving the coverage of ultra wideband impulse radio by pulse compression. 1939-1942 - A. R. Aravinth Kumar, Ashudeb Dutta, Shiv Govind Singh:

A 1.5-7.5GHz low power low noise amplifier (LNA) design using subthreshold technique for Wireless Sensor Network (WSN) application. 1943-1946 - Kuang-Ren Chen, Chia-Ming Tsai, Sheng-Kai You, An-Siou Li, Wen-Tsao Chen:

A 10 Gb/s adaptive cable equalizer using phase detection technique in 0.13µm CMOS technology. 1947-1950 - Nitin Gupta, Tapas Nandy, Somnath Kundu

:
HDMI transmitter in 32nM technology using 28Å MOS. 1951-1954 - Youngkyun Jeong, Yoon-Chul Choi, Eun-Ji Choi, Seogheon Ham, Kee-Won Kwon, Young-Hyun Jun, Jung-Hoon Chun:

0.37mW/Gb/s low power SLVS transmitter for battery powered applications. 1955-1958 - Sewook Hwang, Inhwa Jung, Junyoung Song, Chulwoo Kim:

A 5.4Gb/s adaptive equalizer with unit pulse charging technique in 0.13µm CMOS. 1959-1962 - Hsu-Che Nee, Chia-Ming Tsai, Sheng-Kai You, Wen-Tsao Chen:

A 6Gb/s adaptive equalizer using overshoot control in 0.18µm CMOS technology. 1963-1966 - María R. Valero

, Santiago Celma, Nicolás J. Medrano-Marqués, Belén Calvo, Cristina Azcona:
An ultra low-power low-voltage class AB CMOS fully differential OpAmp. 1967-1970 - Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji

, Hiroaki Honjo
, Tadahiko Sugibayashi, Hiroki Koike, Takashi Ohsawa
, Shunsuke Fukami
, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh:
High-speed simulator including accurate MTJ models for spintronics integrated circuit design. 1971-1974 - Chih-Wen Lu, Ping-Yeh Yin, Hsuan-Lun Kuo, Salvatore Pennisi

:
A low-quiescent current two-input/output buffer amplifier for LCDs. 1975-1978 - Jeremy Brown, Nathan M. Neihart:

An analytical study of a magnetically tuned matching network. 1979-1982 - Omar Al-Terkawi Hasib, Walder Andre, Yves Blaquière, Yvon Savaria:

Propagating analog signals through a fully digital network on an electronic system prototyping platform. 1983-1986 - Cristina Azcona, Belén Calvo, Santiago Celma, Nicolás J. Medrano-Marqués:

A novel rail-to-rail differential voltage-to-frequency converter for portable sensing systems. 1987-1990 - Chih-Lin Chen, Sheng-Chih Lin, Chua-Chin Wang, Chun-Ying Juan:

A digital over-temperature protector for FlexRay systems. 1991-1994 - Sung-Pil Choi, Gyoo-Cheol Hwang, Young-Hyun Jun, Kee-Won Kwon, Jung-Hoon Chun:

A low-power two-line inversion method for driving LCD panels. 1995-1998 - Golam R. Chowdhury, Arjang Hassibi:

A 0.001mm2 100µW on-chip temperature sensor with ±1.95 °C (3σ) Inaccuracy in 32nm SOI CMOS. 1999-2002 - Hyung-Gu Park, HongJin Kim, JooHyung Lee, Kang-Yoon Lee, Jin-Gyun Chung:

Low power multi-channel capacitive touch sensing unit using capacitor to time conversion method. 2003-2006 - Amir Zjajo, Nick van der Meijs, René van Leuken:

A 11 µW 0°C-160°C temperature sensor in 90 nm CMOS for adaptive thermal monitoring of VLSI circuits. 2007-2010 - Mohamed O. Shaker, Magdy A. Bayoumi:

Structure generation and design of tracking ADCs. 2011-2014 - Min-Han Hsieh, Bing-Feng Lin, Yu-Shun Wang, Hao-Huei Chang, Charlie Chung-Ping Chen:

A 2 - 8 GHz multi-phase distributed DLL using phase insertion in 90 nm. 2015-2018 - Seung-Wuk Oh, Sang-Ho Kim, Jin-Ku Kang:

An audio clock regenerator with a wide dividing ratio for HDMI. 2019-2022 - Jingbo Duan, Degang Chen, Randall L. Geiger:

A low cost method for testing offset and gain error for ADC BIST. 2023-2026 - Amin Ojani, Behzad Mesgarzadeh, Atila Alvandpour:

A DLL-based injection-locked frequency synthesizer for WiMedia UWB. 2027-2030 - Ricardo Doldán, Antonio J. Ginés

, Eduardo J. Peralías
, Adoración Rueda
:
Analysis of steady-state common-mode response in differential LC-VCOs. 2031-2034 - Fernando Rangel de Sousa

, Marcio Bender Machado, Carlos Galup-Montoro
:
A 20 mV Colpitts Oscillator powered by a thermoelectric generator. 2035-2038 - Andrew P. Nicholson, Julian Jenkins, André van Schaik

, Tara Julia Hamilton
, Torsten Lehmann:
A 1.2V 2-bit phase interpolator for 65nm CMOS. 2039-2042 - Jung-Chuan Chou, Meng Wei Su, Chien-Cheng Chen, Shu-Ying Yang:

Cl- and H+ sensing devices for water quality monitoring system. 2043-2046 - Calliope-Louisa Sotiropoulou

, Liberis Voudouris, Christos Gentsos, Spiridon Nikolaidis
, Nikolaos Vassiliadis, Athanasios M. Demiris, Spyros Blionas:
FPGA-based machine vision implementation for Lab-on-Chip flow detection. 2047-2050 - Chien-Hsuan Chen, Hsiang-Chiu Wu, Hsin Chen:

A conductance-based neuronal network in VLSI for studying the CPR circuit of the crayfish. 2051-2054 - Shiau-Ru Yang, Shi-An Chen, Shu-Fang Tsai, Chin-Teng Lin

:
Transcutaneous electrical nerve stimulation system for improvement of flight orientation in a VR-based motion environment. 2055-2058 - Panavy Pookaiyaudom, Apisak Worapishet, F. J. Lidgey, Khaled Hayatleh, Christofer Toumazou:

Measurement of cell and bacterial activity using array-based ISFET Chemical Current-Conveyor in weak-inversion. 2059-2062 - Gozen Koklu, Julien Ghaye, Rene Beuchat, Giovanni De Micheli, Yusuf Leblebici, Sandro Carrara:

Quantitative comparison of commercial CCD and custom-designed CMOS camera for biological applications. 2063-2066 - Yun-Yu Chen, Tung-Chien Chen, Chien-Chung Chen, Hsin-I Liao, Luk-Ting Sio, Liang-Gee Chen

:
Exploration of reusing the pre-recorded training data set to improve the supervised classifier for EEG-based motor-imagery brain computer interfaces. 2067-2070 - Shiau-Ru Yang, Sheng-Chih Hsu, Shao-Wei Lu, Li-Wei Ko, Chin-Teng Lin

:
Development of adaptive QRS detection rules based on center differentiation method for clinical application. 2071-2074 - Tarek Elguebaly, Nizar Bouguila:

Medical image classification using birth-and-death MCMC. 2075-2078 - Furkan Keskin, A. Enis Çetin

, Tulin Ersahin, Rengül Çetin-Atalay
:
Microscopic image classification via ℂWT-based covariance descriptors using Kullback-Leibler distance. 2079-2082 - Zhigang Zeng

, Wei Xing Zheng:
A study of exponential stability of multiple equilibria in delayed recurrent neural networks. 2083-2086 - Lin Zhu, Yongtao Ma, Qijun Zhang, Kaihua Liu:

An enhanced Neuro-Space mapping method for nonlinear microwave device modeling. 2087-2090 - Bilel Belhadj, Antoine Joubert, Olivier Temam, Rodolphe Héliot:

Configurable conduction delay circuits for high spiking rates. 2091-2094 - Ming Gu, Shantanu Chakrabartty:

Varactor-driven temperature compensation of CMOS floating-gate current memory. 2095-2098 - Chihiro Ikuta, Yoko Uwate, Yoshifumi Nishio

:
Investigation of Multi-Layer Perceptron with propagation of glial pulse to two directions. 2099-2102 - Jose Luis Muñoz-Gamarra

, Núria Barniol
, Jérôme Juillard:
Analysis of a MEMS-based ring oscillator. 2103-2106 - Chun-Xia Fan, Guo-Ping Jiang:

State estimation of complex dynamical network under noisy transmission channel. 2107-2110 - Akiko Takahashi, Hiroo Sekiya

, Kazuyuki Aihara, Takuji Kousaka:
A numerical approach to calculate grazing bifurcation points in an impact oscillator with periodic boundaries. 2111-2114 - Igor Mishkovski, Miroslav Mirchev

, Fernando Corinto
, Mario Biey:
Synchronization analysis of networks of identical and nearly identical Chua's oscillators. 2115-2118 - Federico Bizzarri

, Sergio Callegari
, Giambattista Gruosso
:
Towards a nearly optimal synthesis of power bridge commands in the driving of AC motors. 2119-2122 - Li-Wei Chu, Chun-Yu Lin

, Shiang-Yu Tsai, Ming-Dou Ker, Ming-Hsiang Song, Chewnpu Jou, Tse-Hua Lu, Jeng-Chou Tseng, Ming-Hsien Tsai, Tsun-Lai Hsu, Ping-Fang Hung, Tzu-Heng Chang:
Compact and low-loss ESD protection design for V-band RF applications in a 65-nm CMOS technology. 2127-2130 - Weifeng Zhang

, Jiwei Huang, Riyan Wang, Fang Min, Zhengping Li:
A 127mW SAW-less LTE transmitter with LC-load bootstrapped quadrature voltage modulator in 130nm RFCMOS. 2135-2138 - Yixiao Wang, Le Ye, Huailin Liao, Ru Huang:

Cost-efficient CMOS RF tunable bandpass filter with active inductor-less biquads. 2139-2142 - Ivan Bastos, Luís B. Oliveira, João Pedro Oliveira, João Goes, Manuel Medeiros Silva:

Balun LNA with continuously controllable gain and with noise and distortion cancellation. 2143-2146 - Ali Zahabi, Muhammad Anis, Maurits Ortmanns:

2.4GHz super-regeneration amplifier with degenerative quenching technique for RF-pulse width transceiver. 2147-2150 - Hui Wang

, Wufeng Wang, Jing Jin, Dongpo Chen, Jianjun Zhou
:
Anti-interference pseudo-differential wideband LNA for DVB-S.2 RF tuners. 2151-2154 - Abdullah Al Iftekhar Ahmed, Lihong Zhang:

Fast parasitic-aware synthesis methodology for high-performance analog circuits. 2155-2158 - Jun-Han Bae, Kyoung-Ho Kim, Seok Kim, Kee-Won Kwon, Jung-Hoon Chun:

A low-power dual-PFD phase-rotating PLL with a PFD controller for 5Gb/s serial links. 2159-2162 - Salvatore Pennisi

, Giuseppe Scotti
, Alessandro Trifiletti:
Autotuning technique for CMOS current mode capacitive sensor interfaces. 2163-2166 - Xuqiang Zheng, Fule Li, Xuan Wang, Chun Zhang:

A current-to-voltage integrator using area-efficient correlated double sampling technique. 2167-2170 - Sushrant Monga:

High speed stress tolerant 1.6 V - 3.6 V low to high voltage CMOS level shift architecture in 40 nm. 2171-2174 - Naoto Ogawa, Kohei Ohtani, Yasuhiro Sugimoto:

A low-voltage and stable phase compensation technique to realize an 99 dB, 650 MHz and 1.8 V three-stage Amplifier. 2175-2178 - Ronald Montesinos, Corinne Berland, Mazen Abi-Hussein, Olivier Venard, Philippe Descamps:

Performances of RF PA classes in LINC systems. 2179-2182 - Kazuhiro Shouno, You Amano:

Passive complex bandpass filter using lossy and loose coupling transformers. 2183-2186 - T. T. Thein, Choi L. Law

, K. Fu, Aye Aung:
Highly efficient compact size 0.7W broad bandwidth power amplifier. 2187-2190 - Peijun Wang, Yina Tang, Hui Wang, Guoxing Wang:

A novel overlapping coil structure for dual band telemetry system. 2191-2194 - Robert Rieger

, John Taylor, Chris Clarke:
Signal processing for velocity selective recording systems using analogue delay lines. 2195-2198 - Xiaojun Tu, Jeremy H. Holleman:

An ultra-low-power 902-928MHz RF receiver front-end in CMOS 90nm process. 2199-2202 - Tareq Hasan Khan

, Khan A. Wahid:
Implantable narrow band image compressor for capsule endoscopy. 2203-2206 - Enver G. Kilinc, Bastien Canovas, Franco Maloberti, Catherine Dehollain:

Intelligent cage for remotely powered freely moving animal telemetry systems. 2207-2210 - Yen-Ting Liu, Donald Y. C. Lie, Weibo Hu, Tam Q. Nguyen:

An ultralow-power CMOS transconductor design with wide input linear range for biomedical applications. 2211-2214 - Viswanathan Balasubramanian

, Pierre-François Ruedi, Christian C. Enz:
Noise canceling chopper stabilized front-end for electrochemical biosensors with improved dynamic range. 2215-2218 - Balavelan Thanigaivelan, Janet Wiles

, Tara Julia Hamilton
:
A low power neural recording amplifier with programmable gain and bandwidth. 2219-2222 - Ahmed El Kholy, Maged Ghoneima, Khaled Sharaf:

A 0.8V 6.4µW compact mixed-signal front-end for neural implants. 2223-2226 - Abdelaziz Trabelsi, Mounir Boukadoum

:
A comparative overview of two transimpedance amplifiers for biosensing applications. 2227-2230 - Ulrich Bihr, Maurits Ortmanns:

A front-end circuit with active spike and LFP separation via a switched capacitor filter structure for neural recording applications. 2231-2234 - Anthony Guilvard, Amir Eftekhar, Song Luan, Christofer Toumazou, Timothy G. Constandinou

:
A fully-programmable neural interface for multi-polar, multi-channel stimulation strategies. 2235-2238 - Song Luan, Timothy G. Constandinou

:
A novel charge-metering method for voltage mode neural stimulation. 2239-2242 - Khalid B. Mirza, Song Luan, Amir Eftekhar, Timothy G. Constandinou

:
Towards a fully-integrated solution for capacitor-based neural stimulation. 2243-2246 - Goutam Chandra Kar, Mohamad Sawan:

Low-power high-voltage charge pumps for implantable microstimulators. 2247-2250 - Bo-Han Hwang, Jay-Ann Yo, Jiann-Jong Chen

, Yuh-Shyan Hwang, Cheng-Chieh Yu:
A low-voltage low-noise DC-DC flyback converter with delta-sigma modulation. 2251-2254 - Bo-Han Hwang, Bin-Nan Sheen, Jiann-Jong Chen

, Yuh-Shyan Hwang, Cheng-Chieh Yu:
A low-voltage positive buck-boost converter using average-current-controlled techniques. 2255-2258 - Hongcheng Xu, Maurits Ortmanns:

A new class of integrated CMOS rectifiers with improved PVT-compensated efficiency. 2259-2262 - Chu-Hsiang Chia, Pui-Sun Lei, Robert Chen-Hao Chang

, Yu-Bin Hong:
A fully integrated DC-DC converter for dynamic voltage scaling applications. 2263-2266 - Chengwu Tao, Ayman A. Fayed

:
Output spectrum analysis of buck converters in DCM with PFM control. 2267-2270 - Federico Bizzarri

, Angelo Brambilla
, Giambattista Gruosso
, Giancarlo Storti Gajani, E. Ferrando:
ADDA: Almost direct drive architecture for solar high power electrical propulsion in new generation spacecrafts. 2271-2274 - Yong Sin Kim, Sung-Mo Kang, Roland Winston:

Maximizing power harvest in a distributed photovoltaic system. 2275-2278 - Shaul Ozeri:

A new type of microinverter for Photovoltaic power generation based on heterodyne power processing. 2279-2282 - Francesco Pulvirenti, Amedeo La Scala, Salvatore Pennisi

:
Low voltage-drop bypass switch for photovoltaic applications. 2283-2286 - Kazuo Nakazato:

BioCMOS LSIs for portable gene-based diagnostic inspection system. 2287-2290 - Jacob K. Rosenstein

, Kenneth L. Shepard:
High-throughput biology in the time domain: Improving temporal resolution of single-molecule sensors. 2291-2294 - Michael Zwolak

, Massimiliano Di Ventra:
DNA sequencing via electron tunneling. 2295-2298 - Yoshimitsu Yanagawa, Kazuo Ono, Akira Kotabe, Riichiro Takemura, Tatsuo Nakagawa, Tomio Iwasaki, Takayuki Kawahara

:
Fluctuation tolerant read scheme for ultrafast DNA sequencing with nanopore device. 2299-2302 - Che-Wei Huang, Hsiao-Ting Hsueh, Yu-Jie Huang, Jen-Kuang Lee, Min-Cheng Chen, Shey-Shi Lu

, Chih-Ting Lin
:
Low-cost and ultra-sensitive poly-Si nanowire biosensor for Hepatitis B Virus (HBV) DNA detection. 2303-2307 - Da Wang, Lunkai Zhang, Weizhi Xu, Dongrui Fan

, Fei Wang:
A SAT-based diagnosis pattern generation method for timing faults in scan chains. 2308-2312 - Wei-Cheng Lien

, Kuen-Jong Lee, Tong-Yu Hsieh, Shih-Shiun Chien, Krishnendu Chakrabarty
:
Accumulator-based output selection for test response compaction. 2313-2316 - Eman El Mandouh, Amr G. Wassal:

Automatic generation of hardware design properties from simulation traces. 2317-2320 - Sying-Jyan Wang

, Han-Hsuan Hsu, Katherine Shu-Min Li:
Low-power delay test architecture for pre-bond test. 2321-2324 - Khaled Salah, Alaa B. El-Rouby, Hani F. Ragai

, Yehea I. Ismail:
A closed form expression for TSV-based on-chip spiral inductor. 2325-2328 - Håkan Johansson, Amir Eghbali, Jimmie Lahti:

Tree-structured linear-phase Nyquist FIR filter interpolators and decimators. 2329-2332 - Li Chai, Qing-Long Han, Jingxin Zhang

:
On shift variance bounds in multi-channel filter banks. 2333-2336 - Xi Zhang, Hiroaki Morihara:

Design of Q-shift filters with flat group delay. 2337-2340 - Soo-Chang Pei, Chia-Chang Wen:

Fast Finite Field Orthogonal Transform without length constraint. 2341-2344 - Selvaraaju Murugesan, David B. H. Tay:

On the aliasing effect of the finer directional wavelet transform. 2345-2348 - Weibo Hu, Donald Y. C. Lie, Yen-Ting Liu:

An 8-bit single-ended ultra-low-power SAR ADC with a novel DAC switching method. 2349-2352 - Hsin-Yuan Huang, Jin-Yi Lin, Chih-Cheng Hsieh, Wen-Hsu Chang, Hann-Huei Tsai, Chin-Fong Chiu:

A 9.2b 47fJ/conversion-step asynchronous SAR ADC with input range prediction DAC switching. 2353-2356 - Yafei Ye, Liyuan Liu, Jiangyuan Li, Dongmei Li, Zhihua Wang:

A 120dB SNDR audio sigma-delta modulator with an asynchronous SAR quantizer. 2357-2360 - Jon Guerber, Hariprasath Venkatram, Taehwan Oh, Un-Ku Moon:

Enhanced SAR ADC energy efficiency from the early reset merged capacitor switching algorithm. 2361-2364 - Guanglei Zhang, Kye-Shin Lee:

SAR ADC using single-capacitor pulse width to analog converter based DAC. 2365-2368 - Ruey-Wen Liu, Rendong Ying, Xu Wang, Fan He, Bo Hu:

A multiple access for unlicensed spectrum. 2369-2372 - Lu Chuang, Yan Wu, Reza Mahmoudi, Marion K. Matters-Kammerer, Peter G. M. Baltus

:
A mm-wave analog adaptive array with genetic algorithm for interference mitigation. 2373-2376 - Christian Benkeser, Stefan Zwicky, Harald Kroll, Johannes Widmer, Qiuting Huang:

Efficient channel shortening for higher order modulation: Algorithm and architecture. 2377-2380 - Shaorui Li, Deping Huang, Jinghong Chen:

A PVT-robust current-mode passive mixer with source-degenerated transconductance amplifier. 2381-2384 - Philip Ostrovskyy, Christoph Scheytt

, Sung Jun Lee, Bong Hyuk Park
, Jae Ho Jung:
A fully digital polar modulator for switch mode RF power amplifier. 2385-2388 - Chua-Chin Wang, Chia-Hao Hsu, Yue-Da Tsai, Yun-Chi Chen, Ming-Chih Lee, I-Yu Huang:

A fast FPW-based protein concentration measurement system. 2389-2392 - Derek Ho

, M. Omair Noor, Ulrich Krüll, P. Glenn Gulak, Roman Genov:
Single-filter multi-color CMOS fluorescent contact sensing microsystem. 2393-2396 - Santosh Koppa, Youngjoong Joo:

Improvised NanoSPR biosensor system utilizing gold nanorods and nanohole array film. 2397-2400 - Lin Li, Xiaowen Liu, Andrew J. Mason:

Die-level photolithography and etchless parylene packaging processes for on-CMOS electrochemical biosensors. 2401-2404 - Abdelaziz Trabelsi, Mounir Boukadoum

, Mohamed Siaj
:
A dual-mode, low-power and low-noise 0.18µm CMOS front-end for optical biosensors. 2405-2408 - Jonathan Tapson

, André van Schaik
:
An asynchronous parallel neuromorphic ADC architecture. 2409-2412 - Runchun Wang, Jonathan Tapson

, Tara Julia Hamilton
, André van Schaik
:
An aVLSI programmable axonal delay circuit with spike timing dependent delay adaptation. 2413-2416 - Jayawan H. B. Wijekoon

, Piotr Dudek
:
Heterogeneous neurons and plastic synapses in a reconfigurable cortical neural network IC. 2417-2420 - Tarek M. Massoud, Timothy K. Horiuchi

:
A neuromorphic VLSI grid cell system. 2421-2424 - Dane S. Corneil, Daniel Sonnleithner, Emre Neftci

, Elisabetta Chicca
, Matthew Cook, Giacomo Indiveri
, Rodney J. Douglas:
Real-time inference in a VLSI spiking neural network. 2425-2428 - Ipshita Datta

, Debasish Datta:
BER-based power budget evaluation for optical interconnect topologies in NoCs. 2429-2432 - Yoon Seok Yang, Hrishikesh Deshpande, Gwan S. Choi, Paul Gratz

:
Exploiting path diversity for low-latency and high-bandwidth with the dual-path NoC router. 2433-2436 - Yingnan Cui, Wei Zhang

, Hao Yu
:
Decentralized agent based re-clustering for task mapping of tera-scale network-on-chip system. 2437-2440 - Eero Lehtonen, Jussi H. Poikonen, Mika Laiho

:
Implication logic synthesis methods for memristors. 2441-2444 - Yehia Massoud, Fan Xiong, Sami Smaili:

A memristor-based random modulator for compressive sensing systems. 2445-2448 - Nagendra Krishnapura

:
Introducing negative feedback with an integrator as the central element. 2449-2452 - José M. de la Rosa

:
Behavioral modeling techniques for teaching communication circuits and systems. 2453-2456 - Nagendra Krishnapura

:
Synthesis based introduction to opamps and phase locked loops. 2461-2464 - Vedat Tavsanoglu:

On the teaching of the axiomatics of physical systems. 2465-2468 - Rashid Iqbal, Pascal Andreas Meinerzhagen, Andreas Peter Burg

:
Two-port low-power gain-cell storage array: Voltage scaling and retention time. 2469-2472 - Amrut Kolhapure, Animesh Kumar:

SRAM in hold-operation: Modeling the interaction of soft-errors and switching power-supply noise. 2473-2476 - Su-Jin Cho, Jae-Woo Ahn, Hyojin Choi, Wonyong Sung:

Performance analysis of multi-bank DRAM with increased clock frequency. 2477-2480 - Suji Lee, Jongpil Jung, Chong-Min Kyung:

Hybrid cache architecture replacing SRAM cache with future memory technology. 2481-2484 - Geng-Cing Lin, Shao-Cheng Wang, Yi-Wei Lin, Ming-Chien Tsai, Ching-Te Chuang, Shyh-Jye Jou, Nan-Chun Lien, Wei-Chiang Shih, Kuen-Di Lee, Jyun-Kai Chu:

An all-digital bit transistor characterization scheme for CMOS 6T SRAM array. 2485-2488 - Somayeh Abdollahvand, João Goes

, Luís B. Oliveira
, Luís Gomes, Nuno Paulino
:
Low phase-noise temperature compensated self-biased ring oscillator. 2489-2492 - Junjie Lu, Jeremy Holleman:

A low-power dynamic comparator with time-domain bulk-driven offset cancellation. 2493-2496 - Jinzhou Cao, Raviv Raich, Gabor C. Temes, Gert Cauwenberghs

:
Multi-channel mixed-signal noise source with applications to stochastic equalization. 2497-2500 - Azhar A. Chowdhury, Gordon W. Roberts:

A probabilistic test instrument using a ΣΔ-encoded amplitude/phase-signal generation technique. 2501-2504 - Antonio Luchetta

, Stefano Manetti
:
Lumped model identification based on a double multi-valued neural network and frequency response analysis. 2505-2508 - Weisheng Zhao, Damien Querlioz, Jacques-Olivier Klein

, Djaafar Chabi, Claude Chappert:
Nanodevice-based novel computing paradigms and the neuromorphic approach. 2509-2512 - Gilberto Medeiros-Ribeiro, J. Joshua Yang

, Janice H. Nickel, Antonio Torrezan, John Paul Strachan, R. Stanley Williams
:
Designing memristors: Physics, materials science and engineering. 2513-2516 - Adam Makosiej, Rutwick Kumar Kashyap, Andrei Vladimirescu, Amara Amara, Costin Anghel:

A 32nm tunnel FET SRAM for ultra low leakage. 2517-2520 - Michael B. Henry, Shamik Das:

SPICE-compatible compact model for graphene field-effect transistors. 2521-2524 - Mircea R. Stan

, Mehdi Kabir, Jiwei Lu, Stuart A. Wolf:
Self-assembled multiferroic magnetic QCA structures for low power systems. 2525-2528 - Shuli Geng, Woogeun Rhee

, Zhihua Wang:
A pulse-shaped power amplifier with dynamic bias switching for IR-UWB transmitters. 2529-2532 - Mahdi Parvizi, Karim Allidina, Mourad N. El-Gamal:

An ultra low power, low voltage CMOS squarer circuit for non-coherent IR-UWB receivers. 2533-2536 - Andreas Pedross-Engel

, Klaus Witrisal
:
Sliding window integrator approximations for multichannel autocorrelation UWB receivers. 2537-2540 - Raslen Hamdi, Alexandre Desmarais, Aniss Belarbi, Dominic Deslandes, Frederic Nabki

:
A programmable OOK impulse radio ultra wideband transmitter with power cycling and spectral agility. 2541-2544 - Ju-Ching Li, Sungyong Jung, Youngjoong Joo, Ping Gui:

A current-steering DAC-based CMOS ultra-wideband transmitter with bi-phase modulation. 2545-2548 - Rabab Ezz-Eldin, Magdy A. El-Moursy, Amr M. Refaat:

Low leakage power NoC switch using AVC. 2549-2552 - Shien-Chun Luo, Chi-Ray Huang, Lih-Yih Chiou:

Minimum convertible voltage analysis for ratioless and robust subthreshold level conversion. 2553-2556 - Md Shahed Enamul Quadir

, Mohammad Rafiqul Haider, Yehia Massoud:
A low-power low-noise bioamplifier for multielectrode neural recording systems. 2557-2560 - Marius Enachescu

, George Razvan Voicu, Sorin Dan Cotofana
:
Is the road towards "Zero-Energy" paved with NEMFET-based power management? 2561-2564 - Bin Liao

, Kai Man Tsui, Shing-Chow Chan:
A new method for robust beamforming using iterative second-order cone programming. 2569-2572 - Ivo Boniolo, Sergio M. Savaresi, Mara Tanelli

:
Lean angle estimation in two-wheeled vehicles with a reduced sensor configuration. 2573-2576 - Jiuwen Cao, Zhiping Lin:

The detection bound of the probability of error in compressed sensing using Bayesian approach. 2577-2580 - Lili Yi, Sirajudeen Gulam Razul

, Zhiping Lin, Chong Meng Samson See:
Road-constraint assisted target tracking in mixed LOS/NLOS environments based on TDOA measurements. 2581-2584 - Jian-Feng Gu, Wei-Ping Zhu

, M. N. S. Swamy:
Accurate DOA estimation via sparse sensor array. 2585-2588 - Ye Zhang, Ralf Wunderlich, Stefan Heinen:

An ultra low power frequency synthesizer based on multiphase fractional frequency divider. 2589-2592 - Ping Lu, Ying Wu, Pietro Andreani:

A 90nm CMOS digital PLL based on Vernier-Gated-Ring-Oscillator Time-to-Digital Converter. 2593-2596 - Bo-Qian Jiang, Cheng-Liang Hung, Bing-Hung Chen, Kuo-Hsing Cheng:

A 6-Gb/s 3X-oversampling-like clock and data recovery in 0.13-µm CMOS technology. 2597-2600 - Bharath K. Vasan, Siva Sudani, Degang Chen, Randall L. Geiger:

Sinusoidal signal generation for production testing and BIST applications. 2601-2604 - Igor M. Filanovsky

, Brian Moore:
Contactless testing of on-chip oscillator operation. 2605-2608 - Yan Ying, Kaidi You, Liyang Zhou, Heng Quan, Ming-e Jing, Zhiyi Yu, Xiaoyang Zeng:

A pure software ldpc decoder on a multi-core processor platform with reduced inter-processor communication cost. 2609-2612 - Kai He, Jin Sha, Zhongfeng Wang:

Memory efficient column-layered decoder design for non-binary LDPC codes. 2613-2616 - Chuan Zhang, Jin Sha:

Efficient network for non-binary QC-LDPC decoder. 2617-2620 - Xin-Ru Lee, Chih-Lung Chen, Hsie-Chia Chang, Chen-Yi Lee:

Stochastic decoding for LDPC convolutional codes. 2621-2624 - Yaoyu Tao, Youn Sung Park, Zhengya Zhang

:
High-throughput architecture and implementation of regular (2, dc) nonbinary LDPC decoders. 2625-2628 - Ming Yin, David A. Borton, Juan Aceros, William R. Patterson, Arto V. Nurmikko:

A 100-channel hermetically sealed implantable device for wireless neurosensing applications. 2629-2632 - Yingke Gu, Guolin Li, Xiang Xie, Tianjia Sun, Shouhao Liu, Xiaomeng Li, Songping Mai, Zhihua Wang:

The design and implementation of a chipset for the endoscopic Micro-Ball. 2633-2636 - Hanqing Luo, Ming Liu, Hong Chen, Chun Zhang, Zhihua Wang:

A wireless force measurement system for Total Knee Arthroplasty. 2637-2640 - Hyunwoo Cho, Joonsung Bae

, Hoi-Jun Yoo:
A 39 µW body channel communication wake-up receiver with injection-locking ring-oscillator for wireless body area network. 2641-2644 - Irina Spulber, Pantelis Georgiou

, Amir Eftekhar, Chris Toumazou, Lynsey D. Duffell, Jeroen Bergmann
, Alison H. McGregor, Tinaz Mehta, Miguel Hernandez, Alison J. Burdett:
Frequency analysis of wireless accelerometer and EMG sensors data: Towards discrimination of normal and asymmetric walking pattern. 2645-2648 - Manuel Suarez, Víctor M. Brea

, Diego Cabello
, Ricardo Carmona-Galán
, Ángel Rodríguez-Vázquez
:
In-pixel generation of gaussian pyramid images by block reusing in 3D-CMOS. 2649-2652 - Przemyslaw Mroszczyk, Piotr Dudek

:
Trigger-wave collision detecting asynchronous cellular logic array for fast image skeletonization. 2653-2656 - Natalia A. Fernandez-Garcia, Víctor M. Brea

, Manuel Suarez, Diego Cabello
:
Scale- and rotation- invariant feature detectors on Cellular Processor Arrays. 2657-2660 - Sathit Prasomphan

, Hisashi Aomori, Mamoru Tanaka:
Missing image interpolation using sigma-delta modulation type of DT-CNN. 2661-2664 - Mika Laiho

, Eero Lehtonen, Wei Lu
:
Memristive analog arithmetic within cellular arrays. 2665-2668 - Shanzhen Lan, Qi Zhang, Xinggong Zhang, Zongming Guo:

Sparsity estimation in image compressive sensing. 2669-2672 - Linfeng Xu, Hongliang Li, Zhengning Wang:

Saliency detection from joint embedding of spatial and color cues. 2673-2676 - Lin Ma, Weisi Lin, Chenwei Deng, King N. Ngan

:
Study of subjective and objective quality assessment of retargeted images. 2677-2680 - Junjun Jiang

, Ruimin Hu, Zhen Han, Tao Lu, Kebin Huang:
Surveillance face hallucination via variable selection and manifold learning. 2681-2684 - Yihao Zhang, Weiyao Lin

, Bin Sheng, Jianxin Wu, Hongxiang Li, Chongyang Zhang:
Facial expression mapping based on elastic and muscle-distribution-based models. 2685-2688 - Vincent Lee, Jennifer Monski, Winthrop Williams, Bharathwaj Muthuswamy, Tom Swiontek, Michel M. Maharbiz, Vivek Subramanian

, Ferenc Kovac:
A mixed-signal EEG interface circuit for use in first year electronics courses. 2689-2692 - Arjuna Madanayake

, Len T. Bruton:
A combined approach to research and graduate-level teaching of multidimensional signal processing, circuits and systems. 2693-2696 - Ravi Prakash Ramachandran, Robi Polikar

, Kevin D. Dahm, Sachin S. Shetty
:
Open-ended design and performance evaluation of a biometric speaker identification system. 2697-2700 - Arjuna Madanayake

, Chamith Wijenayake
, Rimesh M. Joshi, Jim Grover, Joan Carletta, Jay L. Adams, Tom T. Hartley, Tokunbo Ogunfunmi
:
Teaching freshmen VHDL-based digital design. 2701-2704 - Youngkeun Kim, Masanori Natsui

, Takahiro Hanyu:
Variation-resilient current-mode logic circuit design using MTJ devices. 2705-2708 - Ludovic Noury

, Sophie Dupuis
, Nicolas Fel:
A reference low-complexity structured ASIC. 2709-2712 - Yueming Yang, Zewen Shi, Jianming Yu, Liulin Zhong, Xiaoyang Zeng, Zhiyi Yu:

Evaluating performance of manycore processors with various granularities considering yield and lifetime reliability. 2713-2716 - Meilin Zhang, Qiaoyan Yu, Paul Ampadu:

Fine-grained splitting methods to address permanent errors in Network-on-Chip links. 2717-2720 - Thorsten Jungeblut

, Johannes Ax
, Mario Porrmann
, Ulrich Rückert:
A TCMS-based architecture for GALS NoCs. 2721-2724 - Marco Macedo, Gordon W. Roberts, Ishiang Shih:

Track and hold for Giga-sample ADC applications using CMOS technology. 2725-2728 - Long Cheng, Yu-Jing Lin, Fan Ye, Ning Li, Junyan Ren:

Output-dependent delay cancellation technique for high-accuracy current-steering DACs. 2729-2732 - Chun-Hsun Wu, Le-Ren Chang-Chien

:
Full quiescent current enhancement technique for improving transient response on the output-capacitorless Low-Dropout regulator. 2733-2736 - Yu Lin, Kostas Doris, Hans Hegt, Arthur H. M. van Roermund:

A dynamic latched comparator for low supply voltages down to 0.45 V in 65-nm CMOS. 2737-2740 - Mounir Boukadoum

, Frederic Nabki
, Wessam Ajib:
Towards neural network-based design of radiofrequency low-noise amplifiers. 2741-2744 - Rajib Lochan Das, Mrityunjoy Chakraborty:

Sparse adaptive filters - An overview and some new results. 2745-2748 - Masahiro Yukawa, Yuta Tawara, Masao Yamagishi, Isao Yamada:

Sparsity-aware adaptive filters based on ℓp-norm inspired soft-thresholding technique. 2749-2752 - Rajan S. Rashobh, Andy W. H. Khong:

A variable step-size multichannel equalization algorithm exploiting sparseness measure for room acoustics. 2753-2756 - Constantin Paleologu, Jacob Benesty

:
Proportionate affine projection algorithms from a basis pursuit perspective. 2757-2760 - Thomas K. Paul, Tokunbo Ogunfunmi

:
Analysis of the convergence behavior of the complex Gaussian kernel LMS algorithm. 2761-2764 - Chien-Cheng Tseng, Su-Ling Lee:

Digital image sharpening using fractional derivative and mach band effect. 2765-2768 - Yu-Wen Tsai, Fan-Chieh Cheng, Shanq-Jang Ruan:

Constant time O(1) contextual and variational contrast enhancement with integral histogram. 2769-2772 - Fanman Meng, Hongliang Li, Guanghui Liu:

Image co-segmentation via active contours. 2773-2776 - Soo-Chang Pei, Tzu-Yen Lee:

Effective image haze removal using dark channel prior and post-processing. 2777-2780 - Soo-Chang Pei, Chih-Tsung Shen, Wen-Hui Chu:

Edge-preserving image decomposition based on guided upper/lower envelops. 2781-2784 - Kuo-Chiang Chang, Yu-Wen Chen, Yu-Ting Kuo, Chih-Wei Liu:

A low power hearing aid computing platform using lightweight processing elements. 2785-2788 - Dingguo Wei, Chun Zhang, Yan Cui, Hong Chen, Zhihua Wang:

Design of a low-cost low-power baseband-processor for UHF RFID tag with asynchronous design technique. 2789-2792 - Jaeseong Kim, Shingo Yoshizawa, Yoshikazu Miyanaga

:
A low-power MMSE MIMO detector using dynamic voltage wordlength scaling for 4×4 MIMO-OFDM systems. 2793-2796 - Shahaboddin Moazzeni, Glenn E. R. Cowan, Mohamad Sawan:

A 28µW sub-sampling based wake-up receiver with -70dBm sensitivity for 915MHz ISM band applications. 2797-2800 - Shuo-Wen Hsu, Tian-Sheuan Chang

:
A low complexity speech coder for binaural communication in hearing aids. 2801-2804 - Mauro Mangia

, Fabio Pareschi
, Riccardo Rovatti
, Gianluca Setti, Giovanni Frattini:
Coping with saturating projection stages in RMPI-based Compressive Sensing. 2805-2808 - H. C. Wu

, Shing-Chow Chan, Kai Man Tsui:
Robust Logistic Principal Component Regression for classification of data in presence of outliers. 2809-2812 - Muhammad Tahir Akhtar

, Tzyy-Ping Jung
, Scott Makeig, Gert Cauwenberghs
:
Recursive independent component analysis for online blind source separation. 2813-2816 - Ke Deng, Qinye Yin, Yan Zhang, Huiming Wang:

Blind closed form parameters estimation for hybrid sources. 2817-2820 - Wei Li, Ke Deng, Qinye Yin, Huiming Wang:

Blind closed-form DOA estimation for distributed sources. 2821-2824 - Chen Zhao, Randall L. Geiger, Degang Chen:

A compact low-power supply-insensitive CMOS current reference. 2825-2828 - Shun Bai, Stan Skafidas

:
A simple voltage reference with ultra supply independency. 2829-2832 - G. T. Ong, P. K. Chan:

A low noise, 1.28µA quiescent regulator with broadband high PSR for micropower sensors. 2833-2836 - Xiaocheng Jing, Philip K. T. Mok

, Cheng Huang
, Fan Yang
:
A 0.5V nanoWatt CMOS voltage reference with two high PSRR outputs. 2837-2840 - Martin Wiessflecker, Günter Hofer, Gerald Holweg, Hannes Reinisch, Wolfgang Pribyl:

A sub 1V self clocked switched capacitor bandgap reference with a current consumption of 180nA. 2841-2844 - Yifan Ren, Guanghui He, Jun Ma:

High-throughput sorted MMSE QR decomposition for MIMO detection. 2845-2848 - Chunhui Ju, Jun Ma, Chengzhi Tian, Guanghui He:

VLSI implementation of an 855 Mbps high performance soft-output K-Best MIMO detector. 2849-2852 - Liang Liu, Johan Löfgren, Peter Nilsson:

A unified multi-mode MIMO detector with soft-output. 2853-2856 - Yuya Miyaoka, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi:

Sorted QR decomposition for high-speed MMSE MIMO detection based wireless communication systems. 2857-2860 - Andreas Minwegen, Dominik Auras, Uwe Deidersen, Gerd Ascheid:

Architectures for MIMO-OFDM simplified decision directed channel estimation. 2861-2864 - Francesco Mazzilli, Prakash E. Thoppay, Vincent Praplan, Catherine Dehollain:

Ultrasound energy harvesting system for deep implanted-medical-devices (IMDs). 2865-2868 - Meysam Zargham, P. Glenn Gulak:

High-efficiency CMOS rectifier for fully integrated mW wireless power transfer. 2869-2872 - Meysam Zargham, P. Glenn Gulak:

Fully-integrated, power-efficient regulator and bandgap circuits for wireless-powered biomedical applications. 2873-2876 - Onur Kazanc, Franco Maloberti, Catherine Dehollain:

Simulation oriented rectenna design methodology for remote powering of wireless sensor systems. 2877-2880 - Christopher J. Mandic, Daibashish Gangopadhyay, David J. Allstot:

A 1.1µW 2.1µVRMS input noise chopper-stabilized amplifier for bio-medical applications. 2881-2884 - Ravi Krishna Shaga, Shantanu Chakrabartty:

ΣΔ gradient-descent learning for online real-time calibration of digitally-assisted analog circuits. 2885-2888 - Dzmitry Maliuk, Yiorgos Makris

:
A dual-mode weight storage analog neural network platform for on-chip applications. 2889-2892 - Wenjun Xia, Tadashi Shibata:

Self-adaptive quasi-Gaussian circuits for analog on-chip-trainable multi-class classifiers. 2893-2896 - Horacio Rostro-González

, Guillaume Garreau
, Andreas G. Andreou
, Julius Georgiou
, Jose Hugo Barron-Zambrano
, César Torres-Huitzil:
An FPGA-based approach for parameter estimation in spiking neural networks. 2897-2900 - Hooman Jarollahi, Naoya Onizawa, Vincent Gripon, Warren J. Gross:

Architecture and implementation of an associative memory using sparse clustered networks. 2901-2904 - Feng Lao, Xinggong Zhang, Zongming Guo:

Parallelizing video transcoding using Map-Reduce-based cloud computing. 2905-2908 - Ho Sun Jung, Un Seob Kim, Myung Hoon Sunwoo:

Efficient computation reduction algorithms for frame rate up-conversion. 2909-2912 - Vinit Jakhetiya, Sunil Prasad Jaiswal

, Anil Kumar Tiwari, Oscar C. Au:
Interpolation based symmetrical predictor structure for lossless image coding. 2913-2916 - Jun Ke, Edmund Y. Lam

:
Nonlinear image reconstruction in block-based compressive imaging. 2917-2920 - Haoming Chen, Bing Zeng:

Design of low-complexity, non-separable 2-D transforms based on butterfly structures. 2921-2924 - Joos Vandewalle:

Shortcuts in circuits and systems education with a case study of the Thévenin/Helmholtz and Norton/Mayer equivalents. 2925-2928 - Bernhard E. Boser:

A first course in electronics. 2929-2932 - Babak Ayazifar:

The elegant geometry of fourier analysis. 2933-2936 - Scott Ambelang, Bharathwaj Muthuswamy:

From Van der Pol to Chua: An introduction to nonlinear dynamics and chaos for second year undergraduates. 2937-2940 - Jianfei Jiang, Wei-Guang Sheng, Zhi-Gang Mao, Wei-Feng He:

A pre-emphasis circuit design for high speed on-chip global interconnect. 2941-2944 - Yahya Lakys

, Weisheng Zhao, Jacques-Olivier Klein
, Claude Chappert:
MRAM crossbar based configurable logic block. 2945-2948 - Shilpa Agarwal, Tzu-Chieh Kuo, Alan N. Willson Jr.:

A 275 MHz quadrature modulator in 0.18-µm CMOS. 2949-2952 - Erkka Laulainen, Matthew J. Turnquist, Jani Mäkipää, Lauri Koskinen:

Adaptive subthreshold timing-error detection 8 bit microcontroller in 65 nm CMOS. 2953-2956 - Bard Haaheim, Timothy G. Constandinou

:
A sub-1µW, 16kHz current-mode SAR-ADC for single-neuron spike recording. 2957-2960 - Xu Zhang, Ming Liu, Hong Chen, Chun Zhang, Zhihua Wang:

A wide dynamic range and fast update rate integrated interface for capacitive sensors array. 2961-2964 - Shang-Hsien Yang, Chua-Chin Wang:

Feed-forward Output Swing Prediction AGC with Parallel-Detect Singular-Store Peak Detector. 2965-2968 - Chia-Hung Chen, Joseph Crop, Jeongseok Chae

, Patrick Chiang, Gabor C. Temes:
A 12-bit 7 µW/channel 1 kHz/channel incremental ADC for biosensor interface circuits. 2969-2972 - Li Lu, Changzhi Li

, Jinghong Chen:
An all-CMOS low supply voltage temperature sensor front-end with error correction techniques. 2973-2976 - Mohamed Afifi, Ahmed Shahein, Michael Maurer, Matthias Keller, Yiannos Manoli:

A self calibration technique for tunable continuous-time bandpass delta-sigma modulators. 2977-2980 - Benjamín T. Reyes, Venu Gopinathan, Pablo Sergio Mandolesi, Mario R. Hueda:

Joint sampling-time error and channel skew calibration of time-interleaved ADC in multichannel fiber optic receivers. 2981-2984 - Sounak Roy, Bibhudatta Sahoo

, Swapna Banerjee:
Radix based digital calibration technique for pipelined ADC using Nyquist sampling of sinusoid. 2985-2988 - João Pacheco, Michael Figueiredo, Nuno Paulino

, João Goes
:
Current-mode reference shifting solution for MDAC-based analog-to-digital converters. 2989-2992 - Tao Tong, Wenhuan Yu, Pavan Kumar Hanumolu, Gabor C. Temes:

Calibration technique for SAR analog-to-digital converters. 2993-2996 - Amin Chegeni, Reza Shayanfar, Khayrollah Hadidi, Abdollah Khoei

:
Input dependent clock jitter in high speed and high resolution ADCs. 2997-3000 - Chongjun Ding, Liang Zou, Matthias Keller, Yiannos Manoli:

Approaches to digital compensation of excess loop delay in continuous-time Delta-Sigma modulators using a scaled quantizer. 3001-3004 - Kumar Y. B. Nithin, Edoardo Bonizzoni, Amit Patra, Franco Maloberti:

Interference rejection in delay line based quadrature band-pass ΣΔ modulators. 3005-3008 - Tuan Vu Cao, Dag T. Wisland, Tor Sverre Lande

:
High resolution Frequency-based Delta-Sigma Modulator utilizing multi-phase quantizer. 3009-3012 - Li Zhang, Chip-Hong Chang

:
State encoding watermarking for field authentication of sequential circuit intellectual property. 3013-3016 - Zhengfan Xia, Shota Ishihara, Masanori Hariyama, Michitaka Kameyama:

Dual-rail/single-rail hybrid logic design for high-performance asynchronous circuit. 3017-3020 - Md. Sajjad Rahaman, Masud H. Chowdhury:

Exploiting negative quantum capacitance of carbon nanotube FETs for low power applications. 3021-3024 - Yangyang Tang, Chris Winstead, Emmanuel Boutillon, Christophe Jégo, Michel Jézéquel:

An LDPC decoding method for fault-tolerant digital logic. 3025-3028 - Jordi Madrenas, Daniel Fernández

, Chunyan Wang:
LCMOS: Light-powered standard CMOS circuits. 3029-3032 - Dimitrios Schinianakis, Alexander Skavantzos, Thanos Stouraitis

:
GF(2n) Montgomery multiplication using Polynomial Residue Arithmetic. 3033-3036 - Itamar Levi, Ori Bass, Asaf Kaizerman, Alexander Belenky, Alexander Fish

:
High speed Dual Mode Logic Carry Look Ahead Adder. 3037-3040 - Xinmiao Zhang, Fang Cai, Richard Shi:

Low-power LDPC decoding based on iteration prediction. 3041-3044 - Amir Kaivani, Li Chen, Seok-Bum Ko

:
High-frequency sequential decimal multipliers. 3045-3048 - Sheng-Hong Wang, Wen-Ching Lin, Jheng-Hao Ye, Ming-Der Shieh:

Fast scalable radix-4 Montgomery modular multiplier. 3049-3052 - Merwan Birem, François Berry:

FPGA-based real time extraction of visual features. 3053-3056 - Tae-Sun Kim, Ho Il Bang, Myung Hoon Sunwoo:

Flexible IME instruction and its architecture for various fast ME algorithms. 3057-3060 - Joon Ho Hyun, Myung Jin Park, Young Hwan Kim, Hi-Seok Kim:

ASIP-based control system for LED matrix display. 3061-3064 - Katayoun Neshatpour, Mojtaba Mahdavi, Mahdi Shabany:

A low-complexity high-throughput ASIC for the SC-FDMA MIMO detectors. 3065-3068 - Randeel Wimalagunarathne, Arjuna Madanayake

, Donald G. Dansereau
, Len T. Bruton:
A systolic-array architecture for first-order 4-D IIR frequency-planar digital filters. 3069-3072 - Jean-François Nezan, Nicolas Siret, Matthieu Wipliez, Francesca Palumbo

, Luigi Raffo
:
Multi-purpose systems: A novel dataflow-based generation and mapping strategy. 3073-3076 - Jienan Chen, Jianhao Hu, Shuyang Li:

Low power digital signal processing scheme via stochastic logic protection. 3077-3080 - Mohd Azman Abdul Latif, Noohul Basheer Zain Ali, Fawnizu Azmadi Hussin

:
Design for cold test elimination - facing the Inverse Temperature Dependence (ITD) challenge. 3081-3085 - Chao-Yang Chang, Pai-Cheng Tso, Chung-Hsun Huang, Po-Hui Yang:

A fast wake-up power gating technique with inducing a balanced rush current. 3086-3089 - Eric P. Kim, Hun-Seok Kim, Manish Goel:

Coding for jointly optimizing energy and peak current in deep sub-micron VLSI interconnects. 3090-3093 - Fang Tang, Bo Wang

, Amine Bermak
:
80dB dynamic range 100KHz bandwidth inverter-based ΣΔ ADC for CMOS image sensor. 3094-3097 - Beatriz Blanco-Filgueira, Paula López

, Jens Döge
, Manuel Suarez, Juan Bautista Roldán:
Evidence of the lateral collection significance in small CMOS photodiodes. 3098-3101 - Michael Choi, Woon Tiong Ang, Jie Chen:

Close-proximity, real-time thermoacoustic sensors: Design, characterization, and testing. 3102-3105 - Ji-an Luo, Xiao-Ping (Steven) Zhang, Zhi Wang:

Direction-of-arrival estimation using sparse variable projection optimization. 3106-3109 - Minhao Yang

, Shih-Chii Liu, Cheng-Han Li, Tobi Delbruck
:
Addressable current reference array with 170dB dynamic range. 3110-3113 - Bo Wang

, Man Kay Law, Fang Tang, Amine Bermak
:
A sub-1V BJT-based CMOS temperature sensor from -55 °C to 125 °C. 3114-3117 - Yaesuk Jeong

, Farrokh Ayazi:
Process compensated CMOS temperature sensor for microprocessor application. 3118-3121 - Jorge Fernández-Berni

, Laurentiu Acasandrei, Ricardo Carmona-Galán
, Angel Barriga Barros
, Ángel Rodríguez-Vázquez:
Power-efficient focal-plane image representation for extraction of enriched Viola-Jones features. 3122-3125 - Shuang Xie

, Wai Tung Ng:
A 0.02 nJ self-calibrated 65nm CMOS delay line temperature sensor. 3126-3129 - Viktor Gruev:

Fabrication of a dual-layer aluminum nanowires polarization filter array. 3130-3133 - Christoph Zorn, Christian Widemann, Timon Brückner, Maurits Ortmanns, Wolfgang Mathis

:
Peaking reduced STF design for CT ΣΔ modulators with selective pole compensation. 3134-3137 - Rudolf Ritter, John G. Kauffman, Maurits Ortmanns:

A power efficient MDAC design with correlated double sampling for a 2-step-flash ADC. 3138-3141 - Pinar Basak Basyurt, Devrim Yilmaz Aksin

:
Design of a curvature-corrected bandgap reference with 7.5ppm/C temperature coefficient in 0.35µm CMOS process. 3142-3145 - Carlos Quemada

, Travis L. Cochran, Dong Sam Ha:
A compact resistorless 1.5-V CMOS current reference with 16.5-ppm/°C temperature coefficient. 3146-3149 - Alireza Saberkari, Herminio Martínez, Eduard Alarcón:

Fast transient response CFA-based LDO regulator. 3150-3153 - Edinei Santin, Luís Bica Oliveira

, João Goes
:
Fast and accurate estimation of gain and sample-time mismatches in time-interleaved ADCs using on-chip oscillators. 3154-3157 - Chen Yao, Fredrik Jonsson, Jian Chen, Li-Rong Zheng:

A high-resolution Time-to-Digital Converter based on parallel delay elements. 3158-3161 - Michael Trakimas, Timothy M. Hancock

, Sameer R. Sonkusale:
A Compressed sensing analog-to-information converter with edge-triggered SAR ADC Core. 3162-3165 - Jian Guo, Sameer R. Sonkusale:

A 22-bit 110ps time-interpolated Time-to-Digital Converter. 3166-3169 - Toshihiro Konishi, Keisuke Okuno, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi

:
A 51-dB SNDR DCO-based TDC using two-stage second-order noise shaping. 3170-3173 - Chien-Cheng Tseng, Su-Ling Lee:

Design of variable fractional order differentiator using a modular cascade structure. 3174-3177 - Shunsuke Yamaki, Masahide Abe, Masayuki Kawamata:

Transfer functions of second-order digital filters with two equal second-order modes. 3178-3181 - Sumit Jagdish Darak

, A. Prasad Vinod
, Edmund Ming-Kit Lai:
Design of variable linear phase FIR filters based on second order frequency transformations and coefficient decimation. 3182-3185 - Amir Eghbali, Håkan Johansson:

Reconfigurable two-stage Nyquist filters utilizing the farrow structure. 3186-3189 - I-Hung Khoo, Hari C. Reddy, Lan-Da Van, Chin-Teng Lin

:
Delta operator based 2-D VLSI filter structures without global broadcast and incorporation of the quadrantal symmetry. 3190-3193 - Michael A. Soderstrand:

Issues in enhanced narrow-band signal attenuation in DSSS BPSK receiver systems. 3194-3197 - Zhenhua Ma, Le Yang

, K. C. Ho:
Circle fitting using semi-definite programming. 3198-3201 - Shih-Hao Chen, Shi-Huang Chen, Trieu-Kien Truong:

Automatic music genre classification based on wavelet package transform and best basis algorithm. 3202-3205 - Qi Huang, Di Zhu, Liter Siek

:
A novel analog-to-residue conversion scheme based on clock overlapping technique. 3206-3209 - Mustafa Al-Ani

, Andrzej Tarczynski
:
Efficient multidimensional sampling scheme for Fourier transform estimation. 3210-3213 - Tokunbo Ogunfunmi

, David Hardell:
Linear-prediction whitening with convex combining in constant modulus equalizers. 3214-3217 - Modesto G. Medina-Meléndrez

, Miguel O. Arias-Estrada
, Albertina Castro:
Using a Scaling Factor in O(1/N) for the fixed-point implementation of the second-order goertzel filter. 3218-3221 - Yusaku Tanaka, Naoto Sasaoka, Yoshio Itoh, Masaki Kobayashi:

Active noise control with bias free pre-inverse adaptive system. 3222-3225 - Zi-Gang Lin, Han-Wen Kuo, Zi-Jing Guo, Chun-Jen Tsai:

Stack memory design for a low-cost instruction folding Java processor. 3226-3229 - Ken-Chung Ho:

Estimating dither thresholds from the average of halftone dots. 3230-3233 - Fredrik Toft, Niclas Rousk, Jonas Mårtensson

, Marco Forzati, Bengt-Erik Olsson, Per Larsson-Edefors:
Feasibility study of FPGA-based equalizer for 112-Gbit/s optical fiber receivers. 3234-3237 - Keiichi Funaki, Takehito Higa:

Evaluation of F0 estimation using ZFR based on time-varying speech analysis. 3238-3241 - Andreas Wassatsch, Rainer Richter:

DCE3 - An universal real-time clustering engine. 3242-3245 - Kyounghoon Jang, Hosang Jo, Jihong Yuk, Hyunjung Kang, Bongsoon Kang:

The enhancement net power control for lifetime improvement of AMOLED. 3246-3249 - Elio Consoli, Gianluca Giustolisi, Gaetano Palumbo:

Logic gates dynamic modeling by means of an ultra-compact MOS model. 3250-3253 - Jin-Tai Yan, Chia-Han Kao, Ming-Chien Huang, Zhi-Wei Chen:

Efficient assignment of inter-die signals for die-stacking SiP design. 3254-3257 - Ghaith Bany Hamad, Otmane Aït Mohamed, Syed Rafay Hasan, Yvon Savaria:

Identification of soft error glitch-propagation paths: Leveraging SAT solvers. 3258-3261 - Bongki Lee, Byung-Gyu Ahn, Jaehwan Kim, Minbeom Kim, Jong-Wha Chong:

A novel methodology for power delivery network optimization in 3-D ICs using through-silicon-via technology. 3262-3265 - Taimur Gibran Rabuske

, Renan B. Pinheiro, Jorge R. Fernandes
, Cesar Ramos Rodrigues
:
PyCO: A parallel genetic algorithm optimization tool for analog circuits. 3266-3269 - Xiaofang Pan

, Xiaojin Zhao, Amine Bermak
, Zhiyong Fan
:
Fabrication of a low power CMOS-compatible ZnO nanocomb-based gas sensor. 3270-3273 - Po-Jen Yang, Po-Tsang Huang, Wei Hwang:

Substrate noise suppression technique for power integrity of TSV 3D integration. 3274-3277 - Soumyasanta Laha, Michal Lorek, Savas Kaya:

Optimum biasing and design of high performance double gate MOSFET RF mixers. 3278-3281 - Amin Pak, Yasser Mafinejad, Abbas Z. Kouzani, Hooman Nabovati, Khalil Mafinezhad:

Accurate modeling of low actuation voltage RFMEMS switches using artificial neural networks. 3282-3284

manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.


Google
Google Scholar
Semantic Scholar
Internet Archive Scholar
CiteSeerX
ORCID














