Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2012.bht:"
@inproceedings{DBLP:conf/iscas/AbdelhalimG12, author = {Karim Abdelhalim and Roman Genov}, title = {Compact chopper-stabilized neural amplifier with low-distortion high-pass filter in 0.13{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1075--1078}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271415}, doi = {10.1109/ISCAS.2012.6271415}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelhalimG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdollahvandGOGP12, author = {Somayeh Abdollahvand and Jo{\~{a}}o Goes and Lu{\'{\i}}s B. Oliveira and Lu{\'{\i}}s Gomes and Nuno Paulino}, title = {Low phase-noise temperature compensated self-biased ring oscillator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2489--2492}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271805}, doi = {10.1109/ISCAS.2012.6271805}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AbdollahvandGOGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbeYT12, author = {Shin{-}ya Abe and Masao Yanagisawa and Nozomu Togawa}, title = {An energy-efficient high-level synthesis algorithm for huddle-based distributed-register architectures}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {576--579}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272096}, doi = {10.1109/ISCAS.2012.6272096}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbeYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AfifiSMKM12, author = {Mohamed Afifi and Ahmed Shahein and Michael Maurer and Matthias Keller and Yiannos Manoli}, title = {A self calibration technique for tunable continuous-time bandpass delta-sigma modulators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2977--2980}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271943}, doi = {10.1109/ISCAS.2012.6271943}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AfifiSMKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgarwalKW12, author = {Shilpa Agarwal and Tzu{-}Chieh Kuo and Alan N. Willson Jr.}, title = {A 275 MHz quadrature modulator in 0.18-{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2949--2952}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271935}, doi = {10.1109/ISCAS.2012.6271935}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AgarwalKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedZ12, author = {Abdullah Al Iftekhar Ahmed and Lihong Zhang}, title = {Fast parasitic-aware synthesis methodology for high-performance analog circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2155--2158}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271714}, doi = {10.1109/ISCAS.2012.6271714}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmedZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhnC12, author = {Junwhan Ahn and Kiyoung Choi}, title = {Lower-bits cache for low power {STT-RAM} caches}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {480--483}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272069}, doi = {10.1109/ISCAS.2012.6272069}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhnC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AjblPK12, author = {Andrea Ajbl and Marc Pastre and Maher Kayal}, title = {A Hall sensor microsystem with continuous gain calibration using fully integrated references}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1399--1402}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271505}, doi = {10.1109/ISCAS.2012.6271505}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AjblPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkhtarJMC12, author = {Muhammad Tahir Akhtar and Tzyy{-}Ping Jung and Scott Makeig and Gert Cauwenberghs}, title = {Recursive independent component analysis for online blind source separation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2813--2816}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271896}, doi = {10.1109/ISCAS.2012.6271896}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkhtarJMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-AniT12, author = {Mustafa Al{-}Ani and Andrzej Tarczynski}, title = {Efficient multidimensional sampling scheme for Fourier transform estimation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3210--3213}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272007}, doi = {10.1109/ISCAS.2012.6272007}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-AniT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Albo-CanalsNSV12, author = {Jordi Albo{-}Canals and Joan Navarro and D. Serra{-}Puig and Xavier Vilas{\'{\i}}s{-}Cardona}, title = {A robot swarm as a cellular multicore processor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {173--176}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271596}, doi = {10.1109/ISCAS.2012.6271596}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Albo-CanalsNSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoPP12, author = {Massimo Alioto and Gaetano Palumbo and Melita Pennisi}, title = {A simple keeper topology to reduce delay variations in nanometer domino logic}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1576--1579}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271554}, doi = {10.1109/ISCAS.2012.6271554}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoPP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AllardGSD12, author = {Mathieu Allard and Patrick Grogan and Yvon Savaria and Jean{-}Pierre David}, title = {Two-level configuration for {FPGA:} {A} new design methodology based on a computing fabric}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {265--268}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271851}, doi = {10.1109/ISCAS.2012.6271851}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AllardGSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlzalgAGHRSW12, author = {Baha Alzalg and Catalina V. Anghel and Wenying Gan and Qing Huang and Mustazee Rahman and Alex Shum and Chai Wah Wu}, title = {Contingency constrained optimal power flow solutions in complex network power grids}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1636--1639}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271569}, doi = {10.1109/ISCAS.2012.6271569}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AlzalgAGHRSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmayriB12, author = {Ola Amayri and Nizar Bouguila}, title = {Content-based spam filtering using hybrid generative discriminative learning of both textual and visual features}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {862--865}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272177}, doi = {10.1109/ISCAS.2012.6272177}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmayriB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmbelangM12, author = {Scott Ambelang and Bharathwaj Muthuswamy}, title = {From Van der Pol to Chua: An introduction to nonlinear dynamics and chaos for second year undergraduates}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2937--2940}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271932}, doi = {10.1109/ISCAS.2012.6271932}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmbelangM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmbroseIP12, author = {Jude Angelo Ambrose and Aleksandar Ignjatovic and Sri Parameswaran}, title = {CoRaS: {A} multiprocessor key corruption and random round swapping for power analysis side channel attacks: {A} {DES} case study}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {253--256}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271818}, doi = {10.1109/ISCAS.2012.6271818}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmbroseIP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AngusR12, author = {Andrew C. R. Angus and H. Martin Reekie}, title = {{STF} behaviour in optimised for {ELD} cascaded {CT} Delta-Sigma Modulators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {57--60}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272094}, doi = {10.1109/ISCAS.2012.6272094}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AngusR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnhCZZ12, author = {Thi Nhat Anh Nguyen and Jianfei Cai and Juyong Zhang and Jianmin Zheng}, title = {Constrained active contours for boundary refinement in interactive image segmentation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {870--873}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272179}, doi = {10.1109/ISCAS.2012.6272179}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnhCZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Aniruddhan12, author = {Sankaran Aniruddhan}, title = {Quadrature generation techniques in {CMOS} relaxation oscillators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1375--1378}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271499}, doi = {10.1109/ISCAS.2012.6271499}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Aniruddhan12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AokiHOO12, author = {Takashi Aoki and Eiichi Hosoya and Takuya Otsuka and Akira Onozawa}, title = {A novel hardware algorithm for real-time image recognition based on real AdaBoost classification}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1119--1122}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271427}, doi = {10.1109/ISCAS.2012.6271427}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AokiHOO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AungTL12, author = {Aye Aung and Kah Chan Teh and Kwok Hung Li}, title = {Detection of partial-band noise interference in slow {FH/QPSK} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1263--1266}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271467}, doi = {10.1109/ISCAS.2012.6271467}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AungTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ayazifar12, author = {Babak Ayazifar}, title = {The elegant geometry of fourier analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2933--2936}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271931}, doi = {10.1109/ISCAS.2012.6271931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ayazifar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AyhanYEY12, author = {Tuba Ayhan and Ramazan Yeniceri and Selman Ergunay and M{\"{u}}stak Erhan Yal{\c{c}}in}, title = {Hybrid processor population for odor processing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {177--180}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271607}, doi = {10.1109/ISCAS.2012.6271607}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AyhanYEY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzconaCCM12, author = {Cristina Azcona and Bel{\'{e}}n Calvo and Santiago Celma and Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s}, title = {A novel rail-to-rail differential voltage-to-frequency converter for portable sensing systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1987--1990}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271667}, doi = {10.1109/ISCAS.2012.6271667}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzconaCCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzizKMK12, author = {Pervez M. Aziz and Hiroshi Kimura and Amaresh V. Malipatil and Shiva Kotagiri}, title = {A class of downsampled floating tap {DFE} architectures with application to serial links}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {325--328}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272017}, doi = {10.1109/ISCAS.2012.6272017}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzizKMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaeKKKC12, author = {Jun{-}Han Bae and Kyoung{-}Ho Kim and Seok Kim and Kee{-}Won Kwon and Jung{-}Hoon Chun}, title = {A low-power dual-PFD phase-rotating {PLL} with a {PFD} controller for 5Gb/s serial links}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2159--2162}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271715}, doi = {10.1109/ISCAS.2012.6271715}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaeKKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaeYKK12, author = {Jonghyun Bae and Yujin Yun and Kyungman Kim and Jaeseok Kim}, title = {A new edge directed interpolation algorithm using accurate estimation of edge directional covariance}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1223--1226}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271456}, doi = {10.1109/ISCAS.2012.6271456}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaeYKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BagheriBL12, author = {Mahdi Bagheri and Rahim Bagheri and Lawrence E. Larson}, title = {Wide tuning range {CMOS} {LC} quadrature oscillators based on quadrature mode switching}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1752--1755}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271602}, doi = {10.1109/ISCAS.2012.6271602}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BagheriBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiLRG12, author = {Wei Bai and Jiaying Liu and Jie Ren and Zongming Guo}, title = {Visual-weighted motion compensation frame interpolation with motion vector refinement}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {500--503}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272075}, doi = {10.1109/ISCAS.2012.6272075}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiLRG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiS12, author = {Shun Bai and Stan Skafidas}, title = {A simple voltage reference with ultra supply independency}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2829--2832}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271900}, doi = {10.1109/ISCAS.2012.6271900}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaileyS12, author = {Stevo D. Bailey and Mircea R. Stan}, title = {A new taxonomy for reconfigurable prefix adders}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1227--1230}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271457}, doi = {10.1109/ISCAS.2012.6271457}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaileyS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalasubramanianRE12, author = {Viswanathan Balasubramanian and Pierre{-}Fran{\c{c}}ois Ruedi and Christian C. Enz}, title = {Noise canceling chopper stabilized front-end for electrochemical biosensors with improved dynamic range}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2215--2218}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271731}, doi = {10.1109/ISCAS.2012.6271731}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BalasubramanianRE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarthLI12, author = {Carsten Barth and Ivan R. Linscott and Umran S. Inan}, title = {A 9mW direct {RF} sampling {GPS} receiver front-end in 0.13{\(\mathrm{\mu}\)}m BiCMOS}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1287--1290}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271473}, doi = {10.1109/ISCAS.2012.6271473}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarthLI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BastasMMRJGFB12, author = {Selin Bastas and Mohammad Wadood Majid and Golrokh Mirzaei and Jeremy Ross and Mohsin M. Jamali and Peter V. Gorsevski and Joseph P. Frizado and Verner P. Bingman}, title = {A novel feature extraction algorithm for classification of bird flight calls}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1676--1679}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271580}, doi = {10.1109/ISCAS.2012.6271580}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BastasMMRJGFB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BastosOOGS12, author = {Ivan Bastos and Lu{\'{\i}}s B. Oliveira and Jo{\~{a}}o Pedro Oliveira and Jo{\~{a}}o Goes and Manuel Medeiros Silva}, title = {Balun {LNA} with continuously controllable gain and with noise and distortion cancellation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2143--2146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271711}, doi = {10.1109/ISCAS.2012.6271711}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BastosOOGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BasyurtA12, author = {Pinar Basak Basyurt and Devrim Yilmaz Aksin}, title = {Design of a curvature-corrected bandgap reference with 7.5ppm/C temperature coefficient in 0.35{\(\mathrm{\mu}\)}m {CMOS} process}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3142--3145}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271988}, doi = {10.1109/ISCAS.2012.6271988}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BasyurtA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BazziniLG12, author = {Andrea Bazzini and Jingqi Liu and Stefano Gregori}, title = {A pMOS-based double-ladder integrated charge pump for standard process}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {958--961}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272204}, doi = {10.1109/ISCAS.2012.6272204}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BazziniLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BechthumRBGR12, author = {Elbert Bechthum and Georgi I. Radulov and Joost Briaire and Govert Geelen and Arthur H. M. van Roermund}, title = {Systematic analysis of the impact of mixing locality on Mixing-DAC linearity for multicarrier {GSM}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {241--244}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271784}, doi = {10.1109/ISCAS.2012.6271784}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BechthumRBGR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelbachirLSHBSHSLM12, author = {Ahmed Nabil Belbachir and Martin Litzenberger and Stephan Schraml and Michael Hofst{\"{a}}tter and Michael D. Bauer and Peter Sch{\"{o}}n and Martin Humenberger and Christoph Sulzbachner and Tommi Lunden and M. Merne}, title = {{CARE:} {A} dynamic stereo vision sensor system for fall detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {731--734}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272141}, doi = {10.1109/ISCAS.2012.6272141}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BelbachirLSHBSHSLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelbachirMMC12, author = {Ahmed Nabil Belbachir and Manfred Mayerhofer and Daniel Matolin and J. Colineau}, title = {Real-time 360{\textdegree} panoramic views using BiCa360, the fast rotating dynamic vision sensor to up to 10 rotations per Sec}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {727--730}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272139}, doi = {10.1109/ISCAS.2012.6272139}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelbachirMMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelhadjJTH12, author = {Bilel Belhadj and Antoine Joubert and Olivier Temam and Rodolphe H{\'{e}}liot}, title = {Configurable conduction delay circuits for high spiking rates}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2091--2094}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271696}, doi = {10.1109/ISCAS.2012.6271696}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelhadjJTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelottiBM12, author = {Oscar Belotti and Edoardo Bonizzoni and Franco Maloberti}, title = {A 1-V 1.1-MHz {BW} digitally assisted multi-bit multi-rate hybrid {CT} {\(\Sigma\)}{\(\Delta\)} with 78-dB {SFDR}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {289--292}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271918}, doi = {10.1109/ISCAS.2012.6271918}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelottiBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BenkeserZKWH12, author = {Christian Benkeser and Stefan Zwicky and Harald Kroll and Johannes Widmer and Qiuting Huang}, title = {Efficient channel shortening for higher order modulation: Algorithm and architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2377--2380}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271775}, doi = {10.1109/ISCAS.2012.6271775}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BenkeserZKWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhagavatulaR12, author = {Venumadhav Bhagavatula and Jacques Christophe Rudell}, title = {Transformer feedback based {CMOS} amplifiers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {237--240}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271773}, doi = {10.1109/ISCAS.2012.6271773}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhagavatulaR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BihrO12, author = {Ulrich Bihr and Maurits Ortmanns}, title = {A front-end circuit with active spike and {LFP} separation via a switched capacitor filter structure for neural recording applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2231--2234}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271735}, doi = {10.1109/ISCAS.2012.6271735}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BihrO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiremB12, author = {Merwan Birem and Fran{\c{c}}ois Berry}, title = {FPGA-based real time extraction of visual features}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3053--3056}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271964}, doi = {10.1109/ISCAS.2012.6271964}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BiremB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BisaskyCM12, author = {Jordan Bisasky and Darin Chandler and Tinoosh Mohsenin}, title = {A many-core platform implemented for multi-channel seizure detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {564--567}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272092}, doi = {10.1109/ISCAS.2012.6272092}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BisaskyCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BizzarriBGGF12, author = {Federico Bizzarri and Angelo Brambilla and Giambattista Gruosso and Giancarlo Storti Gajani and E. Ferrando}, title = {{ADDA:} Almost direct drive architecture for solar high power electrical propulsion in new generation spacecrafts}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2271--2274}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271746}, doi = {10.1109/ISCAS.2012.6271746}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BizzarriBGGF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BizzarriCG12, author = {Federico Bizzarri and Sergio Callegari and Giambattista Gruosso}, title = {Towards a nearly optimal synthesis of power bridge commands in the driving of {AC} motors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2119--2122}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271704}, doi = {10.1109/ISCAS.2012.6271704}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BizzarriCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Blanco-FilgueiraLDSR12, author = {Beatriz Blanco{-}Filgueira and Paula L{\'{o}}pez and Jens D{\"{o}}ge and Manuel Suarez and Juan Bautista Rold{\'{a}}n}, title = {Evidence of the lateral collection significance in small {CMOS} photodiodes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3098--3101}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271976}, doi = {10.1109/ISCAS.2012.6271976}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Blanco-FilgueiraLDSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlasinskiHL12, author = {Henryk Blasinski and Wei Hai and Frantz Lohier}, title = {Real-time, color image barrel distortion removal}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1911--1914}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271646}, doi = {10.1109/ISCAS.2012.6271646}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlasinskiHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlokhinaGWBF12, author = {Elena Blokhina and Dimitri Galayko and Rhona Wade and Philippe Basset and Orla Feely}, title = {Bifurcations and chaos in electrostatic vibration energy harvesters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {397--400}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272046}, doi = {10.1109/ISCAS.2012.6272046}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlokhinaGWBF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlumerPM12, author = {Rafael T. Blumer and C{\'{e}}sar Augusto Prior and Jo{\~{a}}o B. Martins}, title = {14-bit DR, 20 kHz BW, 2-2 {MASH} SI-{\(\Sigma\)} modulator using low-distortion feedforward topology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {61--64}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272105}, doi = {10.1109/ISCAS.2012.6272105}, timestamp = {Wed, 29 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlumerPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonioloST12, author = {Ivo Boniolo and Sergio M. Savaresi and Mara Tanelli}, title = {Lean angle estimation in two-wheeled vehicles with a reduced sensor configuration}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2573--2576}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271830}, doi = {10.1109/ISCAS.2012.6271830}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BonioloST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Boser12, author = {Bernhard E. Boser}, title = {A first course in electronics}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2929--2932}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271929}, doi = {10.1109/ISCAS.2012.6271929}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Boser12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoukadoumNA12, author = {Mounir Boukadoum and Frederic Nabki and Wessam Ajib}, title = {Towards neural network-based design of radiofrequency low-noise amplifiers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2741--2744}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271876}, doi = {10.1109/ISCAS.2012.6271876}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoukadoumNA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BradleyAF12, author = {Mark Bradley and Eduard Alarc{\'{o}}n and Orla Feely}, title = {Analysis of limit cycles in a {PI} digitally controlled buck converter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {628--631}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272110}, doi = {10.1109/ISCAS.2012.6272110}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BradleyAF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrownN12, author = {Jeremy Brown and Nathan M. Neihart}, title = {An analytical study of a magnetically tuned matching network}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1979--1982}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271665}, doi = {10.1109/ISCAS.2012.6271665}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrownN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bui12, author = {Hung Tien Bui}, title = {Pipelined {FPGA} design of the Goertzel algorithm for exon prediction}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {572--575}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272095}, doi = {10.1109/ISCAS.2012.6272095}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bui12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoL12, author = {Jiuwen Cao and Zhiping Lin}, title = {The detection bound of the probability of error in compressed sensing using Bayesian approach}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2577--2580}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271831}, doi = {10.1109/ISCAS.2012.6271831}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoO12, author = {Xiaolin Cao and M{\'{a}}ire O'Neill}, title = {Application-oriented {SHA-256} hardware design for low-cost {RFID}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1412--1415}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271509}, doi = {10.1109/ISCAS.2012.6271509}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoRTC12, author = {Jinzhou Cao and Raviv Raich and Gabor C. Temes and Gert Cauwenberghs}, title = {Multi-channel mixed-signal noise source with applications to stochastic equalization}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2497--2500}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271808}, doi = {10.1109/ISCAS.2012.6271808}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoRTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoWL12, author = {Tuan Vu Cao and Dag T. Wisland and Tor Sverre Lande}, title = {High resolution Frequency-based Delta-Sigma Modulator utilizing multi-phase quantizer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3009--3012}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271951}, doi = {10.1109/ISCAS.2012.6271951}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CasaleiroOF12, author = {Jo{\~{a}}o Casaleiro and Lu{\'{\i}}s Bica Oliveira and Igor M. Filanovsky}, title = {Low-power and low-area {CMOS} quadrature {RC} oscillator with capacitive coupling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271529}, doi = {10.1109/ISCAS.2012.6271529}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CasaleiroOF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaiHZ12, author = {Li Chai and Qing{-}Long Han and Jingxin Zhang}, title = {On shift variance bounds in multi-channel filter banks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2333--2336}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271763}, doi = {10.1109/ISCAS.2012.6271763}, timestamp = {Tue, 21 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaiHZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChakrabartiK12, author = {Anandaroop Chakrabarti and Harish Krishnaswamy}, title = {An improved analysis and design methodology for {RF} Class-E power amplifiers with finite DC-feed inductance and switch On-resistance}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1763--1766}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271605}, doi = {10.1109/ISCAS.2012.6271605}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChakrabartiK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanM12, author = {Man Pun Chan and Philip K. T. Mok}, title = {On-chip digital inductor current sensor for monolithic digitally controlled {DC-DC} Converters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {962--965}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272205}, doi = {10.1109/ISCAS.2012.6272205}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanduSTW12, author = {Kartheek Chandu and Mikel Stanich and Barry M. Trager and Chai Wah Wu}, title = {A {GPU} implementation of color digital halftoning using the Direct Binary Search algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {185--188}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271629}, doi = {10.1109/ISCAS.2012.6271629}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanduSTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCCCG12, author = {Cheng{-}Yen Chang and Cheng{-}An Chien and Hsiu{-}Cheng Chang and Jia{-}Wei Chen and Jiun{-}In Guo}, title = {A two level mode decision algorithm for {H.264} high profile intra encoding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {508--511}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272077}, doi = {10.1109/ISCAS.2012.6272077}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCCCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCKL12, author = {Kuo{-}Chiang Chang and Yu{-}Wen Chen and Yu{-}Ting Kuo and Chih{-}Wei Liu}, title = {A low power hearing aid computing platform using lightweight processing elements}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2785--2788}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271888}, doi = {10.1109/ISCAS.2012.6271888}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLHCGC12, author = {Kok{-}Leong Chang and Tong Lin and Weng{-}Geng Ho and Kwen{-}Siong Chong and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {A comparative study on asynchronous Quasi-Delay-Insensitive templates}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1819--1822}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271621}, doi = {10.1109/ISCAS.2012.6271621}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLHCGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangTHY12, author = {Chao{-}Yang Chang and Pai{-}Cheng Tso and Chung{-}Hsun Huang and Po{-}Hui Yang}, title = {A fast wake-up power gating technique with inducing a balanced rush current}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3086--3089}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271972}, doi = {10.1109/ISCAS.2012.6271972}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangTHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChattopadhyayKMR12, author = {Anupam Chattopadhyay and Ayesha Khalid and Subhamoy Maitra and Shashwat Raizada}, title = {Designing high-throughput hardware accelerator for stream cipher {HC-128}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1448--1451}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271518}, doi = {10.1109/ISCAS.2012.6271518}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChattopadhyayKMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChegeniSHK12, author = {Amin Chegeni and Reza Shayanfar and Khayrollah Hadidi and Abdollah Khoei}, title = {Input dependent clock jitter in high speed and high resolution ADCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2997--3000}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271948}, doi = {10.1109/ISCAS.2012.6271948}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChegeniSHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenB12, author = {Denis Guangyin Chen and Amine Bermak}, title = {A low-power dynamic comparator with digital calibration for reduced offset mismatch}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1283--1286}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271472}, doi = {10.1109/ISCAS.2012.6271472}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC12, author = {Hongming Chen and Yuhua Cheng}, title = {{VLSI} implementation of color interpolation in color difference spaces}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1680--1683}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271581}, doi = {10.1109/ISCAS.2012.6271581}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC12a, author = {Hsuan{-}ku Chen and Tian{-}Sheuan Chang}, title = {A high throughput {CAVLC} design for {HEVC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1919--1922}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271648}, doi = {10.1109/ISCAS.2012.6271648}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCCCT12, author = {Chia{-}Hung Chen and Joseph Crop and Jeongseok Chae and Patrick Chiang and Gabor C. Temes}, title = {A 12-bit 7 {\(\mathrm{\mu}\)}W/channel 1 kHz/channel incremental {ADC} for biosensor interface circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2969--2972}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271940}, doi = {10.1109/ISCAS.2012.6271940}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenCCCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCCLSC12, author = {Yun{-}Yu Chen and Tung{-}Chien Chen and Chien{-}Chung Chen and Hsin{-}I Liao and Luk{-}Ting Sio and Liang{-}Gee Chen}, title = {Exploration of reusing the pre-recorded training data set to improve the supervised classifier for EEG-based motor-imagery brain computer interfaces}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2067--2070}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271689}, doi = {10.1109/ISCAS.2012.6271689}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCCLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCGC12, author = {Junchao Chen and Kwen{-}Siong Chong and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {An Ultra-Dynamic Voltage Scalable {(U-DVS)} 10T {SRAM} with bit-interleaving capability}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1835--1838}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271625}, doi = {10.1109/ISCAS.2012.6271625}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCT12, author = {Shih{-}Hao Chen and Shi{-}Huang Chen and Trieu{-}Kien Truong}, title = {Automatic music genre classification based on wavelet package transform and best basis algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3202--3205}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272004}, doi = {10.1109/ISCAS.2012.6272004}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHL12, author = {Jienan Chen and Jianhao Hu and Shuyang Li}, title = {Low power digital signal processing scheme via stochastic logic protection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3077--3080}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271970}, doi = {10.1109/ISCAS.2012.6271970}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHLL12, author = {Yao Chen and Fengling Han and Haibin Liu and Jinhu Lu}, title = {3D reconstruction from planar points: {A} candidate method for authentication of fingerprint images captured by mobile devices}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {153--156}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271541}, doi = {10.1109/ISCAS.2012.6271541}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenKW12, author = {Wei{-}Ming Chen and Liang{-}Ting Kuo and Chung{-}Yu Wu}, title = {A low-power current-mode front-end acquisition system for biopotential signal recording}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {842--845}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272172}, doi = {10.1109/ISCAS.2012.6272172}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLC12, author = {Wei{-}Chung Chen and Chia{-}Ching Lin and Ke{-}Horng Chen}, title = {Differential zero compensator in delay-ripple reshaped constant on-time control for buck converter with multi-layer ceramic capacitors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {692--695}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272128}, doi = {10.1109/ISCAS.2012.6272128}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLJWSZ12, author = {Shuai Chen and Hao Li and Kai Jia and Yue Wang and Xiaobing Shi and Feng Zhang}, title = {A fast-lock-in wide-range harmonic-free all-digital {DLL} with a complementary delay line}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1803--1806}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271616}, doi = {10.1109/ISCAS.2012.6271616}, timestamp = {Tue, 22 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLJWSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLWJ12, author = {Chih{-}Lin Chen and Sheng{-}Chih Lin and Chua{-}Chin Wang and Chun{-}Ying Juan}, title = {A digital over-temperature protector for FlexRay systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1991--1994}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271668}, doi = {10.1109/ISCAS.2012.6271668}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLWJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLZ12, author = {Yi{-}Chung Chen and Hai Li and Wei Zhang}, title = {A novel peripheral circuit for RRAM-based {LUT}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1811--1814}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271619}, doi = {10.1109/ISCAS.2012.6271619}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenSLH12, author = {Chiao{-}En Chen and Hang Su and Chun{-}Fu Liao and Yuan{-}Hao Huang}, title = {A constant-throughput {LLL} algorithm with deep insertion for LR-aided {MIMO} detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1251--1254}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271464}, doi = {10.1109/ISCAS.2012.6271464}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenSLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenTYLC12, author = {Kuang{-}Ren Chen and Chia{-}Ming Tsai and Sheng{-}Kai You and An{-}Siou Li and Wen{-}Tsao Chen}, title = {A 10 Gb/s adaptive cable equalizer using phase detection technique in 0.13{\(\mathrm{\mu}\)}m {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1947--1950}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271656}, doi = {10.1109/ISCAS.2012.6271656}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenTYLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWC12, author = {Chien{-}Hsuan Chen and Hsiang{-}Chiu Wu and Hsin Chen}, title = {A conductance-based neuronal network in {VLSI} for studying the {CPR} circuit of the crayfish}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2051--2054}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271685}, doi = {10.1109/ISCAS.2012.6271685}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWLYLH12, author = {Long Chen and Chuan Wang and Chen Li and Le Ye and Huailin Liao and Ru Huang}, title = {A +21.2 dBm out-of-band {IIP3} 0.2-3GHz {RF} front-end using impedance translation technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {468--471}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272066}, doi = {10.1109/ISCAS.2012.6272066}, timestamp = {Tue, 09 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenWLYLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenYNHI12, author = {Gong Chen and Bo Yang and Shigetoshi Nakatake and Zhangcai Huang and Yasuaki Inoue}, title = {A retargeting methodology of nano-watt {CMOS} reference circuit based on advanced compact {MOSFET} model}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {938--941}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272199}, doi = {10.1109/ISCAS.2012.6272199}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenYNHI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZ12, author = {Haoming Chen and Bing Zeng}, title = {Design of low-complexity, non-separable 2-D transforms based on butterfly structures}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2921--2924}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271927}, doi = {10.1109/ISCAS.2012.6271927}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengLYLR12, author = {Long Cheng and Yu{-}Jing Lin and Fan Ye and Ning Li and Junyan Ren}, title = {Output-dependent delay cancellation technique for high-accuracy current-steering DACs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2729--2732}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271872}, doi = {10.1109/ISCAS.2012.6271872}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengLYLR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengWKCF12, author = {Ching{-}Ju Cheng and Shih{-}Yang Wu and Shih Kang and Tien{-}Ho Chen and Wai{-}Chi Fang}, title = {A SoC design for portable 2-dimension oximeter image system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {556--559}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272090}, doi = {10.1109/ISCAS.2012.6272090}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengWKCF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiaLC12, author = {Chu{-}Hsiang Chia and Pui{-}Sun Lei and Robert Chen{-}Hao Chang}, title = {A high-speed converter with light-load improvement circuit and transient detector}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {456--459}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272063}, doi = {10.1109/ISCAS.2012.6272063}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiaLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiaLCH12, author = {Chu{-}Hsiang Chia and Pui{-}Sun Lei and Robert Chen{-}Hao Chang and Yu{-}Bin Hong}, title = {A fully integrated {DC-DC} converter for dynamic voltage scaling applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2263--2266}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271744}, doi = {10.1109/ISCAS.2012.6271744}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiaLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangHLL12, author = {Jui{-}Chiu Chiang and Ping{-}He Hou and Kai{-}Che Liu and Wen{-}Nung Lie}, title = {Multiview texture coding and free viewpoint image synthesis for mesh-based 3D video transmission}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {377--380}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272041}, doi = {10.1109/ISCAS.2012.6272041}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangWYW12, author = {An{-}Ti Chiang and Hung{-}Ming Wang and Jar{-}Ferr Yang and Jhing{-}Fa Wang}, title = {A new stereo packing format based on checkerboard sub-sampling for efficient stereo video coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {385--388}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272043}, doi = {10.1109/ISCAS.2012.6272043}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangWYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoACS12, author = {Su{-}Jin Cho and Jae{-}Woo Ahn and Hyojin Choi and Wonyong Sung}, title = {Performance analysis of multi-bank {DRAM} with increased clock frequency}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2477--2480}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271802}, doi = {10.1109/ISCAS.2012.6271802}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoACS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoBY12, author = {Hyunwoo Cho and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 39 {\(\mathrm{\mu}\)}W body channel communication wake-up receiver with injection-locking ring-oscillator for wireless body area network}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2641--2644}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271848}, doi = {10.1109/ISCAS.2012.6271848}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoGK12, author = {Seongho Cho and Martin Gawecki and C.{-}C. Jay Kuo}, title = {Content-dependent feature selection for block-based image steganalysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1416--1419}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271510}, doi = {10.1109/ISCAS.2012.6271510}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoGK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiAC12, author = {Michael Choi and Woon Tiong Ang and Jie Chen}, title = {Close-proximity, real-time thermoacoustic sensors: Design, characterization, and testing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3102--3105}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271977}, doi = {10.1109/ISCAS.2012.6271977}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiCB12, author = {JongKwan Choi and MinGyu Choi and Hyeon{-}Min Bae}, title = {An efficient data extraction method for high-temporal-and-spatial-resolution near infrared spectroscopy {(NIRS)} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {560--563}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272091}, doi = {10.1109/ISCAS.2012.6272091}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiH12, author = {Jung{-}Ah Choi and Yo{-}Sung Ho}, title = {Improved near-lossless {HEVC} codec for depth map based on statistical analysis of residual data}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {894--897}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272186}, doi = {10.1109/ISCAS.2012.6272186}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiHAS12, author = {Hyojin Choi and Kyuyeon Hwang and Jae{-}Woo Ahn and Wonyong Sung}, title = {A simulation-based study for {DRAM} power reduction strategies in GPGPUs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1343--1346}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271490}, doi = {10.1109/ISCAS.2012.6271490}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiHAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiHJKC12, author = {Sung{-}Pil Choi and Gyoo{-}Cheol Hwang and Young{-}Hyun Jun and Kee{-}Won Kwon and Jung{-}Hoon Chun}, title = {A low-power two-line inversion method for driving {LCD} panels}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1995--1998}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271669}, doi = {10.1109/ISCAS.2012.6271669}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiHJKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiK12, author = {Piljoo Choi and Dong Kyue Kim}, title = {Design of security enhanced {TPM} chip against invasive physical attacks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1787--1790}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271612}, doi = {10.1109/ISCAS.2012.6271612}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiKCC12, author = {Minsu Choi and Jinsang Kim and Won{-}Kyung Cho and Yunmo Chung}, title = {Low complexity image rectification for multi-view video coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {381--384}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272042}, doi = {10.1109/ISCAS.2012.6272042}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiLKC12, author = {Chang{-}Seok Choi and Hanho Lee and Noriaki Kaneda and Young{-}Kai Chen}, title = {Concatenated non-binary {LDPC} and {HD-FEC} codes for 100Gb/s optical transport systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1783--1786}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271611}, doi = {10.1109/ISCAS.2012.6271611}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiLKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoogornM12, author = {Terdpun Choogorn and Jirayuth Mahattanakul}, title = {Distortion analysis of the alternative doubly-terminated ladder fully-differential Gm-C filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1179--1182}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271444}, doi = {10.1109/ISCAS.2012.6271444}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoogornM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouHHC12, author = {Shun{-}Tien Chou and Shih{-}Hao Huang and Zheng{-}Hao Hong and Wei{-}Zen Chen}, title = {A 40 Gbps optical receiver analog front-end in 65 nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1736--1739}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271598}, doi = {10.1109/ISCAS.2012.6271598}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouHHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouSCY12, author = {Jung{-}Chuan Chou and Meng Wei Su and Chien{-}Cheng Chen and Shu{-}Ying Yang}, title = {Cl\({}^{\mbox{-}}\) and H\({}^{\mbox{+}}\) sensing devices for water quality monitoring system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2043--2046}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271682}, doi = {10.1109/ISCAS.2012.6271682}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouSCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouSY12, author = {Jung{-}Chuan Chou and Po{-}Hao Shih and Shu{-}Ying Yang}, title = {Investigation of characteristics of tungsten oxide with different work pressures in photoelectrochromic cell}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1387--1390}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271502}, doi = {10.1109/ISCAS.2012.6271502}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouSY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouW12, author = {Yu{-}Lin Chou and Chung{-}Bin Wu}, title = {A hardware sharing architecture of deblocking filter for {VP8} and {H.264/AVC} video coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1915--1918}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271647}, doi = {10.1109/ISCAS.2012.6271647}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChouW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChowdhuryH12, author = {Golam R. Chowdhury and Arjang Hassibi}, title = {A 0.001mm\({}^{\mbox{2}}\) 100{\(\mathrm{\mu}\)}W on-chip temperature sensor with {\(\pm\)}1.95 {\textdegree}C (3{\(\sigma\)}) Inaccuracy in 32nm {SOI} {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1999--2002}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271670}, doi = {10.1109/ISCAS.2012.6271670}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChowdhuryH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChowdhuryR12, author = {Azhar A. Chowdhury and Gordon W. Roberts}, title = {A probabilistic test instrument using a {\(\Sigma\)}{\(\Delta\)}-encoded amplitude/phase-signal generation technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2501--2504}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271810}, doi = {10.1109/ISCAS.2012.6271810}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChowdhuryR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chrzanowska-JeskeANN12, author = {Malgorzata Chrzanowska{-}Jeske and Rehman Ashraf and Rajeev K. Nain and Siva G. Narendra}, title = {Performance analysis of {CNFET} based circuits in the presence of fabrication imperfections}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1363--1366}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271495}, doi = {10.1109/ISCAS.2012.6271495}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chrzanowska-JeskeANN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuCZT12, author = {Y. J. Chu and Shing{-}Chow Chan and Z. G. Zhang and Kai Man Tsui}, title = {A new recursive algorithm for time-varying autoregressive {(TVAR)} model estimation and its application to speech analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1026--1029}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271402}, doi = {10.1109/ISCAS.2012.6271402}, timestamp = {Fri, 08 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChuCZT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLTKSJLTTHHC12, author = {Li{-}Wei Chu and Chun{-}Yu Lin and Shiang{-}Yu Tsai and Ming{-}Dou Ker and Ming{-}Hsiang Song and Chewnpu Jou and Tse{-}Hua Lu and Jeng{-}Chou Tseng and Ming{-}Hsien Tsai and Tsun{-}Lai Hsu and Ping{-}Fang Hung and Tzu{-}Heng Chang}, title = {Compact and low-loss {ESD} protection design for V-band {RF} applications in a 65-nm {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2127--2130}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271706}, doi = {10.1109/ISCAS.2012.6271706}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChuLTKSJLTTHHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangLY12, author = {Ai{-}Jia Chuang and Yu Lee and Ching{-}Yuan Yang}, title = {A chip-to-chip clock-deskewing circuit for 3-D ICs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1652--1655}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271574}, doi = {10.1109/ISCAS.2012.6271574}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangWMMB12, author = {Lu Chuang and Yan Wu and Reza Mahmoudi and Marion K. Matters{-}Kammerer and Peter G. M. Baltus}, title = {A mm-wave analog adaptive array with genetic algorithm for interference mitigation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2373--2376}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271774}, doi = {10.1109/ISCAS.2012.6271774}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangWMMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungHWLWP12, author = {Pau{-}Choo Chung and Yu{-}Liang Hsu and Chun{-}Yao Wang and Chien{-}Wen Lin and Jeen{-}Shing Wang and Ming{-}Chyi Pai}, title = {Gait analysis for patients with Alzheimer'S disease using a triaxial accelerometer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1323--1326}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271484}, doi = {10.1109/ISCAS.2012.6271484}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungHWLWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungLCL12, author = {Szu{-}Chi Chung and Jen{-}Wei Lee and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A high-performance elliptic curve cryptographic processor over GF(p) with {SPA} resistance}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1456--1459}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271521}, doi = {10.1109/ISCAS.2012.6271521}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CiancioOESS12, author = {Alexandre G. Ciancio and Jos{\'{e}} F. L. de Oliveira and Cassius D. Estrada and Eduardo A. B. da Silva and Amir Said}, title = {Impact of encoding configurations on the perceived quality of high definition videoconference sequences}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1716--1719}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271592}, doi = {10.1109/ISCAS.2012.6271592}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CiancioOESS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cid-FuentesMPA12, author = {Raul Gomez Cid{-}Fuentes and Herminio Mart{\'{\i}}nez and Alberto Poveda and Eduard Alarc{\'{o}}n}, title = {Electronically tunable switch-mode high-efficiency adaptive band-pass filters for energy harvesting applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {684--687}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272125}, doi = {10.1109/ISCAS.2012.6272125}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cid-FuentesMPA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConsoliGP12, author = {Elio Consoli and Gianluca Giustolisi and Gaetano Palumbo}, title = {Logic gates dynamic modeling by means of an ultra-compact {MOS} model}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3250--3253}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272018}, doi = {10.1109/ISCAS.2012.6272018}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConsoliGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorintoAG12, author = {Fernando Corinto and Alon Ascoli and Marco Gilli}, title = {Modeling dynamics of memristive nano-structures}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1600--1603}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271559}, doi = {10.1109/ISCAS.2012.6271559}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorintoAG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorneilSNCCID12, author = {Dane S. Corneil and Daniel Sonnleithner and Emre Neftci and Elisabetta Chicca and Matthew Cook and Giacomo Indiveri and Rodney J. Douglas}, title = {Real-time inference in a {VLSI} spiking neural network}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2425--2428}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271788}, doi = {10.1109/ISCAS.2012.6271788}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CorneilSNCCID12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorreiaAMRRF12, author = {David Correia and Marcelo dal Alba and Miguel A. Martins and Taimur Gibran Rabuske and Cesar Ramos Rodrigues and Jorge R. Fernandes}, title = {An {IR-UWB} transmitter with digital pulse duration control}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {233--236}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271762}, doi = {10.1109/ISCAS.2012.6271762}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorreiaAMRRF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoulombeRBSC12, author = {Jonathan Coulombe and Olivier Rossel and Serge Bernard and Fabien Soulier and Guy Cath{\'{e}}bras}, title = {A new shared-input amplifier architecture with enhanced noise-power efficiency for parallel biosignal recordings}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {846--849}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272173}, doi = {10.1109/ISCAS.2012.6272173}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CoulombeRBSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiZY12, author = {Yingnan Cui and Wei Zhang and Hao Yu}, title = {Decentralized agent based re-clustering for task mapping of tera-scale network-on-chip system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2437--2440}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271791}, doi = {10.1109/ISCAS.2012.6271791}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaganTFPDR12, author = {Hadar Dagan and Adam Teman and Alexander Fish and Evgeny Pikhay and Vladislav Dayan and Yakov Roizin}, title = {A {GIDL} free tunneling gate driver for a low power non-volatile memory array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {452--455}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272062}, doi = {10.1109/ISCAS.2012.6272062}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaganTFPDR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaganTFPDR12a, author = {Hadar Dagan and Adam Teman and Alexander Fish and Evgeny Pikhay and Vladislav Dayan and Yakov Roizin}, title = {A low-cost low-power non-volatile memory for {RFID} applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1827--1830}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271623}, doi = {10.1109/ISCAS.2012.6271623}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaganTFPDR12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaiALSZ12, author = {Wei Dai and Oscar C. Au and Sijin Li and Lin Sun and Ruobing Zou}, title = {Fast sub-pixel motion estimation with simplified modeling in {HEVC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1560--1563}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271549}, doi = {10.1109/ISCAS.2012.6271549}, timestamp = {Thu, 05 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaiALSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DarakVL12, author = {Sumit Jagdish Darak and A. Prasad Vinod and Edmund Ming{-}Kit Lai}, title = {Design of variable linear phase {FIR} filters based on second order frequency transformations and coefficient decimation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3182--3185}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271999}, doi = {10.1109/ISCAS.2012.6271999}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DarakVL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DarjiTMC12, author = {Anand D. Darji and Nisarg Trivedi and S. N. Merchant and Arun N. Chandorkar}, title = {Hardware efficient recursive {VLSI} architecture for multilevel lifting 2-D {DWT}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1014--1017}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271399}, doi = {10.1109/ISCAS.2012.6271399}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DarjiTMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasC12, author = {Rajib Lochan Das and Mrityunjoy Chakraborty}, title = {Sparse adaptive filters - An overview and some new results}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2745--2748}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271877}, doi = {10.1109/ISCAS.2012.6271877}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasL12, author = {Kushal Das and Torsten Lehmann}, title = {A cryogenic single electron transistor readout circuit: Practical issues and measurement considerations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1359--1362}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271494}, doi = {10.1109/ISCAS.2012.6271494}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DattaD12, author = {Ipshita Datta and Debasish Datta}, title = {BER-based power budget evaluation for optical interconnect topologies in NoCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2429--2432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271789}, doi = {10.1109/ISCAS.2012.6271789}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DattaD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DavidovicHZ12, author = {Milos Davidovic and Michael Hofbauer and Horst Zimmermann}, title = {A 33 {\texttimes} 25 {\(\mathrm{\mu}\)}m\({}^{\mbox{2}}\) low-power range finder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {922--925}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272194}, doi = {10.1109/ISCAS.2012.6272194}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DavidovicHZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeeseCKS12, author = {Anthony S. Deese and Brian Carrigan and Elie Klein and Elliot Stein}, title = {Simple circuit-based solution to problem of residential load participation in demand response}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1199--1202}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271449}, doi = {10.1109/ISCAS.2012.6271449}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeeseCKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeeseNJBH12, author = {Anthony S. Deese and Chika O. Nwankpa and Juan C. Jim{\'{e}}nez and Jon Berardino and Jesse Hill}, title = {Design of modular field programmable analog array hardware for analysis of large power systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1207--1210}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271451}, doi = {10.1109/ISCAS.2012.6271451}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeeseNJBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Deng12, author = {Tian{-}Bo Deng}, title = {Bi-minimax design of odd-order variable fractional-delay digital filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {786--789}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272157}, doi = {10.1109/ISCAS.2012.6272157}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Deng12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DengYZW12, author = {Ke Deng and Qinye Yin and Yan Zhang and Huiming Wang}, title = {Blind closed form parameters estimation for hybrid sources}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2817--2820}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271897}, doi = {10.1109/ISCAS.2012.6271897}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DengYZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingCFCZ12, author = {Na Ding and Chen Chen and Wenhua Fan and Yun Chen and Xiaoyang Zeng}, title = {An improved coarse synchronization scheme in 3GPP {LTE} downlink {OFDM} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1516--1519}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271537}, doi = {10.1109/ISCAS.2012.6271537}, timestamp = {Thu, 24 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingCFCZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingZKM12, author = {Chongjun Ding and Liang Zou and Matthias Keller and Yiannos Manoli}, title = {Approaches to digital compensation of excess loop delay in continuous-time Delta-Sigma modulators using a scaled quantizer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3001--3004}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271949}, doi = {10.1109/ISCAS.2012.6271949}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingZKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoldanGPR12, author = {Ricardo Dold{\'{a}}n and Antonio J. Gin{\'{e}}s and Eduardo J. Peral{\'{\i}}as and Adoraci{\'{o}}n Rueda}, title = {Analysis of steady-state common-mode response in differential LC-VCOs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2031--2034}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271679}, doi = {10.1109/ISCAS.2012.6271679}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoldanGPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Dominguez-MoralesJPJL12, author = {Manuel Dom{\'{\i}}nguez{-}Morales and Angel Jim{\'{e}}nez{-}Fernandez and Rafael Paz{-}Vicente and Gabriel Jim{\'{e}}nez and Alejandro Linares{-}Barranco}, title = {Live demonstration: On the distance estimation of moving targets with a Stereo-Vision {AER} system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {721--725}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272137}, doi = {10.1109/ISCAS.2012.6272137}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Dominguez-MoralesJPJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongPJCL12, author = {Ze{-}Hua Dong and Zhe{-}Yan Piao and In{-}Gul Jang and Jin{-}Gyun Chung and Chul{-}Dong Lee}, title = {Design of FlexRay-MOST gateway using static segments and control messages}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {536--539}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272085}, doi = {10.1109/ISCAS.2012.6272085}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongPJCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DraneCC12, author = {Theo Drane and Wai{-}chuen Cheung and George A. Constantinides}, title = {Correctly rounded constant integer division via multiply-add}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1243--1246}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271461}, doi = {10.1109/ISCAS.2012.6271461}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DraneCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuO12, author = {Dingkun Du and Kofi M. Odame}, title = {An adaptive microphone preamplifier for low power applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {660--663}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272119}, doi = {10.1109/ISCAS.2012.6272119}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanCG12, author = {Jingbo Duan and Degang Chen and Randall L. Geiger}, title = {A low cost method for testing offset and gain error for {ADC} {BIST}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2023--2026}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271677}, doi = {10.1109/ISCAS.2012.6271677}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanSG12, author = {Yizhou Duan and Jun Sun and Zongming Guo}, title = {Novel rate-distortion modeling for {H.264/AVC} and its application in two-pass {VBR} coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1899--1902}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271643}, doi = {10.1109/ISCAS.2012.6271643}, timestamp = {Mon, 30 Oct 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuanSG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Duwe12, author = {Matt Duwe}, title = {Offset correction of low power, high precision op amp using digital assist for biomedical applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {850--853}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272175}, doi = {10.1109/ISCAS.2012.6272175}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Duwe12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EghbaliJ12, author = {Amir Eghbali and H{\aa}kan Johansson}, title = {Reconfigurable two-stage Nyquist filters utilizing the farrow structure}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3186--3189}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272000}, doi = {10.1109/ISCAS.2012.6272000}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EghbaliJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElguebalyB12, author = {Tarek Elguebaly and Nizar Bouguila}, title = {Medical image classification using birth-and-death {MCMC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2075--2078}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271691}, doi = {10.1109/ISCAS.2012.6271691}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElguebalyB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EnachescuVC12, author = {Marius Enachescu and George Razvan Voicu and Sorin Dan Cotofana}, title = {Is the road towards "Zero-Energy" paved with NEMFET-based power management?}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2561--2564}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271826}, doi = {10.1109/ISCAS.2012.6271826}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EnachescuVC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ezz-EldinER12, author = {Rabab Ezz{-}Eldin and Magdy A. El{-}Moursy and Amr M. Refaat}, title = {Low leakage power NoC switch using {AVC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2549--2552}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271823}, doi = {10.1109/ISCAS.2012.6271823}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ezz-EldinER12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanJ12, author = {Chun{-}Xia Fan and Guo{-}Ping Jiang}, title = {State estimation of complex dynamical network under noisy transmission channel}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2107--2110}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271701}, doi = {10.1109/ISCAS.2012.6271701}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FanJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangW12, author = {Bing{-}Nan Fang and Jieh{-}Tsorng Wu}, title = {A 10-Bit 200-MS/s digitally-calibrated pipelined {ADC} using switching opamps}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1042--1045}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271406}, doi = {10.1109/ISCAS.2012.6271406}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FathiAHKC12, author = {Amir Fathi and Sarkis Azizian and Khayrollah Hadidi and Abdollah Khoei and Amin Chegeni}, title = {{CMOS} implementation of a fast 4-2 compressor for parallel accumulations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1476--1479}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271526}, doi = {10.1109/ISCAS.2012.6271526}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FathiAHKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fernandez-BerniACBR12, author = {Jorge Fern{\'{a}}ndez{-}Berni and Laurentiu Acasandrei and Ricardo Carmona{-}Gal{\'{a}}n and Angel Barriga Barros and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Power-efficient focal-plane image representation for extraction of enriched Viola-Jones features}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3122--3125}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271982}, doi = {10.1109/ISCAS.2012.6271982}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fernandez-BerniACBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fernandez-GarciaBSC12, author = {Natalia A. Fernandez{-}Garcia and V{\'{\i}}ctor M. Brea and Manuel Suarez and Diego Cabello}, title = {Scale- and rotation- invariant feature detectors on Cellular Processor Arrays}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2657--2660}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271853}, doi = {10.1109/ISCAS.2012.6271853}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fernandez-GarciaBSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FernandezSNGB12, author = {Erik Fern{\'{a}}ndez and H{\'{e}}ctor Solar and Joaqu{\'{\i}}n de No and I{\~{n}}aki Guti{\'{e}}rrez and Roc Berenguer}, title = {A 150nW {CMOS} novel temperature sensor for remote patient monitoring based on an auto-resonant active inductor architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {9--12}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272188}, doi = {10.1109/ISCAS.2012.6272188}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FernandezSNGB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerreiraS12, author = {Luis Henrique de Carvalho Ferreira and Sameer R. Sonkusale}, title = {A hybrid multi-tanh bulk-driven input stage {OTA} for Low-THD biomedical Gm-C applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {838--841}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272171}, doi = {10.1109/ISCAS.2012.6272171}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerreiraS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Filanovsky12, author = {Igor M. Filanovsky}, title = {On synthesis of pulse-transforming linear networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {946--949}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272201}, doi = {10.1109/ISCAS.2012.6272201}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Filanovsky12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FilanovskyM12, author = {Igor M. Filanovsky and Brian Moore}, title = {Contactless testing of on-chip oscillator operation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2605--2608}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271838}, doi = {10.1109/ISCAS.2012.6271838}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FilanovskyM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FitchIWSQ12, author = {Andrew Lewis Fitch and Herbert Ho{-}Ching Iu and X. Y. Wang and Victor Sreeram and W. G. Qi}, title = {Realization of an analog model of memristor based on light dependent resistor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1139--1142}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271433}, doi = {10.1109/ISCAS.2012.6271433}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FitchIWSQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Foldesy12, author = {P{\'{e}}ter F{\"{o}}ldesy}, title = {Characterization of silicon field effect transistor sub-THz detectors for imaging systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {934--937}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272198}, doi = {10.1109/ISCAS.2012.6272198}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Foldesy12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreebornME12, author = {Todd J. Freeborn and Brent Maundy and Ahmed S. Elwakil}, title = {Improved Cole-Cole parameter extraction from frequency response using least squares fitting}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {337--340}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272030}, doi = {10.1109/ISCAS.2012.6272030}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FreebornME12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuWLLZ12, author = {Jingjing Fu and Shiqi Wang and Yan Lu and Shipeng Li and Wenjun Zeng}, title = {Kinect-like depth denoising}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {512--515}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272078}, doi = {10.1109/ISCAS.2012.6272078}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FuWLLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujiyoshiK12, author = {Masaaki Fujiyoshi and Hitoshi Kiya}, title = {A visually-lossless data hiding method based on histogram modification}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1692--1695}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271585}, doi = {10.1109/ISCAS.2012.6271585}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujiyoshiK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FunakiH12, author = {Keiichi Funaki and Takehito Higa}, title = {Evaluation of {F0} estimation using {ZFR} based on time-varying speech analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3238--3241}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272014}, doi = {10.1109/ISCAS.2012.6272014}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FunakiH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Galias12, author = {Zbigniew Galias}, title = {Trapping region for the double scroll attractor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {401--404}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272047}, doi = {10.1109/ISCAS.2012.6272047}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Galias12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoG12, author = {Shengkui Gao and Viktor Gruev}, title = {Gradient based interpolation for division of focal plane polarization imaging sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1855--1858}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271631}, doi = {10.1109/ISCAS.2012.6271631}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Garzon-CamachoMACU12, author = {Alejandro Garz{\'{o}}n{-}Camacho and Bego{\~{n}}a Fernandez Martinez and Marcos Aurelio Gonzalez Alvarez and Joaquin Ceballos Caceres and Jos{\'{e}} M. de la Rosa}, title = {A preamplifier for the front-end readout system of particles tracking in secondary electron detectors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1171--1174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271442}, doi = {10.1109/ISCAS.2012.6271442}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Garzon-CamachoMACU12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GatelyYT12, author = {Matthew B. Gately and Mark B. Yeary and C. Y. Tang}, title = {Multiple real-constant multiplication with improved cost model and greedy and optimal searches}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {588--591}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272099}, doi = {10.1109/ISCAS.2012.6272099}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GatelyYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GengRW12, author = {Shuli Geng and Woogeun Rhee and Zhihua Wang}, title = {A pulse-shaped power amplifier with dynamic bias switching for {IR-UWB} transmitters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2529--2532}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271817}, doi = {10.1109/ISCAS.2012.6271817}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GengRW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhasempourSXY12, author = {Mohsen Ghasempour and Delong Shang and Fei Xia and Alex Yakovlev}, title = {Ultra-low power transmitter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1807--1810}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271617}, doi = {10.1109/ISCAS.2012.6271617}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhasempourSXY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GibsonN12, author = {Kristofor B. Gibson and Truong Q. Nguyen}, title = {A perceptual based contrast enhancement metric using AdaBoost}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1875--1878}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271636}, doi = {10.1109/ISCAS.2012.6271636}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GibsonN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GimenoACAA12, author = {Cecilia Gimeno and Concepci{\'{o}}n Aldea and Santiago Celma and Francisco Aznar and Cristina Azcona}, title = {A 1-V {CMOS} receiver front-end for high-speed {SI-POF} links}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1732--1735}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271597}, doi = {10.1109/ISCAS.2012.6271597}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GimenoACAA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gomez-GarciaVCM12, author = {Roberto G{\'{o}}mez{-}Garc{\'{\i}}a and Jos{\'{e}} M. N. Vieira and Nuno Borges Carvalho and Jos{\'{e}} Pedro Magalh{\~{a}}es}, title = {Mixed-domain receiver architecture for white space software-defined radio scenarios}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {822--825}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272167}, doi = {10.1109/ISCAS.2012.6272167}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Gomez-GarciaVCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GraveFK12, author = {Baptiste Grave and Antoine Frapp{\'{e}} and Andreas Kaiser}, title = {A reconfigurable 60GHz subsampling receiver architecture with embedded channel filtering}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1295--1298}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271476}, doi = {10.1109/ISCAS.2012.6271476}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GraveFK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gruev12, author = {Viktor Gruev}, title = {Fabrication of a dual-layer aluminum nanowires polarization filter array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3130--3133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271985}, doi = {10.1109/ISCAS.2012.6271985}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Gruev12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuC12, author = {Ming Gu and Shantanu Chakrabartty}, title = {Varactor-driven temperature compensation of {CMOS} floating-gate current memory}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2095--2098}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271697}, doi = {10.1109/ISCAS.2012.6271697}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuLXSLLMW12, author = {Yingke Gu and Guolin Li and Xiang Xie and Tianjia Sun and Shouhao Liu and Xiaomeng Li and Songping Mai and Zhihua Wang}, title = {The design and implementation of a chipset for the endoscopic Micro-Ball}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2633--2636}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271846}, doi = {10.1109/ISCAS.2012.6271846}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuLXSLLMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZS12, author = {Jian{-}Feng Gu and Wei{-}Ping Zhu and M. N. S. Swamy}, title = {Accurate {DOA} estimation via sparse sensor array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2585--2588}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271833}, doi = {10.1109/ISCAS.2012.6271833}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuerberVOM12, author = {Jon Guerber and Hariprasath Venkatram and Taehwan Oh and Un{-}Ku Moon}, title = {Enhanced {SAR} {ADC} energy efficiency from the early reset merged capacitor switching algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2361--2364}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271770}, doi = {10.1109/ISCAS.2012.6271770}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuerberVOM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuilvardELTC12, author = {Anthony Guilvard and Amir Eftekhar and Song Luan and Christofer Toumazou and Timothy G. Constandinou}, title = {A fully-programmable neural interface for multi-polar, multi-channel stimulation strategies}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2235--2238}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271736}, doi = {10.1109/ISCAS.2012.6271736}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuilvardELTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoM12, author = {Wei Guo and Shahriar Mirabbasi}, title = {A low-power 10-bit 50-MS/s {SAR} {ADC} using a parasitic-compensated split-capacitor {DAC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1275--1278}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271470}, doi = {10.1109/ISCAS.2012.6271470}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoQHYLS12, author = {Di Guo and Xiaobo Qu and Lianfen Huang and Yan Yao and Zicheng Liu and Ming{-}Ting Sun}, title = {Sparsity-based online missing sensor data recovery}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {918--921}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272193}, doi = {10.1109/ISCAS.2012.6272193}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoQHYLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoS12, author = {Jian Guo and Sameer R. Sonkusale}, title = {A 22-bit 110ps time-interpolated Time-to-Digital Converter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3166--3169}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271994}, doi = {10.1109/ISCAS.2012.6271994}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaNK12, author = {Nitin Gupta and Tapas Nandy and Somnath Kundu}, title = {{HDMI} transmitter in 32nM technology using 28{\AA} {MOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1951--1954}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271657}, doi = {10.1109/ISCAS.2012.6271657}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuptaNK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GwonKVHT12, author = {Youngjune Gwon and H. T. Kung and Dario Vlah and Keng{-}Yen Huang and Yi{-}Min Tsai}, title = {Statistical screening for {IC} Trojan detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {85--88}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272174}, doi = {10.1109/ISCAS.2012.6272174}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GwonKVHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaaheimC12, author = {Bard Haaheim and Timothy G. Constandinou}, title = {A sub-1{\(\mathrm{\mu}\)}W, 16kHz current-mode {SAR-ADC} for single-neuron spike recording}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2957--2960}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271937}, doi = {10.1109/ISCAS.2012.6271937}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HaaheimC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamK12, author = {Seaung Lok Ham and Nojun Kwak}, title = {Boosted-PCA for binary classification problems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1219--1222}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271455}, doi = {10.1109/ISCAS.2012.6271455}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamadMHS12, author = {Ghaith Bany Hamad and Otmane A{\"{\i}}t Mohamed and Syed Rafay Hasan and Yvon Savaria}, title = {Identification of soft error glitch-propagation paths: Leveraging {SAT} solvers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3258--3261}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272020}, doi = {10.1109/ISCAS.2012.6272020}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamadMHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamdiDBDN12, author = {Raslen Hamdi and Alexandre Desmarais and Aniss Belarbi and Dominic Deslandes and Frederic Nabki}, title = {A programmable {OOK} impulse radio ultra wideband transmitter with power cycling and spectral agility}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2541--2544}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271821}, doi = {10.1109/ISCAS.2012.6271821}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamdiDBDN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanzscheHSRG12, author = {Stefan H{\"{a}}nzsche and Stephan Henker and Ren{\'{e}} Sch{\"{u}}ffny and Thomas Reichel and Matthias Garzarolli}, title = {A 14 bit self-calibrating charge redistribution {SAR} {ADC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1038--1041}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271405}, doi = {10.1109/ISCAS.2012.6271405}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanzscheHSRG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaqPPYS12, author = {Ehsan ul Haq and Syed Jahanzeb Hussain Pirzada and Jingchun Piao and Teng Yu and Hyunchul Shin}, title = {Image processing and vision techniques for smart vehicles}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1211--1214}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271453}, doi = {10.1109/ISCAS.2012.6271453}, timestamp = {Tue, 06 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaqPPYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HashemiN12, author = {Seyyed Ali Hashemi and Behrouz Nowrouzian}, title = {A novel particle swarm optimization for high-level synthesis of digital filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {580--583}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272097}, doi = {10.1109/ISCAS.2012.6272097}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HashemiN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasibABS12, author = {Omar Al{-}Terkawi Hasib and Walder Andre and Yves Blaqui{\`{e}}re and Yvon Savaria}, title = {Propagating analog signals through a fully digital network on an electronic system prototyping platform}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1983--1986}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271666}, doi = {10.1109/ISCAS.2012.6271666}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasibABS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HayashiT12, author = {Nozomi Hayashi and Akira Taguchi}, title = {Iris feature extraction based on gray-scale morphological skeleton}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {329--332}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272027}, doi = {10.1109/ISCAS.2012.6272027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HayashiT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeJDSUM12, author = {Tao He and Yang Jiang and Yun Du and Sai{-}Weng Sin and Seng{-}Pan U. and Rui Paulo Martins}, title = {A 10MHz {BW} 78dB {DR} {CT} {\(\Sigma\)}{\(\Delta\)} modulator with novel switched high linearity VCO-based quantizer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {65--68}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272116}, doi = {10.1109/ISCAS.2012.6272116}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeJDSUM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeLZL12, author = {Di He and Winston Li and Fusheng Zhu and Weiyao Lin}, title = {An enhanced covariance spectrum sensing technique based on stochastic resonance in cognitive radio networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {818--821}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272166}, doi = {10.1109/ISCAS.2012.6272166}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeLZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeSW12, author = {Kai He and Jin Sha and Zhongfeng Wang}, title = {Memory efficient column-layered decoder design for non-binary {LDPC} codes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2613--2616}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271841}, doi = {10.1109/ISCAS.2012.6271841}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HenryD12, author = {Michael B. Henry and Shamik Das}, title = {SPICE-compatible compact model for graphene field-effect transistors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2521--2524}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271815}, doi = {10.1109/ISCAS.2012.6271815}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HenryD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Heo12, author = {Seo Weon Heo}, title = {Multi-channel {DVB-T} transmitter design based on the {SW/HW} co-design method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {750--753}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272146}, doi = {10.1109/ISCAS.2012.6272146}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Heo12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeoPP12, author = {Ingoo Heo and Sanghyun Park and Yunheung Paek}, title = {Compiler and microarchitectural approaches for register file thermal management}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {257--260}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271829}, doi = {10.1109/ISCAS.2012.6271829}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeoPP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeraguRE12, author = {Aravind Heragu and David Ruffieux and Christian C. Enz}, title = {A low power 2.4 GHz front end with {MEMS} lattice based channel filtering at {RF}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1319--1322}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271483}, doi = {10.1109/ISCAS.2012.6271483}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeraguRE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HershbergMWM12, author = {Benjamin P. Hershberg and Tawfiq Musah and Skyler Weaver and Un{-}Ku Moon}, title = {The effect of correlated level shifting on noise performance in switched capacitor circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {942--945}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272200}, doi = {10.1109/ISCAS.2012.6272200}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HershbergMWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HizonR12, author = {John Richard E. Hizon and Esther Rodr{\'{\i}}guez{-}Villegas}, title = {A compact linearly tunable low voltage triode {OTA} using self-cascodes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {440--443}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272058}, doi = {10.1109/ISCAS.2012.6272058}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HizonR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ho12, author = {Ken{-}Chung Ho}, title = {Estimating dither thresholds from the average of halftone dots}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3230--3233}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272012}, doi = {10.1109/ISCAS.2012.6272012}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ho12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCLGC12, author = {Weng{-}Geng Ho and Kwen{-}Siong Chong and Tong Lin and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {Energy-delay efficient asynchronous-logic 16{\texttimes}16-bit pipelined multiplier based on Sense Amplifier-Based Pass Transistor Logic}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {492--495}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272073}, doi = {10.1109/ISCAS.2012.6272073}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCLGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoGG12, author = {Derek Ho and P. Glenn Gulak and Roman Genov}, title = {{CMOS} 3-T digital pixel sensor with in-pixel shared comparator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {930--933}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272197}, doi = {10.1109/ISCAS.2012.6272197}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HoGG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoNKGG12, author = {Derek Ho and M. Omair Noor and Ulrich Kr{\"{u}}ll and P. Glenn Gulak and Roman Genov}, title = {Single-filter multi-color {CMOS} fluorescent contact sensing microsystem}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2393--2396}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271779}, doi = {10.1109/ISCAS.2012.6271779}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HoNKGG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongBW12, author = {Danny Hong and Jill M. Boyce and Stephan Wenger}, title = {Picture orientation information in video compression}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {141--144}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271508}, doi = {10.1109/ISCAS.2012.6271508}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HongBW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongJBA12, author = {Danny Hong and Wonkap Jang and Jill M. Boyce and Adeel Abbas}, title = {Scalability support in {HEVC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {890--893}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272185}, doi = {10.1109/ISCAS.2012.6272185}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HongJBA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongKKKK12, author = {Young{-}Jun Hong and Joonseong Kang and Seong Joong Kim and Sang Joon Kim and Ui{-}Kun Kwon}, title = {Ultra-low power sensor platform with wireless charging system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {978--981}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272210}, doi = {10.1109/ISCAS.2012.6272210}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongKKKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongKL12, author = {Ju{-}Hyeon Hong and Eung Sup Kim and Hyuk{-}Jae Lee}, title = {Rotation-invariant hand posture classification with a convexity defect histogram}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {774--777}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272153}, doi = {10.1109/ISCAS.2012.6272153}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HongKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoppnerSEEAS12, author = {Sebastian H{\"{o}}ppner and Chenming Shao and Holger Eisenreich and Georg Ellguth and Mario Ander and Ren{\'{e}} Sch{\"{u}}ffny}, title = {A power management architecture for fast per-core {DVFS} in heterogeneous MPSoCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {261--264}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271840}, doi = {10.1109/ISCAS.2012.6271840}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoppnerSEEAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoCWY12, author = {Shen{-}Fu Hsiao and Jin{-}Wen Cheng and Wen{-}Ling Wang and Guan{-}Fu Yeh}, title = {Low latency design of Depth-Image-Based Rendering using hybrid warping and hole-filling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {608--611}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272104}, doi = {10.1109/ISCAS.2012.6272104}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoCWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehCCLC12, author = {Jun{-}Wei Hsieh and Li{-}Chih Chen and Sin{-}Yu Chen and Shih{-}Chun Lin and Duan{-}Yu Chen}, title = {Vehicle color classification under different lighting conditions through color correction}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1859--1862}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271632}, doi = {10.1109/ISCAS.2012.6271632}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehCCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehLWCC12, author = {Min{-}Han Hsieh and Bing{-}Feng Lin and Yu{-}Shun Wang and Hao{-}Huei Chang and Charlie Chung{-}Ping Chen}, title = {A 2 - 8 GHz multi-phase distributed {DLL} using phase insertion in 90 nm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2015--2018}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271675}, doi = {10.1109/ISCAS.2012.6271675}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehLWCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuC12, author = {Shuo{-}Wen Hsu and Tian{-}Sheuan Chang}, title = {A low complexity speech coder for binaural communication in hearing aids}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2801--2804}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271892}, doi = {10.1109/ISCAS.2012.6271892}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuCHS12, author = {Heng{-}Ming Hsu and Yi{-}Te Chou and Yo{-}Hao Hsu and Yue{-}Shiang Shu}, title = {An inductorless frequency divider with 15GHz locking range using 90nm {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {464--467}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272065}, doi = {10.1109/ISCAS.2012.6272065}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuCHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuASSXL12, author = {Wei Hu and Oscar C. Au and Lin Sun and Wenxiu Sun and Lingfeng Xu and Yujun Li}, title = {Adaptive depth map filter for blocking artifacts removal and edge preserving}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {369--372}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272038}, doi = {10.1109/ISCAS.2012.6272038}, timestamp = {Thu, 05 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuASSXL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuJHZZW12, author = {Shijie Hu and Chen Jia and Ke Huang and Chun Zhang and Xuqiang Zheng and Zhihua Wang}, title = {A 10Gbps {CDR} based on phase interpolator for source synchronous receiver in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {309--312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271973}, doi = {10.1109/ISCAS.2012.6271973}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuJHZZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuLL12, author = {Zeng Hu and Houqiang Li and Weiping Li}, title = {An adaptive down-sampling based video coding with hybrid super-resolution method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {504--507}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272076}, doi = {10.1109/ISCAS.2012.6272076}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuLL12a, author = {Weibo Hu and Donald Y. C. Lie and Yen{-}Ting Liu}, title = {An 8-bit single-ended ultra-low-power {SAR} {ADC} with a novel {DAC} switching method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2349--2352}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271767}, doi = {10.1109/ISCAS.2012.6271767}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuLL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuLTG12, author = {Yuanqi Hu and Yan Liu and Christofer Toumazou and Pantelis Georgiou}, title = {A {CMOS} architecture allowing parallel {DNA} comparison for on-chip assembly}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1544--1547}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271545}, doi = {10.1109/ISCAS.2012.6271545}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuLTG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuaLK12, author = {Jun Hua and Hoi Lee and Ken King}, title = {An event-driven ultra-low-current battery management system with reconfigurable linear regulator for multi-cell battery applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {970--973}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272208}, doi = {10.1109/ISCAS.2012.6272208}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuaLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC12, author = {Shen{-}Jui Huang and Sau{-}Gee Chen}, title = {A memory-efficient continuous-flow {FFT} processor for Wimax application}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {17--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271587}, doi = {10.1109/ISCAS.2012.6271587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC12a, author = {Xinping Huang and Mario Caron}, title = {Statistics-based {LINC} amplifier calibration}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1247--1250}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271462}, doi = {10.1109/ISCAS.2012.6271462}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC12b, author = {Keng{-}Hsien Huang and Shao{-}Yi Chien}, title = {Universal embedded compression engine for {LCD} {TV} system-on-a-chip with Band-Expansion Progressive Wavelet Coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1923--1926}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271649}, doi = {10.1109/ISCAS.2012.6271649}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCC12, author = {Chun{-}Rong Huang and Hsing{-}Cheng Chen and Pau{-}Choo Chung}, title = {Online surveillance video synopsis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1843--1846}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271627}, doi = {10.1109/ISCAS.2012.6271627}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCF12, author = {Teng{-}Chieh Huang and Hsiao{-}Yu Chen and Wai{-}Chi Fang}, title = {Real-time obstructive sleep apnea detection based on {ECG} derived respiration signal}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {341--344}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272031}, doi = {10.1109/ISCAS.2012.6272031}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangCF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCHL12, author = {Chun{-}Po Huang and Soon{-}Jyh Chang and Guan{-}Ying Huang and Cheng{-}Wu Lin}, title = {A power-efficient sizing methodology of {SAR} ADCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {365--368}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272037}, doi = {10.1109/ISCAS.2012.6272037}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangFL12, author = {Hsiang{-}Cheh Huang and Wai{-}Chi Fang and Wei{-}Hao Lai}, title = {Secure medical information exchange with reversible data hiding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1424--1427}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271512}, doi = {10.1109/ISCAS.2012.6271512}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangFL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHC12, author = {Hsu{-}Yao Huang and Chi{-}Yuan Huang and Chung{-}Ho Chen}, title = {Tile-based {GPU} optimizations through {ESL} full system simulation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1327--1330}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271485}, doi = {10.1109/ISCAS.2012.6271485}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHHLCLL12, author = {Che{-}Wei Huang and Hsiao{-}Ting Hsueh and Yu{-}Jie Huang and Jen{-}Kuang Lee and Min{-}Cheng Chen and Shey{-}Shi Lu and Chih{-}Ting Lin}, title = {Low-cost and ultra-sensitive poly-Si nanowire biosensor for Hepatitis {B} Virus {(HBV)} {DNA} detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2303--2307}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271755}, doi = {10.1109/ISCAS.2012.6271755}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHHLCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangJZXZRW12, author = {Ke Huang and Chen Jia and Xuqiang Zheng and Ni Xu and Chun Zhang and Woogeun Rhee and Zhihua Wang}, title = {A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {313--316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271984}, doi = {10.1109/ISCAS.2012.6271984}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangJZXZRW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangL0T12, author = {Likun Huang and Jiwen Lu and Gao Yang and Yap{-}Peng Tan}, title = {Generalized subspace distance for set-to-set image classification}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1123--1126}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271428}, doi = {10.1109/ISCAS.2012.6271428}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangL0T12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLC12, author = {Guan{-}Chun Huang and Tsorng{-}Juu Liang and Kai{-}Hui Chen}, title = {Losses analysis and low standby losses quasi-resonant flyback converter design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {217--220}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271718}, doi = {10.1109/ISCAS.2012.6271718}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLHCTC12, author = {Hsin{-}Yuan Huang and Jin{-}Yi Lin and Chih{-}Cheng Hsieh and Wen{-}Hsu Chang and Hann{-}Huei Tsai and Chin{-}Fong Chiu}, title = {A 9.2b 47fJ/conversion-step asynchronous {SAR} {ADC} with input range prediction {DAC} switching}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2353--2356}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271768}, doi = {10.1109/ISCAS.2012.6271768}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLHCTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLZLRC12, author = {Deping Huang and Wei Li and Jin Zhou and Ning Li and Junyan Ren and Jinghong Chen}, title = {A time-to-digital converter based {AFC} for wideband frequency synthesizer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1299--1302}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271477}, doi = {10.1109/ISCAS.2012.6271477}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLZLRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangS12, author = {Dong{-}Yan Huang and Wei Sun}, title = {A comparison of {SVM} and asymmetric {SIMPLS} in emotion recognition from naturalistic dialogues}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {874--877}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272180}, doi = {10.1109/ISCAS.2012.6272180}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangTW12, author = {Meng Huang and Chi Kong Tse and Siu Chung Wong}, title = {Line-frequency instability of three-phase {PFC} power supplies connecting to non-ideal power grid}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {213--216}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271707}, doi = {10.1109/ISCAS.2012.6271707}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangTYC12, author = {Keng{-}Yen Huang and Yi{-}Min Tsai and Tien{-}Ju Yang and Liang{-}Gee Chen}, title = {A high speed feature matching architecture for real-time video stabilization}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1436--1439}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271515}, doi = {10.1109/ISCAS.2012.6271515}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangTYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangZS12, author = {Qi Huang and Di Zhu and Liter Siek}, title = {A novel analog-to-residue conversion scheme based on clock overlapping technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3206--3209}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272005}, doi = {10.1109/ISCAS.2012.6272005}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungZYT12, author = {Tzu{-}Yi Hung and Ce Zhu and Gao Yang and Yap{-}Peng Tan}, title = {Video organization: Near-Duplicate Video clustering}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1879--1882}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271637}, doi = {10.1109/ISCAS.2012.6271637}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungZYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HusseinSGI12, author = {Ezz El{-}Din O. Hussein and Sally Safwat and Maged Ghoneima and Yehea I. Ismail}, title = {A 16Gbps low power self-timed SerDes transceiver for multi-core communication}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1660--1663}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271576}, doi = {10.1109/ISCAS.2012.6271576}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HusseinSGI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangH12, author = {Yin{-}Tsung Hwang and Tao{-}Hsing Huang}, title = {Efficient {TWIN-VQ} audio decoder implementation on a configurable processor using instruction extension}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1010--1013}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271398}, doi = {10.1109/ISCAS.2012.6271398}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangJSK12, author = {Sewook Hwang and Inhwa Jung and Junyoung Song and Chulwoo Kim}, title = {A 5.4Gb/s adaptive equalizer with unit pulse charging technique in 0.13{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1959--1962}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271659}, doi = {10.1109/ISCAS.2012.6271659}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangJSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangLL12, author = {Seong{-}In Hwang and Hanho Lee and Shin{-}Il Lim}, title = {A novel method of constructing Quasi-Cyclic {RS-LDPC} codes for 10GBASE-T Ethernet}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1771--1774}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271608}, doi = {10.1109/ISCAS.2012.6271608}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangSCHY12, author = {Bo{-}Han Hwang and Bin{-}Nan Sheen and Jiann{-}Jong Chen and Yuh{-}Shyan Hwang and Cheng{-}Chieh Yu}, title = {A low-voltage positive buck-boost converter using average-current-controlled techniques}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2255--2258}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271742}, doi = {10.1109/ISCAS.2012.6271742}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangSCHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangYCHY12, author = {Bo{-}Han Hwang and Jay{-}Ann Yo and Jiann{-}Jong Chen and Yuh{-}Shyan Hwang and Cheng{-}Chieh Yu}, title = {A low-voltage low-noise {DC-DC} flyback converter with delta-sigma modulation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2251--2254}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271741}, doi = {10.1109/ISCAS.2012.6271741}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangYCHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HyunPKK12, author = {Joon Ho Hyun and Myung Jin Park and Young Hwan Kim and Hi{-}Seok Kim}, title = {ASIP-based control system for {LED} matrix display}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3061--3064}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271966}, doi = {10.1109/ISCAS.2012.6271966}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HyunPKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IkutaUN12, author = {Chihiro Ikuta and Yoko Uwate and Yoshifumi Nishio}, title = {Investigation of Multi-Layer Perceptron with propagation of glial pulse to two directions}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2099--2102}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271698}, doi = {10.1109/ISCAS.2012.6271698}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IkutaUN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InoueK12, author = {Keisuke Inoue and Mineo Kaneko}, title = {Reliable and low-power clock distribution using pre- and post-silicon delay adaptation in high-level synthesis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1664--1667}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271577}, doi = {10.1109/ISCAS.2012.6271577}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InoueK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IqbalMB12, author = {Rashid Iqbal and Pascal Andreas Meinerzhagen and Andreas Peter Burg}, title = {Two-port low-power gain-cell storage array: Voltage scaling and retention time}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2469--2472}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271800}, doi = {10.1109/ISCAS.2012.6271800}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IqbalMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItaiFCY12, author = {Akitoshi Itai and Arao Funase and Andrzej Cichocki and Hiroshi Yasukawa}, title = {Non-linear filter based outer product expansion with reference signal for {EEG} analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {345--348}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272032}, doi = {10.1109/ISCAS.2012.6272032}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItaiFCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItoIUA12, author = {Daisuke Ito and Jun{-}ichi Imura and Tetsushi Ueta and Kazuyuki Aihara}, title = {Energy saving controlling chaos}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {405--408}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272048}, doi = {10.1109/ISCAS.2012.6272048}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ItoIUA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JahanH12, author = {M. Shahriar Jahan and Jeremy H. Holleman}, title = {A 3.3 {\(\mathrm{\mu}\)}W dual-modulus frequency divider with 189{\%} locking range for {MICS} band applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1504--1507}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271534}, doi = {10.1109/ISCAS.2012.6271534}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JahanH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JakhetiyaJTA12, author = {Vinit Jakhetiya and Sunil Prasad Jaiswal and Anil Kumar Tiwari and Oscar C. Au}, title = {Interpolation based symmetrical predictor structure for lossless image coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2913--2916}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271925}, doi = {10.1109/ISCAS.2012.6271925}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JakhetiyaJTA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangCPC12, author = {Kichang Jang and Jungsoo Choi and Chulkyu Park and Joongho Choi}, title = {A voltage-mode {DC-DC} converter with enhanced transient responses}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {974--977}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272209}, doi = {10.1109/ISCAS.2012.6272209}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangCPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangH12, author = {Woo{-}seok Jang and Yo{-}Sung Ho}, title = {Disparity map acquisition with occlusion handling using warping constraint}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {600--603}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272102}, doi = {10.1109/ISCAS.2012.6272102}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangJYKK12, author = {Kyounghoon Jang and Hosang Jo and Jihong Yuk and Hyunjung Kang and Bongsoon Kang}, title = {The enhancement net power control for lifetime improvement of {AMOLED}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3246--3249}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272016}, doi = {10.1109/ISCAS.2012.6272016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangJYKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangYKC12, author = {Youngkyu Jang and Changnoh Yoon and Jinsang Kim and Won{-}Kyung Cho}, title = {Low-power variation-aware flip flop}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {488--491}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272071}, doi = {10.1109/ISCAS.2012.6272071}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangYKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JarollahiOGG12, author = {Hooman Jarollahi and Naoya Onizawa and Vincent Gripon and Warren J. Gross}, title = {Architecture and implementation of an associative memory using sparse clustered networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2901--2904}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271922}, doi = {10.1109/ISCAS.2012.6271922}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JarollahiOGG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeongA12, author = {Yaesuk Jeong and Farrokh Ayazi}, title = {Process compensated {CMOS} temperature sensor for microprocessor application}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3118--3121}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271981}, doi = {10.1109/ISCAS.2012.6271981}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeongA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeongCCHKJC12, author = {Youngkyun Jeong and Yoon{-}Chul Choi and Eun{-}Ji Choi and Seogheon Ham and Kee{-}Won Kwon and Young{-}Hyun Jun and Jung{-}Hoon Chun}, title = {0.37mW/Gb/s low power {SLVS} transmitter for battery powered applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1955--1958}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271658}, doi = {10.1109/ISCAS.2012.6271658}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeongCCHKJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaYWWDS12, author = {Jun Jia and Xin Yi and Mengde Wang and Guoxing Wang and Simin Deng and Guofang Shen}, title = {A blink restoration system with contralateral {EMG} triggered stimulation and real-time software based artifact blanking}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1536--1539}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271543}, doi = {10.1109/ISCAS.2012.6271543}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaYWWDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JianLD12, author = {Muwei Jian and Kin{-}Man Lam and Junyu Dong}, title = {Dynamic textures indexing and retrieval based on intrinsic properties}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {866--869}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272178}, doi = {10.1109/ISCAS.2012.6272178}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JianLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangHCC12, author = {Bo{-}Qian Jiang and Cheng{-}Liang Hung and Bing{-}Hung Chen and Kuo{-}Hsing Cheng}, title = {A 6-Gb/s 3X-oversampling-like clock and data recovery in 0.13-{\(\mathrm{\mu}\)}m {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2597--2600}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271836}, doi = {10.1109/ISCAS.2012.6271836}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangHHLH12, author = {Junjun Jiang and Ruimin Hu and Zhen Han and Tao Lu and Kebin Huang}, title = {Surveillance face hallucination via variable selection and manifold learning}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2681--2684}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271859}, doi = {10.1109/ISCAS.2012.6271859}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangHHLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangK12, author = {Aimin Jiang and Hon Keung Kwan}, title = {Efficient design of sparse {FIR} filters in {WLS} sense}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {41--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272050}, doi = {10.1109/ISCAS.2012.6272050}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangSMH12, author = {Jianfei Jiang and Wei{-}Guang Sheng and Zhi{-}Gang Mao and Wei{-}Feng He}, title = {A pre-emphasis circuit design for high speed on-chip global interconnect}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2941--2944}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271933}, doi = {10.1109/ISCAS.2012.6271933}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangSMH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaoK12, author = {Hailong Jiao and Volkan Kursun}, title = {Full-custom design of low leakage data preserving ground gated 6T {SRAM} cells to facilitate single-ended write operations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {484--487}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272070}, doi = {10.1109/ISCAS.2012.6272070}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaoK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinD12, author = {Xin Jin and Fa Foster Dai}, title = {A 6\({}^{\mbox{th}}\) order zero capacitor spread 1MHz - 10MHz tunable {CMOS} active-RC low pass filter with fast tuning scheme}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1187--1190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271446}, doi = {10.1109/ISCAS.2012.6271446}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinYZYZWYG12, author = {Qing Jin and Kaiyuan Yang and Chunyuan Zhou and Dongxu Yang and Lei Zhang and Yan Wang and Zhiping Yu and Weidong Geng}, title = {A transformer-based filtering technique to lower LC-oscillator phase noise}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1383--1386}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271501}, doi = {10.1109/ISCAS.2012.6271501}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JinYZYZWYG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JingMHY12, author = {Xiaocheng Jing and Philip K. T. Mok and Cheng Huang and Fan Yang}, title = {A 0.5V nanoWatt {CMOS} voltage reference with two high {PSRR} outputs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2837--2840}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271902}, doi = {10.1109/ISCAS.2012.6271902}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JingMHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohanssonEL12, author = {H{\aa}kan Johansson and Amir Eghbali and Jimmie Lahti}, title = {Tree-structured linear-phase Nyquist {FIR} filter interpolators and decimators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2329--2332}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271761}, doi = {10.1109/ISCAS.2012.6271761}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JohanssonEL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuLCWCLCCWCHLT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Hao{-}Wei Li and Chung{-}Hung Tsai}, title = {A 775-{\(\mathrm{\mu}\)}W/fps/view {H.264/MVC} decoder chip compliant with 3D Blu-ray specifications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271516}, doi = {10.1109/ISCAS.2012.6271516}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuLCWCLCCWCHLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuMTH12, author = {Chunhui Ju and Jun Ma and Chengzhi Tian and Guanghui He}, title = {{VLSI} implementation of an 855 Mbps high performance soft-output K-Best {MIMO} detector}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2849--2852}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271905}, doi = {10.1109/ISCAS.2012.6271905}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JuMTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungKS12, author = {Ho Sun Jung and Un Seob Kim and Myung Hoon Sunwoo}, title = {Efficient computation reduction algorithms for frame rate up-conversion}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2909--2912}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271924}, doi = {10.1109/ISCAS.2012.6271924}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungeblutAPR12, author = {Thorsten Jungeblut and Johannes Ax and Mario Porrmann and Ulrich R{\"{u}}ckert}, title = {A TCMS-based architecture for {GALS} NoCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2721--2724}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271870}, doi = {10.1109/ISCAS.2012.6271870}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JungeblutAPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaddoumVG12, author = {Georges Kaddoum and Mai Vu and Fran{\c{c}}ois Gagnon}, title = {Chaotic symbolic dynamics modulation in {MIMO} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {157--160}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271552}, doi = {10.1109/ISCAS.2012.6271552}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaddoumVG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaivaniCK12, author = {Amir Kaivani and Li Chen and Seok{-}Bum Ko}, title = {High-frequency sequential decimal multipliers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3045--3048}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271961}, doi = {10.1109/ISCAS.2012.6271961}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KaivaniCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KamathC12, author = {Anant S. Kamath and Biman Chattopadhyay}, title = {A wide output range, mismatch tolerant Sigma Delta {DAC} for digital {PLL} in 90nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {69--72}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272127}, doi = {10.1109/ISCAS.2012.6272127}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KamathC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KanekoL12, author = {Mineo Kaneko and Jian Li}, title = {Post-silicon skew tuning algorithm utilizing setup and hold timing tests}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {125--128}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271463}, doi = {10.1109/ISCAS.2012.6271463}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KanekoL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangK12, author = {Shin{-}Hun Kang and Jae{-}Hyun Kim}, title = {QoS-aware network selection for seamless multimedia service}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {544--547}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272087}, doi = {10.1109/ISCAS.2012.6272087}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangLLL12, author = {Li{-}Wei Kang and Chia{-}Wen Lin and Che{-}Tsung Lin and Yu{-}Chen Lin}, title = {Self-learning-based rain streak removal for image/video}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271635}, doi = {10.1109/ISCAS.2012.6271635}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KannanKCA12, author = {Sukeshwar Kannan and Bruce C. Kim and Sang{-}Bock Cho and Byoungchul Ahn}, title = {Analysis of propagation delay in 3 - {D} stacked {DRAM}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1839--1842}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271626}, doi = {10.1109/ISCAS.2012.6271626}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KannanKCA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KannanKGNLC12, author = {Sukeshwar Kannan and Bruce C. Kim and Anurag Gupta and Seok{-}Ho Noh and Li Li and Sang{-}Bock Cho}, title = {Modeling and characterization of CNT-based {TSV} for high frequency applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1584--1589}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271556}, doi = {10.1109/ISCAS.2012.6271556}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KannanKGNLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarS12, author = {Goutam Chandra Kar and Mohamad Sawan}, title = {Low-power high-voltage charge pumps for implantable microstimulators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2247--2250}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271739}, doi = {10.1109/ISCAS.2012.6271739}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarimianHNS12, author = {Masood Karimian and Saeid Hashemi and Ali Naderi and Mohamad Sawan}, title = {Impact of gradient error on switching sequence in high-accuracy thermometer-decoded current-steering DACs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1279--1282}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271471}, doi = {10.1109/ISCAS.2012.6271471}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarimianHNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KattiS12, author = {Raj S. Katti and Sarjan Shrestha}, title = {Novel asynchronous registers for sequential circuits with quantum-dot cellular automata}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1351--1354}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271492}, doi = {10.1109/ISCAS.2012.6271492}, timestamp = {Fri, 22 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KattiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KatzND12, author = {Matthew L. Katz and Konstantin Nikolic and Tobi Delbr{\"{u}}ck}, title = {Live demonstration: Behavioural emulation of event-based vision sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {736--740}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272143}, doi = {10.1109/ISCAS.2012.6272143}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KatzND12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KazancMD12, author = {Onur Kazanc and Franco Maloberti and Catherine Dehollain}, title = {Simulation oriented rectenna design methodology for remote powering of wireless sensor systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2877--2880}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271914}, doi = {10.1109/ISCAS.2012.6271914}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KazancMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KeHFWP12, author = {Shian{-}Ru Ke and Jenq{-}Neng Hwang and Maryam Fazel and Shen{-}Zheng Wang and Hung{-}I Pai}, title = {Constrained multiple kernel tracking for human limbs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1847--1850}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271628}, doi = {10.1109/ISCAS.2012.6271628}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KeHFWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KeL12, author = {Jun Ke and Edmund Y. Lam}, title = {Nonlinear image reconstruction in block-based compressive imaging}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2917--2920}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271926}, doi = {10.1109/ISCAS.2012.6271926}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KeL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KeskinCEC12, author = {Furkan Keskin and A. Enis {\c{C}}etin and Tulin Ersahin and Reng{\"{u}}l {\c{C}}etin{-}Atalay}, title = {Microscopic image classification via {\(\mathbb{C}\)}WT-based covariance descriptors using Kullback-Leibler distance}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2079--2082}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271692}, doi = {10.1109/ISCAS.2012.6271692}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KeskinCEC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanW12, author = {Tareq Hasan Khan and Khan A. Wahid}, title = {Implantable narrow band image compressor for capsule endoscopy}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2203--2206}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271727}, doi = {10.1109/ISCAS.2012.6271727}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KholyGS12, author = {Ahmed El Kholy and Maged Ghoneima and Khaled Sharaf}, title = {A 0.8V 6.4{\(\mathrm{\mu}\)}W compact mixed-signal front-end for neural implants}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2223--2226}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271733}, doi = {10.1109/ISCAS.2012.6271733}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KholyGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhooRVL12, author = {I{-}Hung Khoo and Hari C. Reddy and Lan{-}Da Van and Chin{-}Teng Lin}, title = {Delta operator based 2-D {VLSI} filter structures without global broadcast and incorporation of the quadrantal symmetry}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3190--3193}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272001}, doi = {10.1109/ISCAS.2012.6272001}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhooRVL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhwajaZ12, author = {Ahmed Shaharyar Khwaja and Xiao{-}Ping (Steven) Zhang}, title = {Reconstruction of compressively sensed complex-valued terahertz data}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {281--284}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271895}, doi = {10.1109/ISCAS.2012.6271895}, timestamp = {Thu, 12 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KhwajaZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KilincCMD12, author = {Enver G. Kilinc and Bastien Canovas and Franco Maloberti and Catherine Dehollain}, title = {Intelligent cage for remotely powered freely moving animal telemetry systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2207--2210}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271728}, doi = {10.1109/ISCAS.2012.6271728}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KilincCMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kim12, author = {Ji{-}Hoon Kim}, title = {Design of {TETRA} 2 turbo decoder with minimum memory hardware interleaver}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1779--1782}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271610}, doi = {10.1109/ISCAS.2012.6271610}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kim12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimAKLC12, author = {Minbeom Kim and Byung{-}Gyu Ahn and Jaehwan Kim and Bongki Lee and Jong{-}Wha Chong}, title = {Thermal aware timing budget for buffer insertion in early stage of physical design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {357--360}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272035}, doi = {10.1109/ISCAS.2012.6272035}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimAKLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimBBS12, author = {Sung Dae Kim and Jin{-}Wook Baek and Jin Wook Burm and Myung Hoon Sunwoo}, title = {Data reusable search scan methods for low power motion estimation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1556--1559}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271548}, doi = {10.1109/ISCAS.2012.6271548}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimBBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimBS12, author = {Tae{-}Sun Kim and Ho Il Bang and Myung Hoon Sunwoo}, title = {Flexible {IME} instruction and its architecture for various fast {ME} algorithms}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3057--3060}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271965}, doi = {10.1109/ISCAS.2012.6271965}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimCK12, author = {Daehyun Kim and Biwoong Chung and Roy E. Kim}, title = {Improved hard-decision decoding {LDPC} Codec {IP} design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {416--419}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272052}, doi = {10.1109/ISCAS.2012.6272052}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimCS12, author = {Ming{-}Hwa Kim and Lap{-}Pui Chau and Wan{-}Chi Siu}, title = {Keyframe selection for motion capture using motion activity analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {612--615}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272106}, doi = {10.1109/ISCAS.2012.6272106}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimJKL12, author = {Chanhong Kim and Kyowon Jeong and Kyungjun Ko and Jungwoo Lee}, title = {SNR-based adaptive modulation for wireless {LAN} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {758--761}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272148}, doi = {10.1109/ISCAS.2012.6272148}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimJKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimK12, author = {Yong{-}Hun Kim and Lee{-}Sup Kim}, title = {A 20 Gbps 1-tap decision feedback equalizer with unfixed tap coefficient}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {321--324}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272006}, doi = {10.1109/ISCAS.2012.6272006}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKG12, author = {Eric P. Kim and Hun{-}Seok Kim and Manish Goel}, title = {Coding for jointly optimizing energy and peak current in deep sub-micron {VLSI} interconnects}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3090--3093}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271974}, doi = {10.1109/ISCAS.2012.6271974}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKNMLL12, author = {Seong Joong Kim and Bumman Kim and Sangwook Nam and Dejan Markovic and Sang{-}Gug Lee and Jaesup Lee}, title = {Challenges and directions of ultra low energy wireless sensor nodes for biosignal monitoring}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {986--989}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272212}, doi = {10.1109/ISCAS.2012.6272212}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimKNMLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKW12, author = {Yong Sin Kim and Sung{-}Mo Kang and Roland Winston}, title = {Maximizing power harvest in a distributed photovoltaic system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2275--2278}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271747}, doi = {10.1109/ISCAS.2012.6271747}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimL12, author = {Eung Sup Kim and Hyuk{-}Jae Lee}, title = {A practical hardware design for the keypoint detection in the {SIFT} algorithm with a reduced memory requirement}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {770--773}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272152}, doi = {10.1109/ISCAS.2012.6272152}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLK12, author = {Tony T. Kim and Pong{-}Fei Lu and Chris H. Kim}, title = {Design of ring oscillator structures for measuring isolated {NBTI} and {PBTI}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1580--1583}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271555}, doi = {10.1109/ISCAS.2012.6271555}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLP12, author = {Dongwan Kim and Wan{-}Seon Lim and Jongsun Park}, title = {Dual queue based rate selecting schedule for throughput enhancement in WLANs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {540--543}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272086}, doi = {10.1109/ISCAS.2012.6272086}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLRS12, author = {Miok Kim and Nam Ling and John D. Ralston and Steven Saunders}, title = {Non-Delaunay hierarchical mesh-based motion estimation and compensation for Wavelet Video coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1895--1898}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271642}, doi = {10.1109/ISCAS.2012.6271642}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimNH12, author = {Youngkeun Kim and Masanori Natsui and Takahiro Hanyu}, title = {Variation-resilient current-mode logic circuit design using {MTJ} devices}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2705--2708}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271866}, doi = {10.1109/ISCAS.2012.6271866}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimNH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimPF12, author = {Eunji Kim and Hyunggon Park and Pascal Frossard}, title = {Low complexity iterative multimedia resource allocation based on game theoretic approach}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1099--1102}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271422}, doi = {10.1109/ISCAS.2012.6271422}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimR12, author = {Suhwan Kim and Gabriel A. Rinc{\'{o}}n{-}Mora}, title = {Efficiency of switched-inductor dc-dc converter ICs across process technologies}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {460--463}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272064}, doi = {10.1109/ISCAS.2012.6272064}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimRJ12, author = {Moonil Kim and Jae{-}Sung Rieh and Sanggeun Jeon}, title = {Recent progress in terahertz monolithic integrated circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {746--749}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272145}, doi = {10.1109/ISCAS.2012.6272145}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimRJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimRYKCJ12, author = {Jaeha Kim and Sigang Ryu and Byoung{-}Joo Yoo and Hanseok Kim and Yunju Choi and Deog{-}Kyoon Jeong}, title = {A model-first design and verification flow for analog-digital convergence systems: {A} high-speed receiver example in digital TVs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {754--757}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272147}, doi = {10.1109/ISCAS.2012.6272147}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimRYKCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSJKGYK12, author = {Min{-}Kyu Kim and Min{-}Seok Shin and Yun{-}Rae Jo and Jong{-}Boo Kim and Jaseung Gou and Sangdong Yoo and Oh{-}Kyong Kwon}, title = {A {\(\Delta\)}{\(\Sigma\)}-cyclic hybrid {ADC} for parallel readout sensor applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {532--535}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272084}, doi = {10.1109/ISCAS.2012.6272084}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSJKGYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimYM12, author = {Jaeseong Kim and Shingo Yoshizawa and Yoshikazu Miyanaga}, title = {A low-power {MMSE} {MIMO} detector using dynamic voltage wordlength scaling for 4{\texttimes}4 {MIMO-OFDM} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2793--2796}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271890}, doi = {10.1109/ISCAS.2012.6271890}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohnBHK12, author = {Bernhard Kohn and Ahmed Nabil Belbachir and Thomas Hahn and Hannes Kaufmann}, title = {Event-driven body motion analysis for real-time gesture recognition}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {703--706}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272132}, doi = {10.1109/ISCAS.2012.6272132}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KohnBHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KokluGBMLC12, author = {Gozen Koklu and Julien Ghaye and Rene Beuchat and Giovanni De Micheli and Yusuf Leblebici and Sandro Carrara}, title = {Quantitative comparison of commercial {CCD} and custom-designed {CMOS} camera for biological applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2063--2066}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271688}, doi = {10.1109/ISCAS.2012.6271688}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KokluGBMLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolhapureK12, author = {Amrut Kolhapure and Animesh Kumar}, title = {{SRAM} in hold-operation: Modeling the interaction of soft-errors and switching power-supply noise}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2473--2476}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271801}, doi = {10.1109/ISCAS.2012.6271801}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolhapureK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolumbanKTL12, author = {G{\'{e}}za Kolumb{\'{a}}n and Tam{\'{a}}s Kr{\'{e}}besz and Chi Kong Tse and Francis Chung{-}Ming Lau}, title = {Improving the coverage of ultra wideband impulse radio by pulse compression}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1939--1942}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271654}, doi = {10.1109/ISCAS.2012.6271654}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolumbanKTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KonishiOIYK12, author = {Toshihiro Konishi and Keisuke Okuno and Shintaro Izumi and Masahiko Yoshimoto and Hiroshi Kawaguchi}, title = {A 51-dB {SNDR} DCO-based {TDC} using two-stage second-order noise shaping}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3170--3173}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271996}, doi = {10.1109/ISCAS.2012.6271996}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KonishiOIYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoppaJ12, author = {Santosh Koppa and Youngjoong Joo}, title = {Improvised NanoSPR biosensor system utilizing gold nanorods and nanohole array film}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2397--2400}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271780}, doi = {10.1109/ISCAS.2012.6271780}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoppaJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KouretasP12, author = {Ioannis Kouretas and Vassilis Paliouras}, title = {Residue arithmetic for designing multiply-add units in the presence of non-gaussian variation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1231--1234}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271458}, doi = {10.1109/ISCAS.2012.6271458}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KouretasP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrillAR12, author = {Benjamin Krill and Abbes Amira and Hassan Rabah}, title = {Generic virtual filesystems for reconfigurable devices}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1815--1818}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271620}, doi = {10.1109/ISCAS.2012.6271620}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrillAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Krishnapura12, author = {Nagendra Krishnapura}, title = {Introducing negative feedback with an integrator as the central element}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2449--2452}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271794}, doi = {10.1109/ISCAS.2012.6271794}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Krishnapura12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Krishnapura12a, author = {Nagendra Krishnapura}, title = {Synthesis based introduction to opamps and phase locked loops}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2461--2464}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271798}, doi = {10.1109/ISCAS.2012.6271798}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Krishnapura12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarDS12, author = {A. R. Aravinth Kumar and Ashudeb Dutta and Shiv Govind Singh}, title = {A 1.5-7.5GHz low power low noise amplifier {(LNA)} design using subthreshold technique for Wireless Sensor Network {(WSN)} application}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1943--1946}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271655}, doi = {10.1109/ISCAS.2012.6271655}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KummZFC12, author = {Martin Kumm and Peter Zipf and Mathias Faust and Chip{-}Hong Chang}, title = {Pipelined adder graph optimization for high speed multiple constant multiplication}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {49--52}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272072}, doi = {10.1109/ISCAS.2012.6272072}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KummZFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kumngern12, author = {Montree Kumngern}, title = {Electronically tunable current-mode universal biquadratic filter using a single {CCCFTA}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1175--1178}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271443}, doi = {10.1109/ISCAS.2012.6271443}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kumngern12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoLKYWHC12, author = {Po{-}Hung Kuo and Shey{-}Shi Lu and Jui{-}Chang Kuo and Yao{-}Joe Yang and Tao Wang and Yi{-}Lwun Ho and Ming{-}Fong Chen}, title = {A hydrogel-based implantable wireless {CMOS} glucose sensor SoC}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {994--997}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272214}, doi = {10.1109/ISCAS.2012.6272214}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KuoLKYWHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwakKA12, author = {Yong{-}Sik Kwak and Kwang{-}Soo Kim and Gil{-}Cho Ahn}, title = {A 1.8 {V} 89.2 dB delta-sigma adc for sensor interface with on-chip reference}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {520--523}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272080}, doi = {10.1109/ISCAS.2012.6272080}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwakKA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwanKK12, author = {Benjamin Y. M. Kwan and Jennifer Y. Y. Kwan and Hon Keung Kwan}, title = {Spectral techniques for classifying short exon and intron sequences}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {568--571}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272093}, doi = {10.1109/ISCAS.2012.6272093}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwanKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonK12, author = {Do{-}Kyoung Kwon and Hyung J. Kim}, title = {Base-layer motion estimation with limited enhancement-layer search window for hardware {H.264/SVC} encoder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1552--1555}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271547}, doi = {10.1109/ISCAS.2012.6271547}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonLKCK12, author = {Kyung Joon Kwon and Sung{-}Kyu Lee and Sanghun Kim and Su Yeong Cho and Young Hwan Kim}, title = {Analysis and design for text readability increase in quad-structure {RGBW} color {EPD}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1724--1727}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271594}, doi = {10.1109/ISCAS.2012.6271594}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonLKCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KyriakidesHG12, author = {Evripides Kyriakides and Constantinos Hadjistassou and Julius Georgiou}, title = {A new memristor based on NiTi smart alloys}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1403--1406}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271506}, doi = {10.1109/ISCAS.2012.6271506}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KyriakidesHG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LahaLK12, author = {Soumyasanta Laha and Michal Lorek and Savas Kaya}, title = {Optimum biasing and design of high performance double gate {MOSFET} {RF} mixers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3278--3281}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272025}, doi = {10.1109/ISCAS.2012.6272025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LahaLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiLL12, author = {Yeong{-}Kang Lai and Yu{-}Fan Lai and Jung{-}Wei Lin}, title = {High-quality view synthesis algorithm and architecture for 2D to 3D conversion}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {373--376}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272040}, doi = {10.1109/ISCAS.2012.6272040}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiYL12, author = {Shin{-}Chi Lai and Yi{-}Ping Yeh and Sheau{-}Fang Lei}, title = {Hardware-efficient filterbank design for fast recursive {MDST} and {IMDST} algorithms}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {778--781}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272154}, doi = {10.1109/ISCAS.2012.6272154}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaihoLL12, author = {Mika Laiho and Eero Lehtonen and Wei Lu}, title = {Memristive analog arithmetic within cellular arrays}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2665--2668}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271855}, doi = {10.1109/ISCAS.2012.6271855}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaihoLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LakysZKC12, author = {Yahya Lakys and Weisheng Zhao and Jacques{-}Olivier Klein and Claude Chappert}, title = {{MRAM} crossbar based configurable logic block}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2945--2948}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271934}, doi = {10.1109/ISCAS.2012.6271934}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LakysZKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LanZZG12, author = {Shanzhen Lan and Qi Zhang and Xinggong Zhang and Zongming Guo}, title = {Sparsity estimation in image compressive sensing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2669--2672}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271856}, doi = {10.1109/ISCAS.2012.6271856}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LanZZG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaoZG12, author = {Feng Lao and Xinggong Zhang and Zongming Guo}, title = {Parallelizing video transcoding using Map-Reduce-based cloud computing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2905--2908}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271923}, doi = {10.1109/ISCAS.2012.6271923}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaoZG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaprayHRG12, author = {Pierre{-}Jean Lapray and Barth{\'{e}}l{\'{e}}my Heyrman and Matthieu Ross{\'{e}} and Dominique Ginhac}, title = {HDR-ARtiSt: High dynamic range advanced real-time imaging system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1428--1431}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271513}, doi = {10.1109/ISCAS.2012.6271513}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaprayHRG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LatifAH12, author = {Mohd Azman Abdul Latif and Noohul Basheer Zain Ali and Fawnizu Azmadi Hussin}, title = {Design for cold test elimination - facing the Inverse Temperature Dependence {(ITD)} challenge}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3081--3085}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271971}, doi = {10.1109/ISCAS.2012.6271971}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LatifAH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaulainenTMK12, author = {Erkka Laulainen and Matthew J. Turnquist and Jani M{\"{a}}kip{\"{a}}{\"{a}} and Lauri Koskinen}, title = {Adaptive subthreshold timing-error detection 8 bit microcontroller in 65 nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2953--2956}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271936}, doi = {10.1109/ISCAS.2012.6271936}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaulainenTMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeboeufMA12, author = {Karl Leboeuf and Roberto Muscedere and Majid Ahmadi}, title = {High performance prime field multiplication for {GPU}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {93--96}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272196}, doi = {10.1109/ISCAS.2012.6272196}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeboeufMA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee12, author = {Edward K. F. Lee}, title = {A sub-0.5V, 1.5{\(\mathrm{\mu}\)}W rail-to-rail constant gm opamp and its filter application}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {197--200}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271662}, doi = {10.1109/ISCAS.2012.6271662}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeAKKC12, author = {Bongki Lee and Byung{-}Gyu Ahn and Jaehwan Kim and Minbeom Kim and Jong{-}Wha Chong}, title = {A novel methodology for power delivery network optimization in 3-D ICs using through-silicon-via technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3262--3265}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272021}, doi = {10.1109/ISCAS.2012.6272021}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeAKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeBY12, author = {Jiwon Lee and Inkyu Baek and Kyounghoon Yang}, title = {New {FPN} correction method for PD-storage dual-capture {CMOS} image sensor using a nonfully depleted pinned photodiode}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1620--1623}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271565}, doi = {10.1109/ISCAS.2012.6271565}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCCL12, author = {Xin{-}Ru Lee and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Stochastic decoding for {LDPC} convolutional codes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2621--2624}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271843}, doi = {10.1109/ISCAS.2012.6271843}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeDPPSRK12, author = {Junhaeng Lee and Tobi Delbr{\"{u}}ck and Paul K. J. Park and Michael Pfeiffer and Chang{-}Woo Shin and Hyunsurk Ryu and Byung{-}Chang Kang}, title = {Live demonstration: Gesture-based remote control using stereo pair of dynamic vision sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {741--745}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272144}, doi = {10.1109/ISCAS.2012.6272144}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeDPPSRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeDRY12, author = {Edward K. F. Lee and Rongching Dai and Natasha Reeves and Xiao Yun}, title = {A 36V biphasic stimulator with electrode monitoring circuit}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1087--1090}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271418}, doi = {10.1109/ISCAS.2012.6271418}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeDRY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeJK12, author = {Suji Lee and Jongpil Jung and Chong{-}Min Kyung}, title = {Hybrid cache architecture replacing {SRAM} cache with future memory technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2481--2484}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271803}, doi = {10.1109/ISCAS.2012.6271803}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeJK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeK12, author = {HyeongKoo Lee and Tae{-}Chan Kim}, title = {Local self-similarity based backprojection for image upscaling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1215--1218}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271454}, doi = {10.1109/ISCAS.2012.6271454}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKEC12, author = {Sang{-}Jin Lee and Omid Kavehei and Kamran Eshraghian and Kyoung{-}Rok Cho}, title = {Live demonstration: High fill factor {CIS} based on single inverter architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {735}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272142}, doi = {10.1109/ISCAS.2012.6272142}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKEC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKS12, author = {Jea Hack Lee and Eun Ji Kim and Myung Hoon Sunwoo}, title = {Low complexity {FFT/IFFT} processor for high-speed {OFDM} system using efficient multiplier scheduling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1520--1523}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271538}, doi = {10.1109/ISCAS.2012.6271538}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLK12, author = {Kijun Lee and Sejin Lim and Jaehong Kim}, title = {Low-cost, low-power and high-throughput {BCH} decoder for {NAND} Flash Memory}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {413--415}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272051}, doi = {10.1109/ISCAS.2012.6272051}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLS12, author = {Jyun{-}Yu Lee and Hsin{-}De Lin and Tzu{-}Hsien Sang}, title = {An {SFBC-OFDM} receiver with {MLSE} equalizer to combat multiple frequency offsets}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1524--1527}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271539}, doi = {10.1109/ISCAS.2012.6271539}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeMWMSMSK12, author = {Vincent Lee and Jennifer Monski and Winthrop Williams and Bharathwaj Muthuswamy and Tom Swiontek and Michel M. Maharbiz and Vivek Subramanian and Ferenc Kovac}, title = {A mixed-signal {EEG} interface circuit for use in first year electronics courses}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2689--2692}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271861}, doi = {10.1109/ISCAS.2012.6271861}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeMWMSMSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeRHY12, author = {Seulki Lee and Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {A 2.1{\(\mathrm{\mu}\)}W real-time reconfigurable wearable {BAN} controller with dual linked list structure}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1540--1543}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271544}, doi = {10.1109/ISCAS.2012.6271544}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeRHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeTAO12, author = {Seungju Lee and Nozomu Togawa and Takashi Aoki and Akira Onozawa}, title = {A novel BMNoC configuration algorithm utilizing communication volume and locality among cores}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1668--1671}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271578}, doi = {10.1109/ISCAS.2012.6271578}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeTAO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeWCY12, author = {Shuenn{-}Yuh Lee and Liang{-}Hung Wang and Tsung{-}Yen Chen and Chih{-}Tao Yu}, title = {A low-power {RF} front-end with merged LNA, differential power splitter, and quadrature mixer for {IEEE} 802.15.4 (ZigBee) applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1492--1495}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271531}, doi = {10.1109/ISCAS.2012.6271531}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeWCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYP12, author = {Min{-}Woo Lee and Ji{-}Hwan Yoon and Jongsun Park}, title = {High-speed tournament givens rotation-based {QR} Decomposition Architecture for {MIMO} Receiver}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {21--24}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271699}, doi = {10.1109/ISCAS.2012.6271699}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeYP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehtonenPL12, author = {Eero Lehtonen and Jussi H. Poikonen and Mika Laiho}, title = {Implication logic synthesis methods for memristors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2441--2444}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271792}, doi = {10.1109/ISCAS.2012.6271792}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehtonenPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LellisB12, author = {Pietro De Lellis and Mario di Bernardo}, title = {On adaptive bounded synchronization in Power Network models}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1640--1643}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271570}, doi = {10.1109/ISCAS.2012.6271570}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LellisB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LellisMBM12, author = {Pietro De Lellis and Massimiliano de Magistris and Mario di Bernardo and Sabato Manfredi}, title = {Experimental validation of pinning controllability in networked Chua's circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {616--619}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272107}, doi = {10.1109/ISCAS.2012.6272107}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LellisMBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lenero-BardalloBH12, author = {Juan A. Le{\~{n}}ero{-}Bardallo and Dag Halvdan Bryn and Philipp H{\"{a}}fliger}, title = {Live demonstration: {A} bio-inspired asynchronous pixel event tri-color vision sensor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {726}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272138}, doi = {10.1109/ISCAS.2012.6272138}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lenero-BardalloBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeviBKBF12, author = {Itamar Levi and Ori Bass and Asaf Kaizerman and Alexander Belenky and Alexander Fish}, title = {High speed Dual Mode Logic Carry Look Ahead Adder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3037--3040}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271959}, doi = {10.1109/ISCAS.2012.6271959}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeviBKBF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCGQLZ12, author = {Jinbo Li and Dongpo Chen and Rui Guan and Peng Qin and Zhijian Lu and Jianjun Zhou}, title = {Low-power high-linearity area-efficient multi-mode {GNSS} {RF} receiver in 40nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1291--1294}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271475}, doi = {10.1109/ISCAS.2012.6271475}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiCGQLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCT12, author = {Maodong Li and Zhenzhong Chen and Yap{-}Peng Tan}, title = {A scalable resource allocation framework for {SVC} video transmissions over downlink {MIMO-OFDM} networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1095--1098}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271421}, doi = {10.1109/ISCAS.2012.6271421}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiDHZYTYM12, author = {Yilei Li and Chuansheng Dong and Kefeng Han and Cheng Zhang and Yongchang Yu and Xi Tan and Na Yan and Hao Min}, title = {A triple-band flexible low-noise transmitter with linearity enhancement}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {834--837}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272170}, doi = {10.1109/ISCAS.2012.6272170}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiDHZYTYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiDL12, author = {Cheng{-}Han Li and Tobi Delbr{\"{u}}ck and Shih{-}Chii Liu}, title = {Real-time speaker identification using the {AEREAR2} event-based silicon cochlea}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1159--1162}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271438}, doi = {10.1109/ISCAS.2012.6271438}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiDL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiDYW12, author = {Wei Li and Ke Deng and Qinye Yin and Huiming Wang}, title = {Blind closed-form {DOA} estimation for distributed sources}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2821--2824}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271898}, doi = {10.1109/ISCAS.2012.6271898}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiDYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiHC12, author = {Shaorui Li and Deping Huang and Jinghong Chen}, title = {A PVT-robust current-mode passive mixer with source-degenerated transconductance amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2381--2384}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271776}, doi = {10.1109/ISCAS.2012.6271776}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiJJG12, author = {Ju{-}Ching Li and Sungyong Jung and Youngjoong Joo and Ping Gui}, title = {A current-steering DAC-based {CMOS} ultra-wideband transmitter with bi-phase modulation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2545--2548}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271822}, doi = {10.1109/ISCAS.2012.6271822}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiJJG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLHLL12, author = {Ming{-}Huang Li and Cheng{-}Syun Li and Li{-}Jen Hou and Yu{-}Chia Liu and Sheng{-}Shian Li}, title = {A 1.57mW 99dB{\(\Omega\)} {CMOS} transimpedance amplifier for {VHF} micromechanical reference oscillators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {209--212}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271695}, doi = {10.1109/ISCAS.2012.6271695}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLHX12, author = {Gang Li and Yong Ching Lim and Chaogeng Huang and Hong Xu}, title = {A novel digital {IIR} filter design strategy - Structure-based discrete coefficient filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {37--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272039}, doi = {10.1109/ISCAS.2012.6272039}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLHX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLM12, author = {Lin Li and Xiaowen Liu and Andrew J. Mason}, title = {Die-level photolithography and etchless parylene packaging processes for on-CMOS electrochemical biosensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2401--2404}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271781}, doi = {10.1109/ISCAS.2012.6271781}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiS12, author = {Yongjia Li and Wouter A. Serdijn}, title = {A continuous-time level-crossing {ADC} with 1-bit {DAC} and 3-input comparator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1311--1314}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271481}, doi = {10.1109/ISCAS.2012.6271481}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiSX12, author = {Bin Li and Gary J. Sullivan and Jizheng Xu}, title = {Compression performance of high efficiency video coding {(HEVC)} working draft 4}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {886--889}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272183}, doi = {10.1109/ISCAS.2012.6272183}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiSX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiWT12, author = {Wei Li and Tao Wang and Gabor C. Temes}, title = {Digital foreground calibration methods for {SAR} ADCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1054--1057}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271410}, doi = {10.1109/ISCAS.2012.6271410}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiWT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiWT12a, author = {Zhen Li and Siu Chung Wong and Chi Kong Tse}, title = {Anti-windup dual-loop control of {DFIG} under unbalanced voltage conditions}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1195--1198}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271448}, doi = {10.1109/ISCAS.2012.6271448}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiWT12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiXL12, author = {Bin Li and Jizheng Xu and Houqiang Li}, title = {Counter based adaptation for {CAVLC} in {HEVC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {878--881}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272181}, doi = {10.1109/ISCAS.2012.6272181}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiXL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoTC12, author = {Bin Liao and Kai Man Tsui and Shing{-}Chow Chan}, title = {A new method for robust beamforming using iterative second-order cone programming}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2569--2572}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271828}, doi = {10.1109/ISCAS.2012.6271828}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LieSH12, author = {Wen{-}Nung Lie and Hung{-}Wei Shiu and Chieh Huang}, title = {3D human pose tracking based on depth camera and dynamic programming optimization}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1863--1866}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271633}, doi = {10.1109/ISCAS.2012.6271633}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LieSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiempdVLZHMD12, author = {Barend van Liempd and Maja Vidojkovic and Maarten Lont and Cui Zhou and Pieter Harpe and Dusan M. Milosevic and Guido Dolmans}, title = {A 3{\(\mathrm{\mu}\)}W fully-differential {RF} envelope detector for ultra-low power receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1496--1499}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271532}, doi = {10.1109/ISCAS.2012.6271532}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiempdVLZHMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LienLHCC12, author = {Wei{-}Cheng Lien and Kuen{-}Jong Lee and Tong{-}Yu Hsieh and Shih{-}Shiun Chien and Krishnendu Chakrabarty}, title = {Accumulator-based output selection for test response compaction}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2313--2316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271757}, doi = {10.1109/ISCAS.2012.6271757}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LienLHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC12, author = {Ying{-}Tsung Lin and Sau{-}Gee Chen}, title = {An efficient blind fine synchronization scheme for {SCBT} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1066--1070}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271413}, doi = {10.1109/ISCAS.2012.6271413}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinDHR12, author = {Yu Lin and Kostas Doris and Hans Hegt and Arthur H. M. van Roermund}, title = {A dynamic latched comparator for low supply voltages down to 0.45 {V} in 65-nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2737--2740}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271875}, doi = {10.1109/ISCAS.2012.6271875}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinDHR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHCSF12, author = {Jing{-}Shiun Lin and Yin{-}Tsung Hwang and Po{-}Han Chu and Ming{-}Der Shieh and Shih{-}Hao Fang}, title = {An efficient {QR} decomposition design for {MIMO} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1508--1511}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271535}, doi = {10.1109/ISCAS.2012.6271535}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHCSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHS12, author = {Jin{-}Fa Lin and Yin{-}Tsung Hwang and Ming{-}Hwa Sheu}, title = {Low power 10-transistor full adder design based on degenerate pass transistor logic}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {496--499}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272074}, doi = {10.1109/ISCAS.2012.6272074}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinKGT12, author = {Zi{-}Gang Lin and Han{-}Wen Kuo and Zi{-}Jing Guo and Chun{-}Jen Tsai}, title = {Stack memory design for a low-cost instruction folding Java processor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3226--3229}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272011}, doi = {10.1109/ISCAS.2012.6272011}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinKGT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinTCCLLS12, author = {Huan{-}Hsiang Lin and Ming{-}Rung Tsai and Chun{-}Fu Chen and Szu{-}Yu Chen and Yi{-}Hua Liao and Gwo Giun Lee and Chi{-}Kuang Sun}, title = {Cell segmentation and {NC} ratio analysis of third harmonic generation virtual biopsy images based on marker-controlled gradient watershed algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {101--104}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271397}, doi = {10.1109/ISCAS.2012.6271397}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinTCCLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWLTCJLSLC12, author = {Geng{-}Cing Lin and Shao{-}Cheng Wang and Yi{-}Wei Lin and Ming{-}Chien Tsai and Ching{-}Te Chuang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Wei{-}Chiang Shih and Kuen{-}Di Lee and Jyun{-}Kai Chu}, title = {An all-digital bit transistor characterization scheme for {CMOS} 6T {SRAM} array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2485--2488}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271804}, doi = {10.1109/ISCAS.2012.6271804}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWLTCJLSLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinY12, author = {Jun Lin and Zhiyuan Yan}, title = {Modified shuffled schedule for nonbinary low-density parity-check codes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1767--1770}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271606}, doi = {10.1109/ISCAS.2012.6271606}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYYHSW12, author = {Wen{-}Ching Lin and Jheng{-}Hao Ye and Der{-}Wei Yang and Si{-}Yu Huang and Ming{-}Der Shieh and Jonas Wang}, title = {Efficient scissoring scheme for scanline-based rendering of 2D vector graphics}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {766--769}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272150}, doi = {10.1109/ISCAS.2012.6272150}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYYHSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLHN12, author = {Yen{-}Ting Liu and Donald Y. C. Lie and Weibo Hu and Tam Q. Nguyen}, title = {An ultralow-power {CMOS} transconductor design with wide input linear range for biomedical applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2211--2214}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271730}, doi = {10.1109/ISCAS.2012.6271730}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLHN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLN12, author = {Liang Liu and Johan L{\"{o}}fgren and Peter Nilsson}, title = {A unified multi-mode {MIMO} detector with soft-output}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2853--2856}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271908}, doi = {10.1109/ISCAS.2012.6271908}, timestamp = {Thu, 21 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLOS12, author = {Weiqiang Liu and Liang Lu and M{\'{a}}ire O'Neill and Earl E. Swartzlander Jr.}, title = {Cost-efficient decimal adder design in Quantum-dot cellular automata}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1347--1350}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271491}, doi = {10.1109/ISCAS.2012.6271491}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuLOS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLSY12, author = {Bo Liu and Siew Kei Lam and Thambipillai Srikanthan and Weiqi Yuan}, title = {Exploiting stable features for iris recognition of defocused images}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {97--100}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272207}, doi = {10.1109/ISCAS.2012.6272207}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLSY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuNO12, author = {Ming Liu and Tatsuo Nakagawa and Kenichi Osada}, title = {Fully digital voltage-mode control based on predictive hysteresis method {(FDVC-PH)} for {DC-DC} converters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {448--451}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272060}, doi = {10.1109/ISCAS.2012.6272060}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuNO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWHH12, author = {Ruey{-}Wen Liu and Rendong Ying and Xu Wang and Fan He and Bo Hu}, title = {A multiple access for unlicensed spectrum}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2369--2372}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271772}, doi = {10.1109/ISCAS.2012.6271772}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoLS12, author = {Wing{-}Yee Lo and Daniel Pak{-}Kong Lun and Wan{-}Chi Siu}, title = {A new 3-phase design exploration methodology for video processor design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1331--1334}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271487}, doi = {10.1109/ISCAS.2012.6271487}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LowJLTC12, author = {Joshua Yung Lih Low and Ching{-}Chuen Jong and Jeremy Yung Shern Low and Thian Fatt Tay and Chip{-}Hong Chang}, title = {A fast and compact circuit for integer square root computation based on Mitchell logarithmic method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1235--1238}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271459}, doi = {10.1109/ISCAS.2012.6271459}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LowJLTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH12, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {Variable fractional delay {FIR} filters with sparse coefficients}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {782--785}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272156}, doi = {10.1109/ISCAS.2012.6272156}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH12a, author = {Junjie Lu and Jeremy Holleman}, title = {A low-power dynamic comparator with time-domain bulk-driven offset cancellation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2493--2496}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271807}, doi = {10.1109/ISCAS.2012.6271807}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuLC12, author = {Li Lu and Changzhi Li and Jinghong Chen}, title = {An all-CMOS low supply voltage temperature sensor front-end with error correction techniques}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2973--2976}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271942}, doi = {10.1109/ISCAS.2012.6271942}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuWA12, author = {Ping Lu and Ying Wu and Pietro Andreani}, title = {A 90nm {CMOS} digital {PLL} based on Vernier-Gated-Ring-Oscillator Time-to-Digital Converter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2593--2596}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271835}, doi = {10.1109/ISCAS.2012.6271835}, timestamp = {Thu, 08 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuYKP12, author = {Chih{-}Wen Lu and Ping{-}Yeh Yin and Hsuan{-}Lun Kuo and Salvatore Pennisi}, title = {A low-quiescent current two-input/output buffer amplifier for LCDs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1975--1978}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271664}, doi = {10.1109/ISCAS.2012.6271664}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuYKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuanC12, author = {Song Luan and Timothy G. Constandinou}, title = {A novel charge-metering method for voltage mode neural stimulation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2239--2242}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271737}, doi = {10.1109/ISCAS.2012.6271737}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuanC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuchettaM12, author = {Antonio Luchetta and Stefano Manetti}, title = {Lumped model identification based on a double multi-valued neural network and frequency response analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2505--2508}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271811}, doi = {10.1109/ISCAS.2012.6271811}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuchettaM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LunSHH12, author = {Daniel Pak{-}Kong Lun and Tak{-}Wai Shen and Tai{-}Chiu Hsung and Dominic K. C. Ho}, title = {Improved speech presence probability estimation based on wavelet denoising}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1018--1021}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271400}, doi = {10.1109/ISCAS.2012.6271400}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LunSHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoHC12, author = {Shien{-}Chun Luo and Chi{-}Ray Huang and Lih{-}Yih Chiou}, title = {Minimum convertible voltage analysis for ratioless and robust subthreshold level conversion}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2553--2556}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271824}, doi = {10.1109/ISCAS.2012.6271824}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoLCZW12, author = {Hanqing Luo and Ming Liu and Hong Chen and Chun Zhang and Zhihua Wang}, title = {A wireless force measurement system for Total Knee Arthroplasty}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2637--2640}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271847}, doi = {10.1109/ISCAS.2012.6271847}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoLCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoZW12, author = {Ji{-}an Luo and Xiao{-}Ping (Steven) Zhang and Zhi Wang}, title = {Direction-of-arrival estimation using sparse variable projection optimization}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3106--3109}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271978}, doi = {10.1109/ISCAS.2012.6271978}, timestamp = {Thu, 12 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuoZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LustrekCK12, author = {Mitja Lustrek and Bozidara Cvetkovic and Simon Kozina}, title = {Energy expenditure estimation with wearable accelerometers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {5--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271906}, doi = {10.1109/ISCAS.2012.6271906}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LustrekCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaLDN12, author = {Lin Ma and Weisi Lin and Chenwei Deng and King N. Ngan}, title = {Study of subjective and objective quality assessment of retargeted images}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2677--2680}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271858}, doi = {10.1109/ISCAS.2012.6271858}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaLDN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaYH12, author = {Zhenhua Ma and Le Yang and K. C. Ho}, title = {Circle fitting using semi-definite programming}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3198--3201}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272003}, doi = {10.1109/ISCAS.2012.6272003}, timestamp = {Fri, 12 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MacedoRS12, author = {Marco Macedo and Gordon W. Roberts and Ishiang Shih}, title = {Track and hold for Giga-sample {ADC} applications using {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2725--2728}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271871}, doi = {10.1109/ISCAS.2012.6271871}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MacedoRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeB12, author = {Arjuna Madanayake and Len T. Bruton}, title = {A combined approach to research and graduate-level teaching of multidimensional signal processing, circuits and systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2693--2696}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271863}, doi = {10.1109/ISCAS.2012.6271863}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeWJGCAHO12, author = {Arjuna Madanayake and Chamith Wijenayake and Rimesh M. Joshi and Jim Grover and Joan Carletta and Jay L. Adams and Tom T. Hartley and Tokunbo Ogunfunmi}, title = {Teaching freshmen VHDL-based digital design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2701--2704}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271865}, doi = {10.1109/ISCAS.2012.6271865}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeWJGCAHO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadishettyMCMD12, author = {Shiva Madishetty and Arjuna Madanayake and Renato J. Cintra and Dale H. Mugler and Vassil S. Dimitrov}, title = {Error-free {VLSI} architecture for the 2-D Daubechies 4-tap filter using algebraic integers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1484--1487}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271528}, doi = {10.1109/ISCAS.2012.6271528}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadishettyMCMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadrenasFW12, author = {Jordi Madrenas and Daniel Fern{\'{a}}ndez and Chunyan Wang}, title = {{LCMOS:} Light-powered standard {CMOS} circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3029--3032}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271957}, doi = {10.1109/ISCAS.2012.6271957}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadrenasFW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaechlerFKB12, author = {Patrick Maechler and Norbert Felber and Hubert Kaeslin and Andreas Burg}, title = {Hardware-efficient random sampling of fourier-sparse signals}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {269--272}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271862}, doi = {10.1109/ISCAS.2012.6271862}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaechlerFKB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaharatnaMMB12, author = {Koushik Maharatna and Evangelos B. Mazomenos and John M. Morgan and Silvio Bonfiglio}, title = {Towards the development of next-generation remote healthcare system: Some practical considerations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6270390}, doi = {10.1109/ISCAS.2012.6270390}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaharatnaMMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MajidiCM12, author = {Rabeeh Majidi and Anthony Crasso and John A. McNeill}, title = {Digital background calibration of redundant split-flash {ADC} in 45nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1271--1274}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271469}, doi = {10.1109/ISCAS.2012.6271469}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MajidiCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakosiejKVAA12, author = {Adam Makosiej and Rutwick Kumar Kashyap and Andrei Vladimirescu and Amara Amara and Costin Anghel}, title = {A 32nm tunnel {FET} {SRAM} for ultra low leakage}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2517--2520}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271814}, doi = {10.1109/ISCAS.2012.6271814}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakosiejKVAA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaliukM12, author = {Dzmitry Maliuk and Yiorgos Makris}, title = {A dual-mode weight storage analog neural network platform for on-chip applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2889--2892}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271917}, doi = {10.1109/ISCAS.2012.6271917}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaliukM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalBCC12, author = {Kuntal Mandal and Soumitro Banerjee and Chandan Chakraborty and Mrityunjoy Chakraborty}, title = {Bifurcations in frequency controlled load resonant {DC-DC} converters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1135--1138}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271432}, doi = {10.1109/ISCAS.2012.6271432}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalBCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandicGA12, author = {Christopher J. Mandic and Daibashish Gangopadhyay and David J. Allstot}, title = {A 1.1{\(\mathrm{\mu}\)}W 2.1{\(\mathrm{\mu}\)}VRMS input noise chopper-stabilized amplifier for bio-medical applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2881--2884}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271915}, doi = {10.1109/ISCAS.2012.6271915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandicGA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandouhW12, author = {Eman El Mandouh and Amr G. Wassal}, title = {Automatic generation of hardware design properties from simulation traces}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2317--2320}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271758}, doi = {10.1109/ISCAS.2012.6271758}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandouhW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MangiaPRSF12, author = {Mauro Mangia and Fabio Pareschi and Riccardo Rovatti and Gianluca Setti and Giovanni Frattini}, title = {Coping with saturating projection stages in RMPI-based Compressive Sensing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2805--2808}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271893}, doi = {10.1109/ISCAS.2012.6271893}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MangiaPRSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MansouriD12, author = {Shohreh Sharif Mansouri and Elena Dubrova}, title = {Power-security trade-off in multi-level power analysis countermeasures for FSR-based stream ciphers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {81--84}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272163}, doi = {10.1109/ISCAS.2012.6272163}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MansouriD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinsMM12, author = {Miguel A. Martins and Pui{-}In Mak and Rui Paulo Martins}, title = {A 0.02-to-6GHz {SDR} balun-LNA using a triple-stage inverter-based amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {472--475}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272067}, doi = {10.1109/ISCAS.2012.6272067}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MartinsMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinsSFP12, author = {Miguel A. Martins and Miguel Santos and Jorge R. Fernandes and Mois{\'{e}}s Sim{\~{o}}es Piedade}, title = {A digital-to-analog converter for a cortical microelectrode stimulator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1307--1310}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271480}, doi = {10.1109/ISCAS.2012.6271480}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartinsSFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MassoudH12, author = {Tarek M. Massoud and Timothy K. Horiuchi}, title = {A neuromorphic {VLSI} grid cell system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2421--2424}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271787}, doi = {10.1109/ISCAS.2012.6271787}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MassoudH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MassoudXS12, author = {Yehia Massoud and Fan Xiong and Sami Smaili}, title = {A memristor-based random modulator for compressive sensing systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2445--2448}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271793}, doi = {10.1109/ISCAS.2012.6271793}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MassoudXS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MasuchDMB12, author = {Jens Masuch and Manuel Delgado{-}Restituto and Dusan M. Milosevic and Peter G. M. Baltus}, title = {An RF-to-DC energy harvester for co-integration in a low-power 2.4 GHz transceiver frontend}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {680--683}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272124}, doi = {10.1109/ISCAS.2012.6272124}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MasuchDMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatosRPMSSC12, author = {Debora Matos and Cezar Reinbrecht and Gianluca Palermo and Jonathan Martinelli and Altamiro Amadeu Susin and Cristina Silvano and Luigi Carro}, title = {Floorplan-aware hierarchical NoC topology with {GALS} interfaces}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {652--655}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272117}, doi = {10.1109/ISCAS.2012.6272117}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MatosRPMSSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsumuraNUN12, author = {Kosuke Matsumura and Takahiro Nagai and Yoko Uwate and Yoshifumi Nishio}, title = {Analysis of synchronization phenomenon in coupled oscillator chains}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {620--623}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272108}, doi = {10.1109/ISCAS.2012.6272108}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsumuraNUN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsunoYFI12, author = {Junya Matsuno and Takafumi Yamaji and Masanori Furuta and Tetsuro Itakura}, title = {All-digital background calibration for time-interleaved {ADC} using pseudo aliasing signal}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1050--1053}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271409}, doi = {10.1109/ISCAS.2012.6271409}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsunoYFI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatteisCDBS12, author = {Marcello De Matteis and Giuseppe Cocciolo and Stefano D'Amico and Andrea Baschirotto and M. Sabatini}, title = {A 115{\(\mathrm{\mu}\)}W {UWB} Programmable Gain Amplifier for intelligent tire personal area network}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1500--1503}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271533}, doi = {10.1109/ISCAS.2012.6271533}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatteisCDBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Maya-HernandezSL12, author = {Paulina Mariana Maya{-}Hern{\'{a}}ndez and Mar{\'{\i}}a Teresa Sanz{-}Pascual and Bel{\'{e}}n Calvo L{\'{o}}pez}, title = {A 1.8V-0.18{\(\mathrm{\mu}\)}m {CMOS} lock-in amplifier for portable applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {668--671}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272121}, doi = {10.1109/ISCAS.2012.6272121}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Maya-HernandezSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MayhewM12, author = {Matthew Mayhew and Radu Muresan}, title = {Integrated capacitor switchbox for security protection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1452--1455}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271520}, doi = {10.1109/ISCAS.2012.6271520}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MayhewM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Mazhab-JafariG12, author = {Hamed Mazhab{-}Jafari and Roman Genov}, title = {Bidirectional current conveyer with chopper stabilization and dynamic element matching}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1079--1082}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271416}, doi = {10.1109/ISCAS.2012.6271416}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Mazhab-JafariG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MazzilliTPD12, author = {Francesco Mazzilli and Prakash E. Thoppay and Vincent Praplan and Catherine Dehollain}, title = {Ultrasound energy harvesting system for deep implanted-medical-devices (IMDs)}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2865--2868}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271911}, doi = {10.1109/ISCAS.2012.6271911}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MazzilliTPD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Medeiros-RibeiroYNTSW12, author = {Gilberto Medeiros{-}Ribeiro and J. Joshua Yang and Janice H. Nickel and Antonio Torrezan and John Paul Strachan and R. Stanley Williams}, title = {Designing memristors: Physics, materials science and engineering}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2513--2516}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271813}, doi = {10.1109/ISCAS.2012.6271813}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Medeiros-RibeiroYNTSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Medina-MelendrezAC12, author = {Modesto G. Medina{-}Mel{\'{e}}ndrez and Miguel O. Arias{-}Estrada and Albertina Castro}, title = {Using a Scaling Factor in {O(1/N)} for the fixed-point implementation of the second-order goertzel filter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3218--3221}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272009}, doi = {10.1109/ISCAS.2012.6272009}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Medina-MelendrezAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeloNPG12, author = {Jo{\~{a}}o L. A. de Melo and Blazej Nowacki and Nuno Paulino and Jo{\~{a}}o Goes}, title = {Design methodology for Sigma-Delta modulators based on a genetic algorithm using hybrid cost functions}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {301--304}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271952}, doi = {10.1109/ISCAS.2012.6271952}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MeloNPG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengLL12, author = {Fanman Meng and Hongliang Li and Guanghui Liu}, title = {Image co-segmentation via active contours}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2773--2776}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271885}, doi = {10.1109/ISCAS.2012.6271885}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MengLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MezhibovskyTF12, author = {Janna Mezhibovsky and Adam Teman and Alexander Fish}, title = {State space modeling for sub-threshold {SRAM} stability analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1823--1826}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271622}, doi = {10.1109/ISCAS.2012.6271622}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MezhibovskyTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiaoFLLC12, author = {Dan Miao and Jingjing Fu and Yan Lu and Shipeng Li and Chang Wen Chen}, title = {Texture-assisted Kinect depth inpainting}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {604--607}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272103}, doi = {10.1109/ISCAS.2012.6272103}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MiaoFLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MingLC12, author = {Da{-}Long Ming and Yu{-}Huei Lee and Ke{-}Horng Chen}, title = {A high efficiency adaptive frequency hopping controlled 1/3{\texttimes} step-down switch capacitor {DC-DC} converter with deep-green mode operation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {966--969}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272206}, doi = {10.1109/ISCAS.2012.6272206}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MingLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MinwegenADA12, author = {Andreas Minwegen and Dominik Auras and Uwe Deidersen and Gerd Ascheid}, title = {Architectures for {MIMO-OFDM} simplified decision directed channel estimation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2861--2864}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271910}, doi = {10.1109/ISCAS.2012.6271910}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MinwegenADA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MirzaLEC12, author = {Khalid B. Mirza and Song Luan and Amir Eftekhar and Timothy G. Constandinou}, title = {Towards a fully-integrated solution for capacitor-based neural stimulation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2243--2246}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271738}, doi = {10.1109/ISCAS.2012.6271738}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MirzaLEC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MishkovskiMCB12, author = {Igor Mishkovski and Miroslav Mirchev and Fernando Corinto and Mario Biey}, title = {Synchronization analysis of networks of identical and nearly identical Chua's oscillators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2115--2118}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271703}, doi = {10.1109/ISCAS.2012.6271703}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MishkovskiMCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiuraMKTUNS12, author = {Naoki Miura and Akihiko Miyazaki and Junichi Kato and Nobuyuki Tanaka and Masami Urano and Mamoru Nakanishi and Tsugumichi Shibata}, title = {Extendable point-to-multi-point protocol processor for 10G-EPON {MAC} SoCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1464--1467}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271523}, doi = {10.1109/ISCAS.2012.6271523}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiuraMKTUNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiyaokaNKO12, author = {Yuya Miyaoka and Yuhei Nagao and Masayuki Kurosaki and Hiroshi Ochi}, title = {Sorted {QR} decomposition for high-speed {MMSE} {MIMO} detection based wireless communication systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2857--2860}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271909}, doi = {10.1109/ISCAS.2012.6271909}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiyaokaNKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoazzenA12, author = {Iman Moazzen and Panajotis Agathoklis}, title = {Broadband beamfoming using Nested Planar Arrays and 3D {FIR} frustum filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {53--56}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272083}, doi = {10.1109/ISCAS.2012.6272083}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoazzenA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoazzeniCS12, author = {Shahaboddin Moazzeni and Glenn E. R. Cowan and Mohamad Sawan}, title = {A 28{\(\mathrm{\mu}\)}W sub-sampling based wake-up receiver with -70dBm sensitivity for 915MHz {ISM} band applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2797--2800}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271891}, doi = {10.1109/ISCAS.2012.6271891}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoazzeniCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohamedZ12, author = {Mohamed Atef and Horst Zimmermann}, title = {10Gbit/s 2mW inductorless transimpedance amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1728--1731}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271595}, doi = {10.1109/ISCAS.2012.6271595}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MohamedZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohamedZ12a, author = {Mohamed Atef and Horst Zimmermann}, title = {2.5Gbit/s transimpedance amplifier using noise cancelling for optical receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1740--1743}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271599}, doi = {10.1109/ISCAS.2012.6271599}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MohamedZ12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohantyMS12, author = {Basant K. Mohanty and Pramod Kumar Meher and Subodh Kumar Singhal}, title = {Efficient architectures for {VLSI} implementation of 2-D discrete Hadamard transform}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1480--1483}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271527}, doi = {10.1109/ISCAS.2012.6271527}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohantyMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Monga12, author = {Sushrant Monga}, title = {High speed stress tolerant 1.6 {V} - 3.6 {V} low to high voltage {CMOS} level shift architecture in 40 nm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2171--2174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271719}, doi = {10.1109/ISCAS.2012.6271719}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Monga12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MontesinosBAVD12, author = {Ronald Montesinos and Corinne Berland and Mazen Abi{-}Hussein and Olivier Venard and Philippe Descamps}, title = {Performances of {RF} {PA} classes in {LINC} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2179--2182}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271721}, doi = {10.1109/ISCAS.2012.6271721}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MontesinosBAVD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoonKLL12, author = {Sung{-}Won Moon and Hee{-}Dong Kim and Ji Won Lee and Heung{-}Kyu Lee}, title = {Dual video watermarking for {CCL} protection and manipulation detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1420--1423}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271511}, doi = {10.1109/ISCAS.2012.6271511}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoonKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoraesMCC12, author = {Fernando Gehm Moraes and Guilherme A. Madalozzo and Guilherme M. Castilhos and Everton Alceu Carara}, title = {Proposal and evaluation of a task migration protocol for NoC-based MPSoCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {644--647}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272114}, doi = {10.1109/ISCAS.2012.6272114}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoraesMCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoreT12, author = {Ankit More and Baris Taskin}, title = {A unified design methodology for a hybrid wireless 2-D NoC}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {640--643}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272113}, doi = {10.1109/ISCAS.2012.6272113}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoreT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorgadoGAGRR12, author = {Alonso Morgado and J. Gerardo Garc{\'{\i}}a{-}S{\'{a}}nchez and Sohail Asghar and Luis Guerrero and Roc{\'{\i}}o del R{\'{\i}}o and Jos{\'{e}} M. de la Rosa}, title = {A power-scalable concurrent cascade 2-2-2 {SC} {\(\Sigma\)}{\(\Delta\)} modulator for Software Defined Radio}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {516--519}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272079}, doi = {10.1109/ISCAS.2012.6272079}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MorgadoGAGRR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MostafaWK12, author = {Atahar Mostafa and Khan A. Wahid and Seok{-}Bum Ko}, title = {A low-power subsample-based image compression algorithm for capsule endoscopy}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {109--112}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271419}, doi = {10.1109/ISCAS.2012.6271419}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MostafaWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MouCTH12, author = {Luntian Mou and Xilin Chen and Yonghong Tian and Tiejun Huang}, title = {Robust and discriminative image authentication based on standard model feature}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1131--1134}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271431}, doi = {10.1109/ISCAS.2012.6271431}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MouCTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MroszczykD12, author = {Przemyslaw Mroszczyk and Piotr Dudek}, title = {Trigger-wave collision detecting asynchronous cellular logic array for fast image skeletonization}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2653--2656}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271852}, doi = {10.1109/ISCAS.2012.6271852}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MroszczykD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuWLLMCSKZ12, author = {Xiaoyi Mu and Nathan L. Ward and Lin Li and Wen Li and Andrew J. Mason and Elizabeth Covington and Gustavo Serrano and Cagliyan Kurdak and Edward T. Zellers}, title = {{CMOS} monolithic chemiresistor array with microfluidic channel for micro gas chromatograph}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1163--1166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271439}, doi = {10.1109/ISCAS.2012.6271439}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuWLLMCSKZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Munoz-FerrerasGP12, author = {Jos{\'{e}} M. Mu{\~{n}}oz{-}Ferreras and Roberto G{\'{o}}mez{-}Garc{\'{\i}}a and F{\'{e}}lix P{\'{e}}rez{-}Mart{\'{\i}}nez}, title = {Acquisition of multiband signals with minimum sub-Nyquist sampling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {830--833}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272169}, doi = {10.1109/ISCAS.2012.6272169}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Munoz-FerrerasGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Munoz-GamarraBJ12, author = {Jose Luis Mu{\~{n}}oz{-}Gamarra and N{\'{u}}ria Barniol and J{\'{e}}r{\^{o}}me Juillard}, title = {Analysis of a MEMS-based ring oscillator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2103--2106}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271700}, doi = {10.1109/ISCAS.2012.6271700}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Munoz-GamarraBJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuralidharanH12, author = {Sriram Muralidharan and Mona Mostafa Hella}, title = {A 213GHz - 228GHz, -91dB/Hz phase noise triple push oscillator in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1062--1065}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271412}, doi = {10.1109/ISCAS.2012.6271412}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuralidharanH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MurugesanT12, author = {Selvaraaju Murugesan and David B. H. Tay}, title = {On the aliasing effect of the finer directional wavelet transform}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2345--2348}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271766}, doi = {10.1109/ISCAS.2012.6271766}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MurugesanT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuthalibN12, author = {Mohammed Muthalib and Chika Nwankpa}, title = {Dynamic characterization of building electrical loads by equivalent energy circuit analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1203--1206}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271450}, doi = {10.1109/ISCAS.2012.6271450}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuthalibN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NagyKZZVPBR12, author = {Zolt{\'{a}}n Nagy and Andr{\'{a}}s Kiss and {\'{A}}kos Zar{\'{a}}ndy and Tam{\'{a}}s Zsedrovits and B{\'{a}}lint Vanek and Tamas Peni and Jozsef Bokor and Tam{\'{a}}s Roska}, title = {Volume and power optimized high-performance system for {UAV} collision avoidance}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {189--192}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271640}, doi = {10.1109/ISCAS.2012.6271640}, timestamp = {Mon, 11 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NagyKZZVPBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Nakazato12, author = {Kazuo Nakazato}, title = {BioCMOS LSIs for portable gene-based diagnostic inspection system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2287--2290}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271750}, doi = {10.1109/ISCAS.2012.6271750}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Nakazato12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NanC12, author = {Haiqing Nan and Ken Choi}, title = {Soft error tolerant latch design with low cost for nanoelectronic systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1572--1575}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271553}, doi = {10.1109/ISCAS.2012.6271553}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NanC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NanHG12, author = {Xiaoming Nan and Yifeng He and Ling Guan}, title = {Optimal resource allocation for multimedia cloud in priority service scheme}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1111--1114}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271425}, doi = {10.1109/ISCAS.2012.6271425}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NanHG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeeTYC12, author = {Hsu{-}Che Nee and Chia{-}Ming Tsai and Sheng{-}Kai You and Wen{-}Tsao Chen}, title = {A 6Gb/s adaptive equalizer using overshoot control in 0.18{\(\mathrm{\mu}\)}m {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1963--1966}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271660}, doi = {10.1109/ISCAS.2012.6271660}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeeTYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeshatpourMS12, author = {Katayoun Neshatpour and Mojtaba Mahdavi and Mahdi Shabany}, title = {A low-complexity high-throughput {ASIC} for the {SC-FDMA} {MIMO} detectors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3065--3068}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271967}, doi = {10.1109/ISCAS.2012.6271967}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeshatpourMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NevesACH12, author = {Leonardo C. Neves and Genival Mariano de Araujo and Jos{\'{e}} C. da Costa and Sandro A. P. Haddad}, title = {Design of a {PSWF} impulse response filter for {UWB} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1935--1938}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271653}, doi = {10.1109/ISCAS.2012.6271653}, timestamp = {Fri, 19 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NevesACH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NezanSWPR12, author = {Jean{-}Fran{\c{c}}ois Nezan and Nicolas Siret and Matthieu Wipliez and Francesca Palumbo and Luigi Raffo}, title = {Multi-purpose systems: {A} novel dataflow-based generation and mapping strategy}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3073--3076}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271969}, doi = {10.1109/ISCAS.2012.6271969}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NezanSWPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgamkhamDS12, author = {Wannaya Ngamkham and Marijn N. van Dongen and Wouter A. Serdijn}, title = {Biphasic stimulator circuit for a wide range of electrode-tissue impedance dedicated to cochlear implants}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1083--1086}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271417}, doi = {10.1109/ISCAS.2012.6271417}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgamkhamDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NganDA12, author = {Nicolas Ngan and Eva Dokl{\'{a}}dalov{\'{a}} and Mohamed Akil}, title = {Dynamically adaptable NoC router architecture for multiple pixel streams applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1006--1009}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271396}, doi = {10.1109/ISCAS.2012.6271396}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NganDA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenLD12, author = {Viet Anh Nguyen and Jiangbo Lu and Minh N. Do}, title = {Efficient video compression methods for a lightweight tele-immersive video chat system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {149--152}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271530}, doi = {10.1109/ISCAS.2012.6271530}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NguyenLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NicholsonJSHL12, author = {Andrew P. Nicholson and Julian Jenkins and Andr{\'{e}} van Schaik and Tara Julia Hamilton and Torsten Lehmann}, title = {A 1.2V 2-bit phase interpolator for 65nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2039--2042}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271681}, doi = {10.1109/ISCAS.2012.6271681}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NicholsonJSHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NicolosiTAHBH12, author = {Leonardo Nicolosi and Ronald Tetzlaff and Felix Abt and Andreas Heider and Andreas Blug and Heinrich H{\"{o}}fler}, title = {Novel algorithm for the real time multi-feature detection in laser beam welding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {181--184}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271618}, doi = {10.1109/ISCAS.2012.6271618}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NicolosiTAHBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NithinBPM12, author = {Kumar Y. B. Nithin and Edoardo Bonizzoni and Amit Patra and Franco Maloberti}, title = {Interference rejection in delay line based quadrature band-pass {\(\Sigma\)}{\(\Delta\)} modulators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3005--3008}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271950}, doi = {10.1109/ISCAS.2012.6271950}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NithinBPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NooriD12, author = {Hossein Noori and Fa Foster Dai}, title = {Remedies for noise degradation due to active Q-Enhancement Circuit}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1756--1759}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271603}, doi = {10.1109/ISCAS.2012.6271603}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NooriD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NouryDF12, author = {Ludovic Noury and Sophie Dupuis and Nicolas Fel}, title = {A reference low-complexity structured {ASIC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2709--2712}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271867}, doi = {10.1109/ISCAS.2012.6271867}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NouryDF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NovakSMW12, author = {Ashley Novak and Farinoush Saffar and Mitra Mirhassani and Huapeng Wu}, title = {Current mode multiple-valued adder for cryptography processors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1460--1463}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271522}, doi = {10.1109/ISCAS.2012.6271522}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NovakSMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OgawaOS12, author = {Naoto Ogawa and Kohei Ohtani and Yasuhiro Sugimoto}, title = {A low-voltage and stable phase compensation technique to realize an 99 dB, 650 MHz and 1.8 {V} three-stage Amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2175--2178}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271720}, doi = {10.1109/ISCAS.2012.6271720}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OgawaOS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OgunfunmiH12, author = {Tokunbo Ogunfunmi and David Hardell}, title = {Linear-prediction whitening with convex combining in constant modulus equalizers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3214--3217}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272008}, doi = {10.1109/ISCAS.2012.6272008}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OgunfunmiH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhKK12, author = {Seung{-}Wuk Oh and Sang{-}Ho Kim and Jin{-}Ku Kang}, title = {An audio clock regenerator with a wide dividing ratio for {HDMI}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2019--2022}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271676}, doi = {10.1109/ISCAS.2012.6271676}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhVGM12, author = {Taehwan Oh and Hariprasath Venkatram and Jon Guerber and Un{-}Ku Moon}, title = {Correlated jitter sampling for jitter cancellation in pipelined {TDC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {810--813}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272164}, doi = {10.1109/ISCAS.2012.6272164}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhVGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OjaniMA12, author = {Amin Ojani and Behzad Mesgarzadeh and Atila Alvandpour}, title = {A DLL-based injection-locked frequency synthesizer for WiMedia {UWB}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2027--2030}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271678}, doi = {10.1109/ISCAS.2012.6271678}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OjaniMA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Okumura12, author = {Kohshi Okumura}, title = {Finding all modes of nonlinear oscillations by the Krawczyk-Moore-Jones algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1143--1146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271434}, doi = {10.1109/ISCAS.2012.6271434}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Okumura12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OngC12, author = {G. T. Ong and P. K. Chan}, title = {A low noise, 1.28{\(\mathrm{\mu}\)}A quiescent regulator with broadband high {PSR} for micropower sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2833--2836}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271901}, doi = {10.1109/ISCAS.2012.6271901}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OngC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OstrovskyySLPJ12, author = {Philip Ostrovskyy and Christoph Scheytt and Sung Jun Lee and Bong Hyuk Park and Jae Ho Jung}, title = {A fully digital polar modulator for switch mode {RF} power amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2385--2388}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271777}, doi = {10.1109/ISCAS.2012.6271777}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OstrovskyySLPJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ou-YangKHTL12, author = {Yi{-}Huan Ou{-}Yang and Chien{-}Yu Kao and Jen{-}Yuan Hsu and Pangan Ting and Chen{-}Yi Lee}, title = {Extrinsic data compression method for double-binary turbo codes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1775--1778}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271609}, doi = {10.1109/ISCAS.2012.6271609}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ou-YangKHTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OuYLL12, author = {Shih{-}Hao Ou and Che{-}Wei Yeh and Tay{-}Jyi Lin and Chih{-}Wei Liu}, title = {A smart stream controller for efficient implementation of streaming applications on the heterogeneous multicore processor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1335--1338}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271488}, doi = {10.1109/ISCAS.2012.6271488}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OuYLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OuhLHKYH12, author = {Hyun Kyu Ouh and Jungwoo Lee and Sangyun Han and Hyunjip Kim and Insik Yoon and Soonwon Hong}, title = {A programmable mutual capacitance sensing circuit for a large-sized touch panel}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1395--1398}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271504}, doi = {10.1109/ISCAS.2012.6271504}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OuhLHKYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ozeri12, author = {Shaul Ozeri}, title = {A new type of microinverter for Photovoltaic power generation based on heterodyne power processing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2279--2282}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271748}, doi = {10.1109/ISCAS.2012.6271748}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ozeri12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PachecoFPG12, author = {Jo{\~{a}}o Pacheco and Michael Figueiredo and Nuno Paulino and Jo{\~{a}}o Goes}, title = {Current-mode reference shifting solution for MDAC-based analog-to-digital converters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2989--2992}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271946}, doi = {10.1109/ISCAS.2012.6271946}, timestamp = {Thu, 25 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PachecoFPG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PakMKNM12, author = {Amin Pak and Yasser Mafinejad and Abbas Z. Kouzani and Hooman Nabovati and Khalil Mafinezhad}, title = {Accurate modeling of low actuation voltage {RFMEMS} switches using artificial neural networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3282--3284}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272026}, doi = {10.1109/ISCAS.2012.6272026}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PakMKNM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pala-SchonwalderBLSM12, author = {Pere Pal{\`{a}}{-}Sch{\"{o}}nw{\"{a}}lder and Jordi Bonet{-}Dalmau and Francisco del {\'{A}}gu{\`{\i}}la L{\'{o}}pez and Ricard Sanahuja and F. Xavier Moncunill{-}Geniz}, title = {Effects of quench discretization on superregenerative oscillators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1303--1306}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271479}, doi = {10.1109/ISCAS.2012.6271479}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pala-SchonwalderBLSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaleologuB12, author = {Constantin Paleologu and Jacob Benesty}, title = {Proportionate affine projection algorithms from a basis pursuit perspective}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2757--2760}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271880}, doi = {10.1109/ISCAS.2012.6271880}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PaleologuB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaluckiGKC12, author = {Bartosz Palucki and Krzysztof Galkowski and Anton Kummert and Blazej Cichy}, title = {Wave repetitive process approach to a class of ladder circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {950--953}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272202}, doi = {10.1109/ISCAS.2012.6272202}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PaluckiGKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanSLL12, author = {Zhaotai Pan and Huifeng Shen and Yan Lu and Shipeng Li}, title = {A low-latency transmission scheme for interactive screen sharing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1107--1110}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271424}, doi = {10.1109/ISCAS.2012.6271424}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PanSLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanZBF12, author = {Xiaofang Pan and Xiaojin Zhao and Amine Bermak and Zhiyong Fan}, title = {Fabrication of a low power CMOS-compatible ZnO nanocomb-based gas sensor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3270--3273}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272023}, doi = {10.1109/ISCAS.2012.6272023}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanZBF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PantLA12, author = {Jeevan K. Pant and Wu{-}Sheng Lu and Andreas Antoniou}, title = {Reconstruction of block-sparse signals by using an l2/p-regularized least-squares algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {277--280}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271884}, doi = {10.1109/ISCAS.2012.6271884}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PantLA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParaskevopoulouC12, author = {Sivylla E. Paraskevopoulou and Timothy G. Constandinou}, title = {An ultra-low-power front-end neural interface with automatic gain for uncalibrated monitoring}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {193--196}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271651}, doi = {10.1109/ISCAS.2012.6271651}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParaskevopoulouC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParetM12, author = {Jomu George Mani Paret and Otmane A{\"{\i}}t Mohamed}, title = {Modeling discrete event system with distributions using SystemVerilog}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {129--132}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271474}, doi = {10.1109/ISCAS.2012.6271474}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParetM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkCLO12, author = {Kang{-}Yeob Park and Woo{-}Young Choi and Seon{-}Young Lee and Wonseok Oh}, title = {A 6.24-Gb/s wide-input-range serializer {ASIC} using fixed-data-rate scheme}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1704--1707}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271589}, doi = {10.1109/ISCAS.2012.6271589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkCLO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkCPPLCABP12, author = {Byoungjun Park and Sunghoon Cho and Milim Park and Sukkwang Park and Yunbong Lee and Myoungkwan Cho and Kunok Ahn and Gihyun Bae and Sungwook Park}, title = {Challenges and limitations of {NAND} flash memory devices based on floating gates}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {420--423}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272053}, doi = {10.1109/ISCAS.2012.6272053}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkCPPLCABP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkKLLC12, author = {Hyung{-}Gu Park and HongJin Kim and JooHyung Lee and Kang{-}Yoon Lee and Jin{-}Gyun Chung}, title = {Low power multi-channel capacitive touch sensing unit using capacitor to time conversion method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2003--2006}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271671}, doi = {10.1109/ISCAS.2012.6271671}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkKLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkPPB12, author = {Sangyong Park and Sungmoon Park and Joonhong Park and Donghyun Baek}, title = {Design of 13.56 MHz {ASK} transmitter for near field communication using a {DLL} architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1760--1762}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271604}, doi = {10.1109/ISCAS.2012.6271604}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkPPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkPPC12, author = {Joon Hyuk Park and Jelena Platisa and Vincent A. Pieribone and Eugenio Culurciello}, title = {A second-generation imaging system for freely moving animals}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {105--108}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271408}, doi = {10.1109/ISCAS.2012.6271408}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkPPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkYCY12, author = {Jeong{-}Ho Park and Sang{-}Sun Yoo and Han{-}Won Cho and Hyung{-}Joun Yoo}, title = {Effect of capacitor nonlinearity on the oscillation frequency of a digitally-controlled oscillator using oppositely-coupled {PMOS} capacitor pairs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1147--1150}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271435}, doi = {10.1109/ISCAS.2012.6271435}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkYCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkYMJC12, author = {Jongkil Park and Theodore Yu and Christoph Maier and Siddharth Joshi and Gert Cauwenberghs}, title = {Live demonstration: Hierarchical Address-Event Routing architecture for reconfigurable large scale neuromorphic systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {707--711}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272133}, doi = {10.1109/ISCAS.2012.6272133}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkYMJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParviziAE12, author = {Mahdi Parvizi and Karim Allidina and Mourad N. El{-}Gamal}, title = {An ultra low power, low voltage {CMOS} squarer circuit for non-coherent {IR-UWB} receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2533--2536}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271819}, doi = {10.1109/ISCAS.2012.6271819}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParviziAE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaulO12, author = {Thomas K. Paul and Tokunbo Ogunfunmi}, title = {Analysis of the convergence behavior of the complex Gaussian kernel {LMS} algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2761--2764}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271881}, doi = {10.1109/ISCAS.2012.6271881}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PaulO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PedrossW12, author = {Andreas Pedross{-}Engel and Klaus Witrisal}, title = {Sliding window integrator approximations for multichannel autocorrelation {UWB} receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2537--2540}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271820}, doi = {10.1109/ISCAS.2012.6271820}, timestamp = {Wed, 29 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PedrossW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiL12, author = {Soo{-}Chang Pei and Tzu{-}Yen Lee}, title = {Effective image haze removal using dark channel prior and post-processing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2777--2780}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271886}, doi = {10.1109/ISCAS.2012.6271886}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiSC12, author = {Soo{-}Chang Pei and Chih{-}Tsung Shen and Wen{-}Hui Chu}, title = {Edge-preserving image decomposition based on guided upper/lower envelops}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2781--2784}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271887}, doi = {10.1109/ISCAS.2012.6271887}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiW12, author = {Soo{-}Chang Pei and Chia{-}Chang Wen}, title = {Fast Finite Field Orthogonal Transform without length constraint}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2341--2344}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271765}, doi = {10.1109/ISCAS.2012.6271765}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pena-PerezM12, author = {Aldo Pena{-}Perez and Franco Maloberti}, title = {Performance enhanced op-amp for 65nm {CMOS} technologies and below}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {201--204}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271673}, doi = {10.1109/ISCAS.2012.6271673}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pena-PerezM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PennisiST12, author = {Salvatore Pennisi and Giuseppe Scotti and Alessandro Trifiletti}, title = {Constant and maximum bandwidth feedback amplifier with adaptive frequency compensation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {436--439}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272057}, doi = {10.1109/ISCAS.2012.6272057}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PennisiST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PennisiST12a, author = {Salvatore Pennisi and Giuseppe Scotti and Alessandro Trifiletti}, title = {Autotuning technique for {CMOS} current mode capacitive sensor interfaces}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2163--2166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271716}, doi = {10.1109/ISCAS.2012.6271716}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PennisiST12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PertijsZKCB12, author = {Michiel A. P. Pertijs and Zeng Zeng and Devrez M. Karabacak and Mercedes Crego Calama and Sywert H. Brongersma}, title = {An energy-efficient interface for resonant sensors based on ring-down measurement}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {990--993}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272213}, doi = {10.1109/ISCAS.2012.6272213}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PertijsZKCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetersonR12, author = {Karl D. Peterson and Gabriel A. Rinc{\'{o}}n{-}Mora}, title = {High-damping energy-harvesting electrostatic {CMOS} charger}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {676--679}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272123}, doi = {10.1109/ISCAS.2012.6272123}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetersonR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PookaiyaudomWLHT12, author = {Panavy Pookaiyaudom and Apisak Worapishet and F. J. Lidgey and Khaled Hayatleh and Christofer Toumazou}, title = {Measurement of cell and bacterial activity using array-based {ISFET} Chemical Current-Conveyor in weak-inversion}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2059--2062}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271687}, doi = {10.1109/ISCAS.2012.6271687}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PookaiyaudomWLHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrasomphanAT12, author = {Sathit Prasomphan and Hisashi Aomori and Mamoru Tanaka}, title = {Missing image interpolation using sigma-delta modulation type of {DT-CNN}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2661--2664}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271854}, doi = {10.1109/ISCAS.2012.6271854}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrasomphanAT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PulvirentiSP12, author = {Francesco Pulvirenti and Amedeo La Scala and Salvatore Pennisi}, title = {Low voltage-drop bypass switch for photovoltaic applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2283--2286}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271749}, doi = {10.1109/ISCAS.2012.6271749}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PulvirentiSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QiJMZ12, author = {Feng Qi and Tingting Jiang and Siwei Ma and Debin Zhao}, title = {Quality of experience assessment for stereoscopic images}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1712--1715}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271591}, doi = {10.1109/ISCAS.2012.6271591}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/QiJMZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuadirHM12, author = {Md Shahed Enamul Quadir and Mohammad Rafiqul Haider and Yehia Massoud}, title = {A low-power low-noise bioamplifier for multielectrode neural recording systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2557--2560}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271825}, doi = {10.1109/ISCAS.2012.6271825}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QuadirHM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuemadaCH12, author = {Carlos Quemada and Travis L. Cochran and Dong Sam Ha}, title = {A compact resistorless 1.5-V {CMOS} current reference with 16.5-ppm/{\textdegree}C temperature coefficient}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3146--3149}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271989}, doi = {10.1109/ISCAS.2012.6271989}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QuemadaCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuotbBRLR12, author = {Adam Quotb and Yannick Bornat and Matthieu Raoux and Jochen Lang and Sylvie Renaud}, title = {NeuroBetaMed: {A} re-configurable wavelet-based event detection circuit for in vitro biological signals}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1532--1535}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271542}, doi = {10.1109/ISCAS.2012.6271542}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/QuotbBRLR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RabuskePFR12, author = {Taimur Gibran Rabuske and Renan B. Pinheiro and Jorge R. Fernandes and Cesar Ramos Rodrigues}, title = {PyCO: {A} parallel genetic algorithm optimization tool for analog circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3266--3269}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272022}, doi = {10.1109/ISCAS.2012.6272022}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RabuskePFR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahamanC12, author = {Md. Sajjad Rahaman and Masud H. Chowdhury}, title = {Exploiting negative quantum capacitance of carbon nanotube FETs for low power applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3021--3024}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271955}, doi = {10.1109/ISCAS.2012.6271955}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RahamanC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RajanP12, author = {Radha S. Rajan and Shanthi Pavan}, title = {Device noise in continuous-time {\(\Delta\)}{\(\Sigma\)} modulators with Switched-Capacitor feedback DACs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {524--527}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272081}, doi = {10.1109/ISCAS.2012.6272081}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RajanP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamachandranPDS12, author = {Ravi Prakash Ramachandran and Robi Polikar and Kevin D. Dahm and Sachin S. Shetty}, title = {Open-ended design and performance evaluation of a biometric speaker identification system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2697--2700}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271864}, doi = {10.1109/ISCAS.2012.6271864}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RamachandranPDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RashobhK12, author = {Rajan S. Rashobh and Andy W. H. Khong}, title = {A variable step-size multichannel equalization algorithm exploiting sparseness measure for room acoustics}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2753--2756}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271879}, doi = {10.1109/ISCAS.2012.6271879}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RashobhK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RenCXLDZSW12, author = {Yiyi Ren and Wenshou Chen and Xiang Xie and Guolin Li and Yangdong Deng and Kai Zhao and Enbo Shi and Zhihua Wang}, title = {A theoretical and empirical error analysis of mobile 3D data acquisition system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {596--599}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272101}, doi = {10.1109/ISCAS.2012.6272101}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RenCXLDZSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RenHM12, author = {Yifan Ren and Guanghui He and Jun Ma}, title = {High-throughput sorted {MMSE} {QR} decomposition for {MIMO} detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2845--2848}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271904}, doi = {10.1109/ISCAS.2012.6271904}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RenHM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReyesGMH12, author = {Benjam{\'{\i}}n T. Reyes and Venu Gopinathan and Pablo Sergio Mandolesi and Mario R. Hueda}, title = {Joint sampling-time error and channel skew calibration of time-interleaved {ADC} in multichannel fiber optic receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2981--2984}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271944}, doi = {10.1109/ISCAS.2012.6271944}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ReyesGMH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RiegerC12, author = {Robert Rieger and Jing{-}Yuan Chen}, title = {An axon emulator for evaluation of nerve recording systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1528--1531}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271540}, doi = {10.1109/ISCAS.2012.6271540}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RiegerC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RiegerTC12, author = {Robert Rieger and John Taylor and Chris Clarke}, title = {Signal processing for velocity selective recording systems using analogue delay lines}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2195--2198}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271725}, doi = {10.1109/ISCAS.2012.6271725}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RiegerTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RitterKO12, author = {Rudolf Ritter and John G. Kauffman and Maurits Ortmanns}, title = {A power efficient {MDAC} design with correlated double sampling for a 2-step-flash {ADC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3138--3141}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271987}, doi = {10.1109/ISCAS.2012.6271987}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RitterKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoaJ12, author = {Elkim Roa and Byunghoo Jung}, title = {A 50GHz 130{\(\mathrm{\mu}\)}W inductorless prescaler in 45nm {SOI} {CMOS} using {ETSPC} logic}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1071--1074}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271414}, doi = {10.1109/ISCAS.2012.6271414}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoaJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezMGPAA12, author = {Enric Rodriguez and Herminio Mart{\'{\i}}nez and Francisco Guinjoan and Alberto Poveda and Abdelali El Aroudi and Eduard Alarc{\'{o}}n}, title = {Ripple-based prediction of fast-scale instabilities in current mode controlled switching converters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {688--691}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272126}, doi = {10.1109/ISCAS.2012.6272126}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezMGPAA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rosa12, author = {Jos{\'{e}} M. de la Rosa}, title = {Behavioral modeling techniques for teaching communication circuits and systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2453--2456}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271796}, doi = {10.1109/ISCAS.2012.6271796}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Rosa12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RosensteinS12, author = {Jacob K. Rosenstein and Kenneth L. Shepard}, title = {High-throughput biology in the time domain: Improving temporal resolution of single-molecule sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2291--2294}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271752}, doi = {10.1109/ISCAS.2012.6271752}, timestamp = {Wed, 15 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RosensteinS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rostro-GonzalezGAGBT12, author = {Horacio Rostro{-}Gonz{\'{a}}lez and Guillaume Garreau and Andreas G. Andreou and Julius Georgiou and Jose Hugo Barron{-}Zambrano and C{\'{e}}sar Torres{-}Huitzil}, title = {An FPGA-based approach for parameter estimation in spiking neural networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2897--2900}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271920}, doi = {10.1109/ISCAS.2012.6271920}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Rostro-GonzalezGAGBT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoySB12, author = {Sounak Roy and Bibhudatta Sahoo and Swapna Banerjee}, title = {Radix based digital calibration technique for pipelined {ADC} using Nyquist sampling of sinusoid}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2985--2988}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271945}, doi = {10.1109/ISCAS.2012.6271945}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoySB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RunCC12, author = {Ray{-}Shine Run and Yi{-}Chih Chang and Feng{-}Chi Cheng}, title = {A straightforward approach of Automatic Parking System - "Training-Recording-Play back"}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {712--715}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272134}, doi = {10.1109/ISCAS.2012.6272134}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RunCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaberkariMA12, author = {Alireza Saberkari and Herminio Mart{\'{\i}}nez and Eduard Alarc{\'{o}}n}, title = {Fast transient response CFA-based {LDO} regulator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3150--3153}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271990}, doi = {10.1109/ISCAS.2012.6271990}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaberkariMA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadeghiNK12, author = {Vahideh Sadat Sadeghi and Hossein Miar Naimi and Michael Peter Kennedy}, title = {A fast charge pump {PLL} using a bang-bang frequency comparator with dead zone}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1379--1382}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271500}, doi = {10.1109/ISCAS.2012.6271500}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadeghiNK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SafwatLGI12, author = {Sally Safwat and Amr Lotfy and Maged Ghoneima and Yehea I. Ismail}, title = {A 5-10GHz low power bang-bang all digital {PLL} based on programmable digital loop filter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1371--1374}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271498}, doi = {10.1109/ISCAS.2012.6271498}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SafwatLGI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahYKC12, author = {Maheshwar Pd. Sah and Changju Yang and Hyongsuk Kim and Leon O. Chua}, title = {Memristor circuit for artificial synaptic weighting of pulse inputs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1604--1607}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271560}, doi = {10.1109/ISCAS.2012.6271560}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SahYKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SakimuraNTHSKOFHOE12, author = {Noboru Sakimura and Ryusuke Nebashi and Yukihide Tsuji and Hiroaki Honjo and Tadahiko Sugibayashi and Hiroki Koike and Takashi Ohsawa and Shunsuke Fukami and Takahiro Hanyu and Hideo Ohno and Tetsuo Endoh}, title = {High-speed simulator including accurate {MTJ} models for spintronics integrated circuit design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1971--1974}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271663}, doi = {10.1109/ISCAS.2012.6271663}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SakimuraNTHSKOFHOE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalahRRI12, author = {Khaled Salah and Alaa B. El{-}Rouby and Hani F. Ragai and Yehea I. Ismail}, title = {A closed form expression for TSV-based on-chip spiral inductor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2325--2328}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271760}, doi = {10.1109/ISCAS.2012.6271760}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalahRRI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalemI12, author = {Loai G. Salem and Yehea Ismail}, title = {Switched-capacitor dc-dc converters with output inductive filter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {444--447}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272059}, doi = {10.1109/ISCAS.2012.6272059}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalemI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SantinOG12, author = {Edinei Santin and Lu{\'{\i}}s Bica Oliveira and Jo{\~{a}}o Goes}, title = {Fast and accurate estimation of gain and sample-time mismatches in time-interleaved ADCs using on-chip oscillators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3154--3157}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271991}, doi = {10.1109/ISCAS.2012.6271991}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SantinOG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanyalS12, author = {Arindam Sanyal and Nan Sun}, title = {A simple and efficient dithering method for vector quantizer based mismatch-shaped {\(\Delta\)}{\(\Sigma\)} DACs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {528--531}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272082}, doi = {10.1109/ISCAS.2012.6272082}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanyalS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarbisheiR12, author = {Omid Sarbishei and Katarzyna Radecka}, title = {Verification of fixed-point datapaths with comparator units using Constrained Arithmetic Transform {(CAT)}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {592--595}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272100}, doi = {10.1109/ISCAS.2012.6272100}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarbisheiR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarkarHC12, author = {Pikul Sarkar and Chenling Huang and Shantanu Chakrabartty}, title = {A self-powered static-strain sensor based on differential linear piezo-floating-gate injectors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1167--1170}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271440}, doi = {10.1109/ISCAS.2012.6271440}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarkarHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawanSGLLN12, author = {Mohamad Sawan and Muhammad Tariqus Salam and Sebastien Gelinas and Jerome Le Lan and Frederic Lesage and Dang Khoa Nguyen}, title = {Combined {NIRS-EEG} remote recordings for epilepsy and stroke real-time monitoring}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {13--16}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271478}, doi = {10.1109/ISCAS.2012.6271478}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawanSGLLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawigunNS12, author = {Chutham Sawigun and Wannaya Ngamkham and Wouter A. Serdijn}, title = {A 2.6nW, 0.5V, 52dB-DR, 4\({}^{\mbox{th}}\)-order Gm-C {BPF:} Moving closer to the FoM's fundamental limit}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {656--659}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272118}, doi = {10.1109/ISCAS.2012.6272118}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawigunNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawigunS12, author = {Chutham Sawigun and Wouter A. Serdijn}, title = {A modular transconductance reduction technique for very low-frequency Gm-C filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1183--1186}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271445}, doi = {10.1109/ISCAS.2012.6271445}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawigunS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchemmelGHKMMMPSSSS12, author = {Johannes Schemmel and Andreas Gr{\"{u}}bl and Stephan Hartmann and Alexander Kononov and Christian Mayr and Karlheinz Meier and Sebastian Millner and Johannes Partzsch and Stefan Schiefer and Stefan Scholze and Ren{\'{e}} Sch{\"{u}}ffny and Marc{-}Olivier Schwartz}, title = {Live demonstration: {A} scaled-down version of the BrainScaleS wafer-scale neuromorphic system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {702}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272131}, doi = {10.1109/ISCAS.2012.6272131}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchemmelGHKMMMPSSSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchinianakisSS12, author = {Dimitrios Schinianakis and Alexander Skavantzos and Thanos Stouraitis}, title = {GF(2\({}^{\mbox{n}}\)) Montgomery multiplication using Polynomial Residue Arithmetic}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3033--3036}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271958}, doi = {10.1109/ISCAS.2012.6271958}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchinianakisSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SethM12, author = {Siddharth Seth and Boris Murmann}, title = {Settling time and noise optimization of a three-stage operational transconductance amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {205--208}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271684}, doi = {10.1109/ISCAS.2012.6271684}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SethM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SetoO12, author = {Koji Seto and Tokunbo Ogunfunmi}, title = {Scalable multi-rate iLBC}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1034--1037}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271404}, doi = {10.1109/ISCAS.2012.6271404}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SetoO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SezerB12, author = {Sakir Sezer and Dwayne Burns}, title = {Custom purpose regular expression processor architecture for network processing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1407--1411}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271507}, doi = {10.1109/ISCAS.2012.6271507}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SezerB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShagaC12, author = {Ravi Krishna Shaga and Shantanu Chakrabartty}, title = {{\(\Sigma\)}{\(\Delta\)} gradient-descent learning for online real-time calibration of digitally-assisted analog circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2885--2888}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271916}, doi = {10.1109/ISCAS.2012.6271916}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShagaC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahnazFMZA12, author = {Celia Shahnaz and Shaikh Anowarul Fattah and Upal Mahbub and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {Detection of voice disorders based on wavelet and prosody-related properties}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1030--1033}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271403}, doi = {10.1109/ISCAS.2012.6271403}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahnazFMZA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShakerB12, author = {Mohamed O. Shaker and Magdy A. Bayoumi}, title = {Structure generation and design of tracking ADCs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2011--2014}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271674}, doi = {10.1109/ISCAS.2012.6271674}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShakerB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimCABP12, author = {Hyunyoung Shim and Myoungkwan Cho and Kunok Ahn and Gihyun Bae and Sungwook Park}, title = {Novel integration technologies for improving reliability in {NAND} flash memory}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {424--427}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272054}, doi = {10.1109/ISCAS.2012.6272054}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimCABP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinKK12, author = {Sangho Shin and Kyungmin Kim and Sung{-}Mo Kang}, title = {Memristive computing- multiplication and correlation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1608--1611}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271561}, doi = {10.1109/ISCAS.2012.6271561}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShinKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinLW12, author = {Young San Shin and Seongsoo Lee and Jae{-}Kyung Wee}, title = {Current readout circuit using two-stage amplification method for 64-channel {CNT} arrays}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {854--857}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272155}, doi = {10.1109/ISCAS.2012.6272155}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinSCK12, author = {Beomkyu Shin and Changkyu Seol and Jung{-}Soo Chung and Jun Jin Kong}, title = {Error control coding and signal processing for flash memories}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {409--412}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272049}, doi = {10.1109/ISCAS.2012.6272049}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinSCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiogaiSIKK12, author = {Kazuki Shiogai and Naoto Sasaoka and Yoshio Itoh and Yasutomo Kinugasa and Masaki Kobayashi}, title = {Bias free adaptive exponential notch filter with low constant delay}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {790--793}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272158}, doi = {10.1109/ISCAS.2012.6272158}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiogaiSIKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShoaibEZO12, author = {Muhammad Shoaib and Tobias Elbrandt and Evgeny Zaretskiy and J{\"{o}}rn Ostermann}, title = {Hierarchical Bayer-pattern based background subtraction for low resource devices}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1867--1870}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271634}, doi = {10.1109/ISCAS.2012.6271634}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShoaibEZO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShounoA12, author = {Kazuhiro Shouno and You Amano}, title = {Passive complex bandpass filter using lossy and loose coupling transformers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2183--2186}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271722}, doi = {10.1109/ISCAS.2012.6271722}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShounoA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SindiaDAS12, author = {Suraj Sindia and Fa Foster Dai and Vishwani D. Agrawal and Virendra Singh}, title = {Impact of process variations on computers used for image processing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1444--1447}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271517}, doi = {10.1109/ISCAS.2012.6271517}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SindiaDAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SinerizKA12, author = {George Sineriz and Michael Kuhlman and Pamela Abshire}, title = {High resolution distance sensing for mini-robots using Time Difference of Arrival}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {717--720}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272136}, doi = {10.1109/ISCAS.2012.6272136}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SinerizKA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Soderstrand12, author = {Michael A. Soderstrand}, title = {Issues in enhanced narrow-band signal attenuation in {DSSS} {BPSK} receiver systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3194--3197}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272002}, doi = {10.1109/ISCAS.2012.6272002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Soderstrand12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SotiropoulouVGNVDB12, author = {Calliope{-}Louisa Sotiropoulou and Liberis Voudouris and Christos Gentsos and Spiridon Nikolaidis and Nikolaos Vassiliadis and Athanasios M. Demiris and Spyros Blionas}, title = {FPGA-based machine vision implementation for Lab-on-Chip flow detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2047--2050}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271683}, doi = {10.1109/ISCAS.2012.6271683}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SotiropoulouVGNVDB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SousaMG12, author = {Fernando Rangel de Sousa and Marcio Bender Machado and Carlos Galup{-}Montoro}, title = {A 20 mV Colpitts Oscillator powered by a thermoelectric generator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2035--2038}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271680}, doi = {10.1109/ISCAS.2012.6271680}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SousaMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SpulberGETDBMMHB12, author = {Irina Spulber and Pantelis Georgiou and Amir Eftekhar and Chris Toumazou and Lynsey D. Duffell and Jeroen Bergmann and Alison H. McGregor and Tinaz Mehta and Miguel Hernandez and Alison J. Burdett}, title = {Frequency analysis of wireless accelerometer and {EMG} sensors data: Towards discrimination of normal and asymmetric walking pattern}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2645--2648}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271849}, doi = {10.1109/ISCAS.2012.6271849}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SpulberGETDBMMHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StanKLW12, author = {Mircea R. Stan and Mehdi Kabir and Jiwei Lu and Stuart A. Wolf}, title = {Self-assembled multiferroic magnetic {QCA} structures for low power systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2525--2528}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271816}, doi = {10.1109/ISCAS.2012.6271816}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StanKLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StanislausM12, author = {J{\'{e}}r{\^{o}}me L. V. M. Stanislaus and Tinoosh Mohsenin}, title = {High performance compressive sensing reconstruction hardware with {QRD} process}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {29--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271921}, doi = {10.1109/ISCAS.2012.6271921}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StanislausM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SteinerMN12, author = {Fabian Steiner and Amine Mezghani and Josef A. Nossek}, title = {Information theoretic analysis of concurrent information transfer and power gain}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {548--551}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272088}, doi = {10.1109/ISCAS.2012.6272088}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SteinerMN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StoopmanSP12, author = {Mark Stoopman and Wouter A. Serdijn and Kathleen Philips}, title = {A robust and large range optimally mismatched {RF} energy harvester with resonance control loop}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {476--479}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272068}, doi = {10.1109/ISCAS.2012.6272068}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StoopmanSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuWLC12, author = {Yi{-}Ping Su and Shih{-}Wei Wang and Yu{-}Huei Lee and Ke{-}Horng Chen}, title = {Dynamic sawtooth compensation {(DSC)} technique with self-tuning mode selection {(SMS)} for current-mode Buck-Boost converter}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {221--224}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271729}, doi = {10.1109/ISCAS.2012.6271729}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuWLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuarezBCCR12, author = {Manuel Suarez and V{\'{\i}}ctor M. Brea and Diego Cabello and Ricardo Carmona{-}Gal{\'{a}}n and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {In-pixel generation of gaussian pyramid images by block reusing in 3D-CMOS}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2649--2652}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271850}, doi = {10.1109/ISCAS.2012.6271850}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuarezBCCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunPW12, author = {Lei Sun and Kong{-}Pang Pun and Alex K. Y. Wong}, title = {Analysis and Design of a 14-bit {SAR} {ADC} using self-calibration {DAC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1267--1270}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271468}, doi = {10.1109/ISCAS.2012.6271468}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunPW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakahashiSAK12, author = {Akiko Takahashi and Hiroo Sekiya and Kazuyuki Aihara and Takuji Kousaka}, title = {A numerical approach to calculate grazing bifurcation points in an impact oscillator with periodic boundaries}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2111--2114}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271702}, doi = {10.1109/ISCAS.2012.6271702}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TakahashiSAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakamaruKUN12, author = {Yuji Takamaru and Hiroshige Kataoka and Yoko Uwate and Yoshifumi Nishio}, title = {Clustering phenomena in complex networks of chaotic circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {914--917}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272192}, doi = {10.1109/ISCAS.2012.6272192}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakamaruKUN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakeuchiII12, author = {Toshiki Takeuchi and Hiroyuki Igura and Masao Ikekawa}, title = {Stream-access-oriented baseband signal processors for {SDR}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1795--1798}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271614}, doi = {10.1109/ISCAS.2012.6271614}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakeuchiII12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TamukohBFS12, author = {Hakaru Tamukoh and Nadav Bergstein and Kotoko Fujita and Masatoshi Sekine}, title = {Live demonstration: "Internet Booster" a novel {WEB} application platform accelerated by reconfigurable virtual hardware circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {716}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272135}, doi = {10.1109/ISCAS.2012.6272135}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TamukohBFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanC12, author = {Cheen{-}Hau Tan and Lap{-}Pui Chau}, title = {Image-driven simplification with single viewpoint}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {858--861}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272176}, doi = {10.1109/ISCAS.2012.6272176}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TanC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanLYH12, author = {Shaolin Tan and Jinhu Lu and Xinghuo Yu and David J. Hill}, title = {Exploring evolutionary dynamics in a class of structured populations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {169--172}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271584}, doi = {10.1109/ISCAS.2012.6271584}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanLYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanakaSIK12, author = {Yusaku Tanaka and Naoto Sasaoka and Yoshio Itoh and Masaki Kobayashi}, title = {Active noise control with bias free pre-inverse adaptive system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3222--3225}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272010}, doi = {10.1109/ISCAS.2012.6272010}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanakaSIK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangCC12, author = {Wei Tang and Shoushun Chen and Eugenio Culurciello}, title = {Live demonstration: {A} {FSK-OOK} ultra wideband impulse radio system with spontaneous clock and data recovery}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {696--700}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272129}, doi = {10.1109/ISCAS.2012.6272129}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangMCA12, author = {Tong Boon Tang and Alan F. Murray and Binjie Cheng and Asen Asenov}, title = {A framework to study time-dependent variability in circuits at sub-35nm technology nodes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1568--1571}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271551}, doi = {10.1109/ISCAS.2012.6271551}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangMCA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangP12, author = {Xian Tang and Kong{-}Pang Pun}, title = {Novel overshoot cancellation in comparator-based pipelined {ADC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {806--809}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272162}, doi = {10.1109/ISCAS.2012.6272162}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangWB12, author = {Fang Tang and Bo Wang and Amine Bermak}, title = {80dB dynamic range 100KHz bandwidth inverter-based {\(\Sigma\)}{\(\Delta\)} {ADC} for {CMOS} image sensor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3094--3097}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271975}, doi = {10.1109/ISCAS.2012.6271975}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangWBJJ12, author = {Yangyang Tang and Chris Winstead and Emmanuel Boutillon and Christophe J{\'{e}}go and Michel J{\'{e}}z{\'{e}}quel}, title = {An {LDPC} decoding method for fault-tolerant digital logic}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3025--3028}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271956}, doi = {10.1109/ISCAS.2012.6271956}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangWBJJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaoF12, author = {Chengwu Tao and Ayman A. Fayed}, title = {Output spectrum analysis of buck converters in {DCM} with {PFM} control}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2267--2270}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271745}, doi = {10.1109/ISCAS.2012.6271745}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaoF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaoPZ12, author = {Yaoyu Tao and Youn Sung Park and Zhengya Zhang}, title = {High-throughput architecture and implementation of regular (2, dc) nonbinary {LDPC} decoders}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2625--2628}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271844}, doi = {10.1109/ISCAS.2012.6271844}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TaoPZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaokaW12, author = {Satoshi Taoka and Toshimasa Watanabe}, title = {Performance comparison of approximation algorithms for the minimum weight vertex cover problem}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {632--635}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272111}, doi = {10.1109/ISCAS.2012.6272111}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaokaW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TapsonS12, author = {Jonathan Tapson and Andr{\'{e}} van Schaik}, title = {An asynchronous parallel neuromorphic {ADC} architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2409--2412}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271783}, doi = {10.1109/ISCAS.2012.6271783}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TapsonS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tavsanoglu12, author = {Vedat Tavsanoglu}, title = {On the teaching of the axiomatics of physical systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2465--2468}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271799}, doi = {10.1109/ISCAS.2012.6271799}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tavsanoglu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TetzlaffS12, author = {Ronald Tetzlaff and Torsten Schmidt}, title = {Memristors and memristive circuits - an overview}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1590--1595}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271557}, doi = {10.1109/ISCAS.2012.6271557}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TetzlaffS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThanigaivelanWH12, author = {Balavelan Thanigaivelan and Janet Wiles and Tara Julia Hamilton}, title = {A low power neural recording amplifier with programmable gain and bandwidth}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2219--2222}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271732}, doi = {10.1109/ISCAS.2012.6271732}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThanigaivelanWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TheinLFA12, author = {T. T. Thein and Choi L. Law and K. Fu and Aye Aung}, title = {Highly efficient compact size 0.7W broad bandwidth power amplifier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2187--2190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271723}, doi = {10.1109/ISCAS.2012.6271723}, timestamp = {Fri, 09 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TheinLFA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThongCT12, author = {Wilson Wang{-}Kit Thong and Guanrong Chen and Ljiljana Trajkovic}, title = {RED-f routing protocol for complex networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1644--1647}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271571}, doi = {10.1109/ISCAS.2012.6271571}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThongCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TianCR12, author = {David Tian and L. Richard Carley and David S. Ricketts}, title = {Frequency scaling of power reclamation networks in outphasing {PA} architectures}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1058--1061}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271411}, doi = {10.1109/ISCAS.2012.6271411}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TianCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ToftRMFOL12, author = {Fredrik Toft and Niclas Rousk and Jonas M{\aa}rtensson and Marco Forzati and Bengt{-}Erik Olsson and Per Larsson{-}Edefors}, title = {Feasibility study of FPGA-based equalizer for 112-Gbit/s optical fiber receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3234--3237}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272013}, doi = {10.1109/ISCAS.2012.6272013}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ToftRMFOL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TongYHT12, author = {Tao Tong and Wenhuan Yu and Pavan Kumar Hanumolu and Gabor C. Temes}, title = {Calibration technique for {SAR} analog-to-digital converters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2993--2996}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271947}, doi = {10.1109/ISCAS.2012.6271947}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TongYHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrabelsiB12, author = {Abdelaziz Trabelsi and Mounir Boukadoum}, title = {A comparative overview of two transimpedance amplifiers for biosensing applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2227--2230}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271734}, doi = {10.1109/ISCAS.2012.6271734}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TrabelsiB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrabelsiBS12, author = {Abdelaziz Trabelsi and Mounir Boukadoum and Mohamed Siaj}, title = {A dual-mode, low-power and low-noise 0.18{\(\mathrm{\mu}\)}m {CMOS} front-end for optical biosensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2405--2408}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271782}, doi = {10.1109/ISCAS.2012.6271782}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TrabelsiBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrakimasHS12, author = {Michael Trakimas and Timothy M. Hancock and Sameer R. Sonkusale}, title = {A Compressed sensing analog-to-information converter with edge-triggered {SAR} {ADC} Core}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3162--3165}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271993}, doi = {10.1109/ISCAS.2012.6271993}, timestamp = {Sat, 25 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TrakimasHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TranLNKO12, author = {Thi Hong Tran and Leonardo Lanante and Yuhei Nagao and Masayuki Kurosaki and Hiroshi Ochi}, title = {Hardware Implementation of High Throughput {RC4} algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {77--80}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272151}, doi = {10.1109/ISCAS.2012.6272151}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TranLNKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TripathiCNMM12, author = {Jai Narayan Tripathi and Nitin Kumar Chhabra and Raj Kumar Nagpal and Rakesh Malik and Jayanta Mukherjee}, title = {Damping the cavity-mode anti-resonances' peaks on a power plane by swarm intelligence algorithms}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {361--364}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272036}, doi = {10.1109/ISCAS.2012.6272036}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TripathiCNMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCR12, author = {Yu{-}Wen Tsai and Fan{-}Chieh Cheng and Shanq{-}Jang Ruan}, title = {Constant time {O(1)} contextual and variational contrast enhancement with integral histogram}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2769--2772}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271883}, doi = {10.1109/ISCAS.2012.6271883}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCWHHT12, author = {Wei{-}Yu Tsai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shawn S. H. Hsu and Yarsun Hsu and Ying{-}Fang Tsao}, title = {A novel low gate-count serializer topology with Multiplexer-Flip-Flops}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {245--248}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271795}, doi = {10.1109/ISCAS.2012.6271795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCWHHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiNCCCC12, author = {Jen{-}Chieh Tsai and Chia{-}Lung Ni and Chun{-}Yen Chen and Yi{-}Ting Chen and Chi{-}Lin Chen and Ke{-}Horng Chen}, title = {Triple loop modulation {(TLM)} for high reliability and efficiency in Power Factor Correction {(PFC)} system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1191--1194}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271447}, doi = {10.1109/ISCAS.2012.6271447}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiNCCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaoC12, author = {Yu{-}Chi Tsao and Ken Choi}, title = {Hardware-efficient {VLSI} implementation for 3-parallel linear-phase {FIR} digital filter of odd length}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {998--1001}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272215}, doi = {10.1109/ISCAS.2012.6272215}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaoC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengL12, author = {Chien{-}Cheng Tseng and Su{-}Ling Lee}, title = {Digital image sharpening using fractional derivative and mach band effect}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2765--2768}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271882}, doi = {10.1109/ISCAS.2012.6271882}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengL12a, author = {Chien{-}Cheng Tseng and Su{-}Ling Lee}, title = {Design of variable fractional order differentiator using a modular cascade structure}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3174--3177}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271997}, doi = {10.1109/ISCAS.2012.6271997}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TuH12, author = {Xiaojun Tu and Jeremy H. Holleman}, title = {An ultra-low-power 902-928MHz {RF} receiver front-end in {CMOS} 90nm process}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2199--2202}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271726}, doi = {10.1109/ISCAS.2012.6271726}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TuH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TuWHC12, author = {Wen{-}Pin Tu and Shih{-}Wei Wu and Shih{-}Hsu Huang and Mely Chen Chi}, title = {NBTI-aware dual threshold voltage assignment for leakage power reduction}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {349--352}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272033}, doi = {10.1109/ISCAS.2012.6272033}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TuWHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaisbandFGK12, author = {Inna Vaisband and Eby G. Friedman and Ran Ginosar and Avinoam Kolodny}, title = {Energy metrics for power efficient crosslink and mesh topologies}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1656--1659}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271575}, doi = {10.1109/ISCAS.2012.6271575}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaisbandFGK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValeroCMCA12, author = {Mar{\'{\i}}a R. Valero and Santiago Celma and Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s and Bel{\'{e}}n Calvo and Cristina Azcona}, title = {An ultra low-power low-voltage class {AB} {CMOS} fully differential OpAmp}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1967--1970}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271661}, doi = {10.1109/ISCAS.2012.6271661}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ValeroCMCA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vandewalle12, author = {Joos Vandewalle}, title = {Shortcuts in circuits and systems education with a case study of the Th{\'{e}}venin/Helmholtz and Norton/Mayer equivalents}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2925--2928}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271928}, doi = {10.1109/ISCAS.2012.6271928}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vandewalle12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vargas-SierraCR12, author = {Sonia Vargas{-}Sierra and Gustavo Li{\~{n}}{\'{a}}n Cembrano and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {A 148dB focal-plane tone-mapping {QCIF} imager}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1616--1619}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271564}, doi = {10.1109/ISCAS.2012.6271564}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vargas-SierraCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VasanSCG12, author = {Bharath K. Vasan and Siva Sudani and Degang Chen and Randall L. Geiger}, title = {Sinusoidal signal generation for production testing and {BIST} applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2601--2604}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271837}, doi = {10.1109/ISCAS.2012.6271837}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VasanSCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VenkatramOGM12, author = {Hariprasath Venkatram and Taehwan Oh and Jon Guerber and Un{-}Ku Moon}, title = {Class {A+} amplifier with controlled positive feedback for discrete-time signal processing circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {428--431}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272055}, doi = {10.1109/ISCAS.2012.6272055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VenkatramOGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VennilaCKLK12, author = {C. Vennila and Kumar Palaniappan CT and Kodati Vamsi Krishna and G. Lakshminarayanan and Seok{-}Bum Ko}, title = {Dynamic partial reconfigurable {FFT/IFFT} pruning for {OFDM} based Cognitive radio}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {33--36}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272028}, doi = {10.1109/ISCAS.2012.6272028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VennilaCKLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VidapalapatiVGK12, author = {Anuroop Vidapalapati and Vineeth Vijayakumaran and Amlan Ganguly and Andres Kwasinski}, title = {NoC architectures with adaptive Code Division Multiple Access based wireless links}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {636--639}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272112}, doi = {10.1109/ISCAS.2012.6272112}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VidapalapatiVGK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ViitanenVHGL12, author = {Marko Viitanen and Jarno Vanne and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and Moncef Gabbouj and Jani Lainema}, title = {Complexity analysis of next-generation {HEVC} decoder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {882--885}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272182}, doi = {10.1109/ISCAS.2012.6272182}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ViitanenVHGL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VolkerH12, author = {Matthias V{\"{o}}lker and Johann Hauer}, title = {A low power oscillator based {TDC} with in-system non-linearity correction}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1046--1049}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271407}, doi = {10.1109/ISCAS.2012.6271407}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VolkerH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VunP12, author = {Chan Hua Vun and A. Benjamin Premkumar}, title = {{RNS} encoding based folding {ADC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {814--817}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272165}, doi = {10.1109/ISCAS.2012.6272165}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VunP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WaidyasooriyaTHK12, author = {Hasitha Muthumala Waidyasooriya and Yasuhiro Takei and Masanori Hariyama and Michitaka Kameyama}, title = {{FPGA} implementation of heterogeneous multicore platform with {SIMD/MIMD} custom accelerators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1339--1342}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271489}, doi = {10.1109/ISCAS.2012.6271489}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WaidyasooriyaTHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangA12, author = {Wenwen Wang and Saman S. Abeysekera}, title = {Joint data detection and channel estimation for {CPM} in frequency-flat fading channel}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1255--1258}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271465}, doi = {10.1109/ISCAS.2012.6271465}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCW12, author = {Chin{-}Liang Wang and Ying{-}Yi Chen and Hung{-}Chin Wang}, title = {A synchronization scheme based on Gaussian pulses for cooperative {MIMO} {OFDM} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1512--1515}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271536}, doi = {10.1109/ISCAS.2012.6271536}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangFLLG12, author = {Shiqi Wang and Jingjing Fu and Yan Lu and Shipeng Li and Wen Gao}, title = {Content-aware layered compound video compression}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {145--148}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271519}, doi = {10.1109/ISCAS.2012.6271519}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangFLLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangFZJ12, author = {Shaoxi Wang and Xiaoya Fan and Shengbing Zhang and Ming{-}e Jing}, title = {Analog layout retargeting with geometric programming and constrains symbolization method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {353--356}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272034}, doi = {10.1109/ISCAS.2012.6272034}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangFZJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangG12, author = {Weichen Wang and Satoshi Goto}, title = {Stereo matching with pixel classification and reliable disparity propagation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1891--1894}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271641}, doi = {10.1109/ISCAS.2012.6271641}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHK12, author = {Zhuo Wang and Liu Han and Seok{-}Bum Ko}, title = {Design and implementation of a Radix-100 division unit}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1239--1242}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271460}, doi = {10.1109/ISCAS.2012.6271460}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHL12, author = {Sying{-}Jyan Wang and Han{-}Hsuan Hsu and Katherine Shu{-}Min Li}, title = {Low-power delay test architecture for pre-bond test}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2321--2324}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271759}, doi = {10.1109/ISCAS.2012.6271759}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHTCLH12, author = {Chua{-}Chin Wang and Chia{-}Hao Hsu and Yue{-}Da Tsai and Yun{-}Chi Chen and Ming{-}Chih Lee and I{-}Yu Huang}, title = {A fast FPW-based protein concentration measurement system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2389--2392}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271778}, doi = {10.1109/ISCAS.2012.6271778}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHTCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangKHMLCNS12, author = {Shiwei Wang and Thomas Jacob Koickal and Alister Hamilton and Enrico Mastropaolo and Rhonira Latif and Rebecca Cheung and Michael J. Newton and Leslie S. Smith}, title = {A low-noise interface circuit for {MEMS} cochlea-mimicking acoustic sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1151--1154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271436}, doi = {10.1109/ISCAS.2012.6271436}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangKHMLCNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangL12, author = {Hsuan{-}Tsung Wang and Walter D. Leon{-}Salas}, title = {A multiresolution algorithm for focal-plane compression}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {926--929}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272195}, doi = {10.1109/ISCAS.2012.6272195}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLLC12, author = {Chen{-}Chieh Wang and Sheng{-}Hsin Lo and Yao{-}Ning Liu and Chung{-}Ho Chen}, title = {NetVP: {A} system-level NETwork Virtual Platform for network accelerator development}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {249--252}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271806}, doi = {10.1109/ISCAS.2012.6271806}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLLL12, author = {Zhangyang Wang and Houqiang Li and Qing Ling and Weiping Li}, title = {Mixed Gaussian-impulse video noise removal via temporal-spatial decomposition}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1851--1854}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271630}, doi = {10.1109/ISCAS.2012.6271630}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLTB12, author = {Bo Wang and Man Kay Law and Fang Tang and Amine Bermak}, title = {A sub-1V BJT-based {CMOS} temperature sensor from -55 {\textdegree}C to 125 {\textdegree}C}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3114--3117}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271980}, doi = {10.1109/ISCAS.2012.6271980}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLTB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLYS12, author = {Sheng{-}Hong Wang and Wen{-}Ching Lin and Jheng{-}Hao Ye and Ming{-}Der Shieh}, title = {Fast scalable radix-4 Montgomery modular multiplier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3049--3052}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271962}, doi = {10.1109/ISCAS.2012.6271962}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangOK12, author = {Yongsheng Wang and M{\'{a}}ire O'Neill and Fatih Kurugollu}, title = {Adaptive binary mask for privacy region protection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1127--1130}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271429}, doi = {10.1109/ISCAS.2012.6271429}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangOK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangSSL12, author = {Qifei Wang and Ming{-}Ting Sun and Gary J. Sullivan and Jin Li}, title = {Complexity-reduced geometry partition search and high efficiency prediction for video coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {133--136}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271486}, doi = {10.1109/ISCAS.2012.6271486}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangSSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangTHS12, author = {Runchun Wang and Jonathan Tapson and Tara Julia Hamilton and Andr{\'{e}} van Schaik}, title = {An aVLSI programmable axonal delay circuit with spike timing dependent delay adaptation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2413--2416}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271785}, doi = {10.1109/ISCAS.2012.6271785}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangTHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangTWW12, author = {Peijun Wang and Yina Tang and Hui Wang and Guoxing Wang}, title = {A novel overlapping coil structure for dual band telemetry system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2191--2194}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271724}, doi = {10.1109/ISCAS.2012.6271724}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangTWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangWALC12, author = {Rui Wang and Xiaoke Wen and Kamran Azadet and Changzhi Li and Jinghong Chen}, title = {A power-optimized reconfigurable {CT} {\(\Delta\)}{\(\Sigma\)} modulator in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {305--308}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271963}, doi = {10.1109/ISCAS.2012.6271963}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangWALC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangWJCZ12, author = {Hui Wang and Wufeng Wang and Jing Jin and Dongpo Chen and Jianjun Zhou}, title = {Anti-interference pseudo-differential wideband {LNA} for {DVB-S.2} {RF} tuners}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2151--2154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271713}, doi = {10.1109/ISCAS.2012.6271713}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangWJCZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangYLH12, author = {Yixiao Wang and Le Ye and Huailin Liao and Ru Huang}, title = {Cost-efficient {CMOS} {RF} tunable bandpass filter with active inductor-less biquads}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2139--2142}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271710}, doi = {10.1109/ISCAS.2012.6271710}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangYLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangYZWLWW12, author = {Xuan Wang and Changyi Yang and Xiaoxiao Zhao and Chao Wu and Fule Li and Zhihua Wang and Bin Wu}, title = {A 12-bit, 270MS/s pipelined {ADC} with SHA-eliminating front end}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {798--801}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272160}, doi = {10.1109/ISCAS.2012.6272160}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangYZWLWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZP12, author = {Hao Wang and Wei Zhang and Boyang Pan}, title = {Modified polynomial selection architecture for low-complexity chase decoding of Reed-Solomon codes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1791--1794}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271613}, doi = {10.1109/ISCAS.2012.6271613}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangZP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZXFW12, author = {Da Wang and Lunkai Zhang and Weizhi Xu and Dongrui Fan and Fei Wang}, title = {A SAT-based diagnosis pattern generation method for timing faults in scan chains}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2308--2312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271756}, doi = {10.1109/ISCAS.2012.6271756}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangZXFW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WaserMR12, author = {Rainer Waser and Stephan Menzel and Vikas Rana}, title = {Recent progress in redox-based resistive switching}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1596--1599}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271558}, doi = {10.1109/ISCAS.2012.6271558}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WaserMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WassatschR12, author = {Andreas Wassatsch and Rainer Richter}, title = {{DCE3} - An universal real-time clustering engine}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3242--3245}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272015}, doi = {10.1109/ISCAS.2012.6272015}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WassatschR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiWP12, author = {Shih{-}Nung Wei and Yi{-}Ming Wang and Jyun{-}Hua Peng}, title = {An output tracking delay-recycled clock skew-compensation and/or duty-cycle-correction circuit}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1648--1651}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271572}, doi = {10.1109/ISCAS.2012.6271572}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiZCCW12, author = {Dingguo Wei and Chun Zhang and Yan Cui and Hong Chen and Zhihua Wang}, title = {Design of a low-cost low-power baseband-processor for {UHF} {RFID} tag with asynchronous design technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2789--2792}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271889}, doi = {10.1109/ISCAS.2012.6271889}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiZCCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WenWPHC12, author = {Xiaoke Wen and Rui Wang and Renguo Peng and Min Hao and Jinghong Chen}, title = {A 12b 60MS/s SHA-less opamp-sharing pipeline {A/D} with switch-embedded dual input OTAs}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {802--805}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272161}, doi = {10.1109/ISCAS.2012.6272161}, timestamp = {Mon, 15 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WenWPHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WengFZ12, author = {Ro{-}Min Weng and Mei{-}Lian Fan and Ming{-}Jhe Zeng}, title = {A 5.9mW full-band low-noise-amplifier for ultra-wideband systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1931--1934}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271652}, doi = {10.1109/ISCAS.2012.6271652}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WengFZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WhiteWHBS12, author = {Daniel J. White and Peter E. William and Michael W. Hoffman and Sina Balkir and Nathan Schemm}, title = {Analog sensing front-end system for harmonic signal classification}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1155--1158}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271437}, doi = {10.1109/ISCAS.2012.6271437}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WhiteWHBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WiessfleckerHHRP12, author = {Martin Wiessflecker and G{\"{u}}nter Hofer and Gerald Holweg and Hannes Reinisch and Wolfgang Pribyl}, title = {A sub 1V self clocked switched capacitor bandgap reference with a current consumption of 180nA}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2841--2844}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271903}, doi = {10.1109/ISCAS.2012.6271903}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WiessfleckerHHRP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WijekoonD12, author = {Jayawan H. B. Wijekoon and Piotr Dudek}, title = {Heterogeneous neurons and plastic synapses in a reconfigurable cortical neural network {IC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2417--2420}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271786}, doi = {10.1109/ISCAS.2012.6271786}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WijekoonD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WijenayakeMXBB12, author = {Chamith Wijenayake and Arjuna Madanayake and Yongsheng Xu and Leonid Belostotski and Leonard T. Bruton}, title = {Discrete space continuous time 2D delay block using 2D all-pass frequency planar networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {664--667}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272120}, doi = {10.1109/ISCAS.2012.6272120}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WijenayakeMXBB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilhelmM12, author = {Keith Wilhelm and Yehia Massoud}, title = {Compressive sensing based classification of intramuscular electromyographic signals}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {273--276}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271873}, doi = {10.1109/ISCAS.2012.6271873}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WilhelmM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilliamsC12, author = {Ian Williams and Timothy G. Constandinou}, title = {An energy-efficient, dynamic voltage scaling neural stimulator for a proprioceptive prosthesis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1091--1094}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271420}, doi = {10.1109/ISCAS.2012.6271420}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WilliamsC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WimalagunarathneMDB12, author = {Randeel Wimalagunarathne and Arjuna Madanayake and Donald G. Dansereau and Len T. Bruton}, title = {A systolic-array architecture for first-order 4-D {IIR} frequency-planar digital filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3069--3072}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271968}, doi = {10.1109/ISCAS.2012.6271968}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WimalagunarathneMDB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WitteKBBO12, author = {Pascal Witte and John G. Kauffman and Timon Br{\"{u}}ckner and Joachim Becker and Maurits Ortmanns}, title = {An error estimation technique for lowpass and bandpass {\(\Sigma\)}{\(\Delta\)} {ADC} feedback DACs using a residual test signal}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {73--76}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272140}, doi = {10.1109/ISCAS.2012.6272140}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WitteKBBO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuC12, author = {Chun{-}Hsun Wu and Le{-}Ren Chang{-}Chien}, title = {Full quiescent current enhancement technique for improving transient response on the output-capacitorless Low-Dropout regulator}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2733--2736}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271874}, doi = {10.1109/ISCAS.2012.6271874}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCT12, author = {H. C. Wu and Shing{-}Chow Chan and Kai Man Tsui}, title = {Robust Logistic Principal Component Regression for classification of data in presence of outliers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2809--2812}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271894}, doi = {10.1109/ISCAS.2012.6271894}, timestamp = {Thu, 09 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHLC12, author = {Tsung{-}Che Wu and Ji{-}Hua Hsu and Chang{-}Ming Lee and Jui{-}Chiu Chiang}, title = {Efficient improvement of side information in GOB-based {DVC} system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1720--1723}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271593}, doi = {10.1109/ISCAS.2012.6271593}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHPLC12, author = {Po{-}Han Wu and Jenq{-}Neng Hwang and Jae{-}Young Pyun and Kung{-}Ming Lan and Jian{-}Ren Chen}, title = {QoE-aware resource allocation for integrated surveillance system over 4G mobile networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1103--1106}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271423}, doi = {10.1109/ISCAS.2012.6271423}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHPLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuL12, author = {Qingbo Wu and Hongliang Li}, title = {Mode dependent deblocking filter for video coding}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1564--1567}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271550}, doi = {10.1109/ISCAS.2012.6271550}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuRLS12, author = {Meiqing Wu and Nirmala Ramakrishnan and Siew Kei Lam and Thambipillai Srikanthan}, title = {Low-complexity pruning for accelerating corner detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1684--1687}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271582}, doi = {10.1109/ISCAS.2012.6271582}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuRLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuTLH12, author = {Jiajing Wu and Chi Kong Tse and Francis Chung{-}Ming Lau and Ivan Wang Hei Ho}, title = {Complex network approach to communication network performance analysis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1632--1635}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271568}, doi = {10.1109/ISCAS.2012.6271568}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuTLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuTP12, author = {Chung{-}Hao Wu and Yu{-}Chen Tseng and Wen{-}Hsiao Peng}, title = {Analytical mode-dependent rate and distortion models for {H.264/SVC} coarse grain scalability}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1903--1906}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271644}, doi = {10.1109/ISCAS.2012.6271644}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuTP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuWZ12, author = {Xiaoqun Wu and Weihan Wang and Wei Xing Zheng}, title = {Topology detection of complex networks with hidden variables and stochastic perturbations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {898--901}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272187}, doi = {10.1109/ISCAS.2012.6272187}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuZS12, author = {Dalei Wu and Wei{-}Ping Zhu and M. N. S. Swamy}, title = {On sparsity issues in compressive sensing based speech enhancement}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {285--288}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271907}, doi = {10.1109/ISCAS.2012.6271907}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaC12, author = {Weiguo Xia and Ming Cao}, title = {Cluster synchronization and controllability of complex multi-agent networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {165--168}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271573}, doi = {10.1109/ISCAS.2012.6271573}, timestamp = {Mon, 03 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XiaC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaIHK12, author = {Zhengfan Xia and Shota Ishihara and Masanori Hariyama and Michitaka Kameyama}, title = {Dual-rail/single-rail hybrid logic design for high-performance asynchronous circuit}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3017--3020}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271954}, doi = {10.1109/ISCAS.2012.6271954}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaIHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaS12, author = {Wenjun Xia and Tadashi Shibata}, title = {Self-adaptive quasi-Gaussian circuits for analog on-chip-trainable multi-class classifiers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2893--2896}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271919}, doi = {10.1109/ISCAS.2012.6271919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaTL12, author = {Yongxiang Xia and Chi Kong Tse and Francis Chung{-}Ming Lau}, title = {Effect of assortativity on traffic performance in scale-free networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {906--909}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272190}, doi = {10.1109/ISCAS.2012.6272190}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoXWS12, author = {Wei Xiao and Jizheng Xu and Feng Wu and Guangming Shi}, title = {Joint rate-distortion optimization for {H.264/AVC} intra coding based on cluster computing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1548--1551}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271546}, doi = {10.1109/ISCAS.2012.6271546}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoXWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoZ12, author = {Min Xiao and Wei Xing Zheng}, title = {Nonlinear dynamics and limit cycle bifurcation of a fractional-order three-node recurrent neural network}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {161--164}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271562}, doi = {10.1109/ISCAS.2012.6271562}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieMH12, author = {Jiafeng Xie and Pramod Kumar Meher and Jianjun He}, title = {Low-latency area-delay-efficient systolic multiplier over GF(2\({}^{\mbox{m}}\)) for a wider class of trinomials using parallel register sharing}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {89--92}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272184}, doi = {10.1109/ISCAS.2012.6272184}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XieMH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieN12, author = {Shuang Xie and Wai Tung Ng}, title = {A 0.02 nJ self-calibrated 65nm {CMOS} delay line temperature sensor}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3126--3129}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271983}, doi = {10.1109/ISCAS.2012.6271983}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XieN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongTR12, author = {Xiaoling Xiong and Chi Kong Tse and Xinbo Ruan}, title = {Bifurcation in standalone photovoltaic-battery hybrid power systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {389--392}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272044}, doi = {10.1109/ISCAS.2012.6272044}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiongTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuCW12, author = {Yang Xu and Baoyong Chi and Zhihua Wang}, title = {Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {293--296}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271930}, doi = {10.1109/ISCAS.2012.6271930}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuLW12, author = {Linfeng Xu and Hongliang Li and Zhengning Wang}, title = {Saliency detection from joint embedding of spatial and color cues}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2673--2676}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271857}, doi = {10.1109/ISCAS.2012.6271857}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuO12, author = {Hongcheng Xu and Maurits Ortmanns}, title = {A new class of integrated {CMOS} rectifiers with improved PVT-compensated efficiency}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2259--2262}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271743}, doi = {10.1109/ISCAS.2012.6271743}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuQCCW12, author = {Yang Xu and Nan Qi and Zhou Chen and Baoyong Chi and Zhihua Wang}, title = {A hybrid approach to {I/Q} imbalance self-calibration in reconfigurable low-IF receivers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {552--555}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272089}, doi = {10.1109/ISCAS.2012.6272089}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuQCCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuTLL12, author = {Tao Xu and Zijian Tang and Hao Lu and Rene van Leuken}, title = {Memory and computation reduction for least-square channel estimation of mobile {OFDM} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1259--1262}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271466}, doi = {10.1109/ISCAS.2012.6271466}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuTLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamakiAK12, author = {Shunsuke Yamaki and Masahide Abe and Masayuki Kawamata}, title = {Transfer functions of second-order digital filters with two equal second-order modes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3178--3181}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271998}, doi = {10.1109/ISCAS.2012.6271998}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamakiAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamaniBBM12, author = {Jaber Hassan J. Al Yamani and Farid Boussa{\"{\i}}d and Amine Bermak and Dominique Martinez}, title = {Bio-inspired gas recognition based on the organization of the olfactory pathway}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1391--1394}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271503}, doi = {10.1109/ISCAS.2012.6271503}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamaniBBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanJJWSL12, author = {Yier Yan and Xueqin Jiang and Li Jun and Duan Wei and TaeChol Shin and Moon Ho Lee}, title = {A novel high rate transmission scheme for space time coding with low decoding complexity}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1748--1751}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271601}, doi = {10.1109/ISCAS.2012.6271601}, timestamp = {Tue, 17 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanJJWSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanKHC12, author = {Jin{-}Tai Yan and Chia{-}Han Kao and Ming{-}Chien Huang and Zhi{-}Wei Chen}, title = {Efficient assignment of inter-die signals for die-stacking SiP design}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3254--3257}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272019}, doi = {10.1109/ISCAS.2012.6272019}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanKHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanXX12, author = {Shi Yan and Li Xu and Yegui Xiao}, title = {Order reduction for Roesser state-space model based on elementary operations}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271524}, doi = {10.1109/ISCAS.2012.6271524}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanXX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanagawaOKTNIK12, author = {Yoshimitsu Yanagawa and Kazuo Ono and Akira Kotabe and Riichiro Takemura and Tatsuo Nakagawa and Tomio Iwasaki and Takayuki Kawahara}, title = {Fluctuation tolerant read scheme for ultrafast {DNA} sequencing with nanopore device}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2299--2302}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271754}, doi = {10.1109/ISCAS.2012.6271754}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanagawaOKTNIK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangBL12, author = {Yi Yang and David M. Binkley and Changzhi Li}, title = {Using moderate inversion to optimize voltage gain, thermal noise, and settling time in two-stage {CMOS} amplifiers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {432--435}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272056}, doi = {10.1109/ISCAS.2012.6272056}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangC12, author = {Ming Yang and Chaitali Chakrabarti}, title = {Design of orthogonal coded excitation for synthetic aperture imaging in ultrasound systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {113--116}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271430}, doi = {10.1109/ISCAS.2012.6271430}, timestamp = {Fri, 27 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangCTL12, author = {Shiau{-}Ru Yang and Shi{-}An Chen and Shu{-}Fang Tsai and Chin{-}Teng Lin}, title = {Transcutaneous electrical nerve stimulation system for improvement of flight orientation in a VR-based motion environment}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2055--2058}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271686}, doi = {10.1109/ISCAS.2012.6271686}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangCTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangDCG12, author = {Yoon Seok Yang and Hrishikesh Deshpande and Gwan S. Choi and Paul Gratz}, title = {Exploiting path diversity for low-latency and high-bandwidth with the dual-path NoC router}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2433--2436}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271790}, doi = {10.1109/ISCAS.2012.6271790}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangDCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHH12, author = {Po{-}Jen Yang and Po{-}Tsang Huang and Wei Hwang}, title = {Substrate noise suppression technique for power integrity of {TSV} 3D integration}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3274--3277}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272024}, doi = {10.1109/ISCAS.2012.6272024}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHLKL12, author = {Shiau{-}Ru Yang and Sheng{-}Chih Hsu and Shao{-}Wei Lu and Li{-}Wei Ko and Chin{-}Teng Lin}, title = {Development of adaptive {QRS} detection rules based on center differentiation method for clinical application}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2071--2074}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271690}, doi = {10.1109/ISCAS.2012.6271690}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHLKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangILC12, author = {Jeong{-}Hyu Yang and Jinseok Im and Kyoungwon Lim and Seung{-}Jong Choi}, title = {An {ASIC} design for 3D depth control of full {HD} resolution stereoscopic video}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1700--1703}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271588}, doi = {10.1109/ISCAS.2012.6271588}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangILC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangL12, author = {Dong Yang and Xiang Li}, title = {Bridge time series and complex networks with a frequency-degree mapping algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {910--913}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272191}, doi = {10.1109/ISCAS.2012.6272191}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLHLCCCHJLLLSWLH12, author = {Hao{-}I Yang and Yi{-}Wei Lin and Mao{-}Chih Hsia and Geng{-}Cing Lin and Chi{-}Shin Chang and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {High-performance 0.6V {VMIN} 55nm 1.0Mb 6T {SRAM} with adaptive {BL} bleeder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1831--1834}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271624}, doi = {10.1109/ISCAS.2012.6271624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLHLCCCHJLLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLLD12, author = {Minhao Yang and Shih{-}Chii Liu and Cheng{-}Han Li and Tobi Delbr{\"{u}}ck}, title = {Addressable current reference array with 170dB dynamic range}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3110--3113}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271979}, doi = {10.1109/ISCAS.2012.6271979}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangSYZZY12, author = {Yueming Yang and Zewen Shi and Jianming Yu and Liulin Zhong and Xiaoyang Zeng and Zhiyi Yu}, title = {Evaluating performance of manycore processors with various granularities considering yield and lifetime reliability}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2713--2716}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271868}, doi = {10.1109/ISCAS.2012.6271868}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangSYZZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangT12, author = {Cuili Yang and Wallace Kit{-}Sang Tang}, title = {A degree-based genetic algorithm for constrained pinning control in complex networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {902--905}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272189}, doi = {10.1109/ISCAS.2012.6272189}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangW12, author = {Shang{-}Hsien Yang and Chua{-}Chin Wang}, title = {Feed-forward Output Swing Prediction {AGC} with Parallel-Detect Singular-Store Peak Detector}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2965--2968}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271939}, doi = {10.1109/ISCAS.2012.6271939}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoJCZ12, author = {Chen Yao and Fredrik Jonsson and Jian Chen and Li{-}Rong Zheng}, title = {A high-resolution Time-to-Digital Converter based on parallel delay elements}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3158--3161}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271992}, doi = {10.1109/ISCAS.2012.6271992}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoJCZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoSLCLL12, author = {Xu Yao and Guangmin Sun and Wen{-}Yen Lin and Wen{-}Cheng Chou and Kin Fong Lei and Ming{-}Yih Lee}, title = {The design of an in-line accelerometer-based inclination sensing system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {333--336}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272029}, doi = {10.1109/ISCAS.2012.6272029}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoSLCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeLLLW12, author = {Yafei Ye and Liyuan Liu and Jiangyuan Li and Dongmei Li and Zhihua Wang}, title = {A 120dB {SNDR} audio sigma-delta modulator with an asynchronous {SAR} quantizer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2357--2360}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271769}, doi = {10.1109/ISCAS.2012.6271769}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeLLLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeWCLH12, author = {Le Ye and Yixiao Wang and Long Chen and Huailin Liao and Ru Huang}, title = {Widely reconfigurable 8\({}^{\mbox{th}}\)-order chebyshev analog baseband {IC} with proposed push-pull op-amp for Software-Defined Radio in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {672--675}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272122}, doi = {10.1109/ISCAS.2012.6272122}, timestamp = {Tue, 09 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YeWCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeY12, author = {Wen Bin Ye and Ya Jun Yu}, title = {Design of high order and wide coefficient wordlength multiplierless {FIR} filters with low hardware cost using genetic algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {45--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272061}, doi = {10.1109/ISCAS.2012.6272061}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YehHC12, author = {Hua{-}Hsin Yeh and Shih{-}Hsu Huang and Chun{-}Hua Cheng}, title = {A formal approach to slack-driven high-level synthesis}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {584--587}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272098}, doi = {10.1109/ISCAS.2012.6272098}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YehHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YiRLS12, author = {Lili Yi and Sirajudeen Gulam Razul and Zhiping Lin and Chong Meng Samson See}, title = {Road-constraint assisted target tracking in mixed {LOS/NLOS} environments based on {TDOA} measurements}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2581--2584}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271832}, doi = {10.1109/ISCAS.2012.6271832}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YiRLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinBAPN12, author = {Ming Yin and David A. Borton and Juan Aceros and William R. Patterson and Arto V. Nurmikko}, title = {A 100-channel hermetically sealed implantable device for wireless neurosensing applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2629--2632}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271845}, doi = {10.1109/ISCAS.2012.6271845}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YinBAPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinYLZWW12, author = {Shouyi Yin and Chongyong Yin and Leibo Liu and Min Zhu and Yansheng Wang and Shaojun Wei}, title = {Reducing configuration contexts for coarse-grained reconfigurable architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {121--124}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271452}, doi = {10.1109/ISCAS.2012.6271452}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YinYLZWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YingYZQJYZ12, author = {Yan Ying and Kaidi You and Liyang Zhou and Heng Quan and Ming{-}e Jing and Zhiyi Yu and Xiaoyang Zeng}, title = {A pure software ldpc decoder on a multi-core processor platform with reduced inter-processor communication cost}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2609--2612}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271839}, doi = {10.1109/ISCAS.2012.6271839}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YingYZQJYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoonKK12, author = {Seung Keun Yoon and Sang Joon Kim and Ui{-}Kun Kwon}, title = {A new circuit structure for near field wireless power transmission}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {982--985}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272211}, doi = {10.1109/ISCAS.2012.6272211}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoonKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuA12, author = {Qiaoyan Yu and Paul Ampadu}, title = {Transient error management for partially adaptive router in network-on-chip (NoC)}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1672--1675}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271579}, doi = {10.1109/ISCAS.2012.6271579}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuC12, author = {Chi{-}Li Yu and Chaitali Chakrabarti}, title = {Transpose-free {SAR} imaging on {FPGA} platform}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {762--765}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272149}, doi = {10.1109/ISCAS.2012.6272149}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuN12, author = {Xiaohua Yu and Nathan M. Neihart}, title = {Design and characterization of symmetric multi-tap transformers}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {954--957}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272203}, doi = {10.1109/ISCAS.2012.6272203}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuQCL12, author = {Hang Yu and Xinyuan Qian and Shoushun Chen and Kay Soon Low}, title = {A Time-Delay-Integration {CMOS} image sensor with pipelined charge transfer architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1624--1627}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271566}, doi = {10.1109/ISCAS.2012.6271566}, timestamp = {Mon, 26 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuQCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuenLW12, author = {Ching{-}Hung Yuen and Oi{-}Yan Lui and Kwok{-}Wo Wong}, title = {Application of chaotic maps for simultaneous lossy image compression and encryption}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {393--396}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272045}, doi = {10.1109/ISCAS.2012.6272045}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuenLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YukawaTYY12, author = {Masahiro Yukawa and Yuta Tawara and Masao Yamagishi and Isao Yamada}, title = {Sparsity-aware adaptive filters based on {\(\mathscr{l}\)}p-norm inspired soft-thresholding technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2749--2752}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271878}, doi = {10.1109/ISCAS.2012.6271878}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YukawaTYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YunG12, author = {Tie Yun and Ling Guan}, title = {Human emotion recognition using a deformable 3D facial expression model}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1115--1118}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271426}, doi = {10.1109/ISCAS.2012.6271426}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YunG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZahabiAO12, author = {Ali Zahabi and Muhammad Anis and Maurits Ortmanns}, title = {2.4GHz super-regeneration amplifier with degenerative quenching technique for RF-pulse width transceiver}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2147--2150}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271712}, doi = {10.1109/ISCAS.2012.6271712}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZahabiAO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZahabiJBAO12, author = {Ali Zahabi and Farabi Ibne Jamal and Joachim Becker and Muhammad Anis and Maurits Ortmanns}, title = {Digitally-switched resonators for bandpass integrated transmission line {\(\Sigma\)}{\(\Delta\)} modulators}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {297--300}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271941}, doi = {10.1109/ISCAS.2012.6271941}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZahabiJBAO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zargaran-YazdM12, author = {Arash Zargaran{-}Yazd and Shahriar Mirabbasi}, title = {A 25 Gb/s full-rate {CDR} circuit based on quadrature phase generation in data path}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {317--320}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271995}, doi = {10.1109/ISCAS.2012.6271995}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Zargaran-YazdM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZarghamG12, author = {Meysam Zargham and P. Glenn Gulak}, title = {High-efficiency {CMOS} rectifier for fully integrated mW wireless power transfer}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2869--2872}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271912}, doi = {10.1109/ISCAS.2012.6271912}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZarghamG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZarghamG12a, author = {Meysam Zargham and P. Glenn Gulak}, title = {Fully-integrated, power-efficient regulator and bandgap circuits for wireless-powered biomedical applications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2873--2876}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271913}, doi = {10.1109/ISCAS.2012.6271913}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZarghamG12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengW12, author = {Ming{-}Jhe Zeng and Ro{-}Min Weng}, title = {A 0.8V 4.3mW sub-harmonic mixer for ultra-wideband systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1927--1930}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271650}, doi = {10.1109/ISCAS.2012.6271650}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengZ12, author = {Zhigang Zeng and Wei Xing Zheng}, title = {A study of exponential stability of multiple equilibria in delayed recurrent neural networks}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2083--2086}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271693}, doi = {10.1109/ISCAS.2012.6271693}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangC12, author = {Xiangyu Zhang and Shoushun Chen}, title = {A hybrid-readout and dynamic-resolution motion detection image sensor for object tracking}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1628--1631}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271567}, doi = {10.1109/ISCAS.2012.6271567}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangC12a, author = {Li Zhang and Chip{-}Hong Chang}, title = {State encoding watermarking for field authentication of sequential circuit intellectual property}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3013--3016}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271953}, doi = {10.1109/ISCAS.2012.6271953}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCNL12, author = {Qian Zhang and Chunhui Cui and King Ngi Ngan and Yu Liu}, title = {Depth estimation and view synthesis for narrow-baseline video}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1883--1886}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271638}, doi = {10.1109/ISCAS.2012.6271638}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCNL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCS12, author = {Xinmiao Zhang and Fang Cai and Richard Shi}, title = {Low-power {LDPC} decoding based on iteration prediction}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3041--3044}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271960}, doi = {10.1109/ISCAS.2012.6271960}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangFNW12, author = {Y. Zhang and N. H. W. Fong and David C. W. Ng and Ngai Wong}, title = {Co-simulation of {RFIC} with bondwire antenna via retarded {PEEC} method}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {229--232}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271751}, doi = {10.1109/ISCAS.2012.6271751}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangFNW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangHWFL12, author = {Weifeng Zhang and Jiwei Huang and Riyan Wang and Fang Min and Zhengping Li}, title = {A 127mW SAW-less {LTE} transmitter with LC-load bootstrapped quadrature voltage modulator in 130nm {RFCMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2135--2138}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271709}, doi = {10.1109/ISCAS.2012.6271709}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangHWFL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangL12, author = {Guanglei Zhang and Kye{-}Shin Lee}, title = {{SAR} {ADC} using single-capacitor pulse width to analog converter based {DAC}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2365--2368}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271771}, doi = {10.1109/ISCAS.2012.6271771}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLCZW12, author = {Xu Zhang and Ming Liu and Hong Chen and Chun Zhang and Zhihua Wang}, title = {A wide dynamic range and fast update rate integrated interface for capacitive sensors array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2961--2964}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271938}, doi = {10.1109/ISCAS.2012.6271938}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLMD12, author = {Ming Zhang and Nicolas Llaser and Herv{\'{e}} Mathias and Antoine Dupret}, title = {Design and optimization of two motion detection circuits for video monitoring system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1907--1910}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271645}, doi = {10.1109/ISCAS.2012.6271645}, timestamp = {Tue, 04 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLO12, author = {Chenxin Zhang and Liang Liu and Viktor {\"{O}}wall}, title = {Mapping channel estimation and {MIMO} detection in LTE-advanced on a reconfigurable cell array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1799--1802}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271615}, doi = {10.1109/ISCAS.2012.6271615}, timestamp = {Wed, 29 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLSWLZ12, author = {Yihao Zhang and Weiyao Lin and Bin Sheng and Jianxin Wu and Hongxiang Li and Chongyang Zhang}, title = {Facial expression mapping based on elastic and muscle-distribution-based models}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2685--2688}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271860}, doi = {10.1109/ISCAS.2012.6271860}, timestamp = {Mon, 19 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLSWLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangM12, author = {Xi Zhang and Hiroaki Morihara}, title = {Design of Q-shift filters with flat group delay}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2337--2340}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271764}, doi = {10.1109/ISCAS.2012.6271764}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangRW12, author = {Wei Zhang and Woogeun Rhee and Zhihua Wang}, title = {A {\(\Delta\)}{\(\Sigma\)} {IR-UWB} radar with sub-mm ranging capability for human body monitoring systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1315--1318}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271482}, doi = {10.1109/ISCAS.2012.6271482}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangRW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangS12, author = {Chuan Zhang and Jin Sha}, title = {Efficient network for non-binary {QC-LDPC} decoder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2617--2620}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271842}, doi = {10.1109/ISCAS.2012.6271842}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangSLG12, author = {Wenyao Zhang and Jun Sun and Jiaying Liu and Zongming Guo}, title = {Optimized bit extraction of {SVC} exploiting linear error model}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1887--1890}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271639}, doi = {10.1109/ISCAS.2012.6271639}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangSLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWH12, author = {Ye Zhang and Ralf Wunderlich and Stefan Heinen}, title = {An ultra low power frequency synthesizer based on multiphase fractional frequency divider}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2589--2592}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271834}, doi = {10.1109/ISCAS.2012.6271834}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWTC12, author = {Wei Zhang and Siu Chung Wong and Chi Kong Tse and Qianhong Chen}, title = {Compensation technique for optimized efficiency and voltage controllability of {IPT} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {225--228}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271740}, doi = {10.1109/ISCAS.2012.6271740}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangXC12, author = {Yongsheng Zhang and Hongkai Xiong and Chang Wen Chen}, title = {A novel Slepian-Wolf decoding algorithm exploiting geometric regularity constraints with anisotropic {MRF} modeling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {137--140}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271497}, doi = {10.1109/ISCAS.2012.6271497}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangXC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYA12, author = {Meilin Zhang and Qiaoyan Yu and Paul Ampadu}, title = {Fine-grained splitting methods to address permanent errors in Network-on-Chip links}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2717--2720}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271869}, doi = {10.1109/ISCAS.2012.6271869}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZ12, author = {Baoyong Zhang and Wei Xing Zheng}, title = {Design of robust H\({}^{\mbox{{\(\infty\)}}}\) Filters for markovian jump systems with time-varying delays and parametric uncertainties}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {794--797}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272159}, doi = {10.1109/ISCAS.2012.6272159}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZXMZ12, author = {Jian Zhang and Chen Zhao and Ruiqin Xiong and Siwei Ma and Debin Zhao}, title = {Image super-resolution via dual-dictionary learning and sparse representation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1688--1691}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271583}, doi = {10.1109/ISCAS.2012.6271583}, timestamp = {Tue, 07 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZXMZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoC12, author = {Bo Zhao and Shoushun Chen}, title = {Live demonstration: {A} real-time moving object localization and extraction system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {701}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272130}, doi = {10.1109/ISCAS.2012.6272130}, timestamp = {Tue, 23 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoGC12, author = {Chen Zhao and Randall L. Geiger and Degang Chen}, title = {A compact low-power supply-insensitive {CMOS} current reference}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2825--2828}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271899}, doi = {10.1109/ISCAS.2012.6271899}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoQKCC12, author = {Weisheng Zhao and Damien Querlioz and Jacques{-}Olivier Klein and Djaafar Chabi and Claude Chappert}, title = {Nanodevice-based novel computing paradigms and the neuromorphic approach}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2509--2512}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271812}, doi = {10.1109/ISCAS.2012.6271812}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoQKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoSD12, author = {Duan Zhao and Wouter A. Serdijn and Guido Dolmans}, title = {Subsampling based Software Defined Radio with jitter compensation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {826--829}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272168}, doi = {10.1109/ISCAS.2012.6272168}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoYW12, author = {Bo Zhao and Huazhong Yang and Hui Wang}, title = {A low-power fast-settling bond-wire frequency synthesizer with a dynamic-bandwidth scheme}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1367--1370}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271496}, doi = {10.1109/ISCAS.2012.6271496}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengLWZ12, author = {Xuqiang Zheng and Fule Li and Xuan Wang and Chun Zhang}, title = {A current-to-voltage integrator using area-efficient correlated double sampling technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2167--2170}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271717}, doi = {10.1109/ISCAS.2012.6271717}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengLWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengPYH12, author = {Ning Zheng and Yun Pan and Xiaolang Yan and Ruohong Huan}, title = {Weight sorting based scheme and architecture for distributed particle filters}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1472--1475}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271525}, doi = {10.1109/ISCAS.2012.6271525}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengPYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhongFZ12, author = {Huibo Zhong and Yibo Fan and Xiaoyang Zeng}, title = {A parallel {CAVLC} design for 4096{\texttimes}2160p encoder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1432--1435}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271514}, doi = {10.1109/ISCAS.2012.6271514}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhongFZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouJZYZ12, author = {Liyang Zhou and Ming{-}e Jing and Liulin Zhong and Zhiyi Yu and Xiaoyang Zeng}, title = {Task-binding based branch-and-bound algorithm for NoC mapping}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {648--651}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272115}, doi = {10.1109/ISCAS.2012.6272115}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouJZYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouZX12, author = {Xiaoyan Zhou and Wenming Zheng and Minghai Xin}, title = {Improving {CCA} via spectral components selection for facial expression recognition}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1696--1699}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271586}, doi = {10.1109/ISCAS.2012.6271586}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouZX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuL12, author = {Zhiwen Zhu and Henry Leung}, title = {Channel equalization and timing recovery technique for chaotic communications systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {624--627}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272109}, doi = {10.1109/ISCAS.2012.6272109}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuMZL12, author = {Lin Zhu and Yongtao Ma and Qijun Zhang and Kaihua Liu}, title = {An enhanced Neuro-Space mapping method for nonlinear microwave device modeling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2087--2090}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271694}, doi = {10.1109/ISCAS.2012.6271694}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuMZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuS12, author = {Hongbo Zhu and Tadashi Shibata}, title = {A real-time motion-feature-extraction image processor employing digital-pixel-sensor-based parallel architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1612--1615}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271563}, doi = {10.1109/ISCAS.2012.6271563}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuZLCH12, author = {Pengfei Zhu and Chun Zhang and Hua Li and Ray C. C. Cheung and Bryan Hu}, title = {An FPGA-based acceleration platform for auction algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271395}, doi = {10.1109/ISCAS.2012.6271395}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuZLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZjajoML12, author = {Amir Zjajo and Nick van der Meijs and Ren{\'{e}} van Leuken}, title = {A 11 {\(\mathrm{\mu}\)}W 0{\textdegree}C-160{\textdegree}C temperature sensor in 90 nm {CMOS} for adaptive thermal monitoring of {VLSI} circuits}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2007--2010}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271672}, doi = {10.1109/ISCAS.2012.6271672}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZjajoML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZornWBOM12, author = {Christoph Zorn and Christian Widemann and Timon Br{\"{u}}ckner and Maurits Ortmanns and Wolfgang Mathis}, title = {Peaking reduced {STF} design for {CT} {\(\Sigma\)}{\(\Delta\)} modulators with selective pole compensation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3134--3137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271986}, doi = {10.1109/ISCAS.2012.6271986}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZornWBOM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZwolakV12, author = {Michael Zwolak and Massimiliano Di Ventra}, title = {{DNA} sequencing via electron tunneling}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2295--2298}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271753}, doi = {10.1109/ISCAS.2012.6271753}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZwolakV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2012, title = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6257548/proceeding}, isbn = {978-1-4673-0218-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.