default search action
ISCAS 2017: Baltimore, MD, USA
- IEEE International Symposium on Circuits and Systems, ISCAS 2017, Baltimore, MD, USA, May 28-31, 2017. IEEE 2017, ISBN 978-1-4673-6853-7
- Jeffrey Abbott, Tianyang Ye, Ling Qin, Marsela Jorgolli, Rona Gertner, Donhee Ham, Hongkun Park:
CMOS-nano-bio interface array for cardiac and neuro technology. 1 - Jonas Handwerker, Marlon Perez-Rodas, Maurits Ortmanns, Klaus Scheffler, Jens Anders:
Towards CMOS-based in-vivo NMR spectroscopy and microscopy. 1-4 - Mohammed Al-Rawhani, Boon Chong Cheah, Christos Giagkoulovits, Abdul Shakoor, Bence Nagy, James Beeley, David R. S. Cumming:
Wide-range optical CMOS-based diagnostics. 1-4 - Urs Frey, Marie Engelene J. Obien, Jan Mueller, Andreas Hierlemann:
Technology trends and commercialization of high-density microelectrode arrays for advanced in-vitro electrophysiology. 1 - Lorenz K. Müller, Manu V. Nair, Giacomo Indiveri:
Randomized unregulated step descent for limited precision synaptic elements. 1-4 - Charlotte Frenkel, Giacomo Indiveri, Jean-Didier Legat, David Bol:
A fully-synthesized 20-gate digital spike-based synapse with embedded online learning. 1-4 - Geoffrey W. Burr, Pritish Narayanan, Robert M. Shelby, Stefano Ambrogio, Hsinyu Tsai, Scott L. Lewis, Kohji Hosokawa:
Neuromorphic devices and architectures for next-generation cognitive computing. 1-4 - Mathias Soeken, Pierre-Emmanuel Gaillardon, Giovanni De Micheli:
RM3 based logic synthesis (Special session paper). 1-4 - Ari Paasio:
Local memory and logic arrangement for ultra-low power array processors. 1-4 - Raga Lasya Munagala, U. K. Vijay:
A novel 3-tap adaptive feed forward equalizer for high speed wireline receivers. 1-4 - Liangxiao Tang, Weixin Gai, Linqi Shi, Xiao Xiang:
A 40 Gb/s 74.9 mW PAM4 receiver with novel clock and data recovery. 1-4 - Hugo Daniel Hernández, Dionisio Carvalho, Bruno Sanches, Lucas C. Severo, Wilhelmus A. M. Van Noije:
Current mode 1.2-Gbps SLVS transceiver for readout front-end ASIC. 1-4 - Michele Dei, Jordi Sacristán, Eloi Marigó, Mohanraj Soundara, Lluís Terés, Francisco Serra-Graells:
A 10-bit linearity current-controlled ring oscillator with rolling regulation for smart sensing. 1-4 - Meng Zhao, Zhongjian Chen, Zhaofeng Huang, Guangyi Chen, Wengao Lu, Yacong Zhang:
A low-noise fully-differential open-loop interface for high-G capacitive micro-accelerometers with 112.2 dB dynamic range. 1-4 - Wai Lee:
3D machine vision in IoT for factory and building automation (Invited). 1 - Prashant Dubey, Kritika Aditya, Ankur Srivastava, Amit Khanuja, Jamil Kawa, Thu Nguyen:
A 0.42V high bandwidth synthesizable parallel access smart memory fabric for computer vision. 1-4 - Rashedul Hasan, Shahed K. Mohammed, Alimul Haque Khan, Khan A. Wahid:
A color frame reproduction technique for IoT-based video surveillance application. 1-4 - Shih-Ting Lin, Yuan-Hsin Liao, Yu Tsao, Shao-Yi Chien:
Object-based on-line video summarization for internet of video things. 1-4 - Satyajit Das, Davide Rossi, Kevin J. M. Martin, Philippe Coussy, Luca Benini:
A 142MOPS/mW integrated programmable array accelerator for smart visual processing. 1-4 - Chandrajit Pal, Dwaipayan Biswas, Koushik Maharatna, Amlan Chakrabarti:
Architecture for complex network measures of brain connectivity. 1-4 - Amirhossein Esmaili Dastjerdi, Mohammad Kachuee, Mahdi Shabany:
Non-invasive blood pressure estimation using phonocardiogram. 1-4 - Oscar Barajas, Amir Tofighi Zavareh, Sebastian Hoyos:
Towards an on-chip signal processing solution for the online calibration of SS-OCT systems. 1-4 - Dongyun Lin, Zhiping Lin, Ramraj Velmurugan, Raimund J. Ober:
Automatic endosomal structure detection and localization in fluorescence microscopic images. 1-4 - Dongyun Lin, Zhiping Lin, Lei Sun, Kar-Ann Toh, Jiuwen Cao:
LLC encoded BoW features and softmax regression for microscopic image classification. 1-4 - Yongzhen Chen, Jingjing Wang, Hang Hu, Fan Ye, Junyan Ren:
A 200MS/s, 11 bit SAR-assisted pipeline ADC with bias-enhanced ring amplifier. 1-4 - Sen Tao, Naveen Verma, Ryan M. Corey, Andrew C. Singer:
A 10-b statistical ADC employing pipelining and sub-ranging in 32nm CMOS. 1-4 - Alexandre Mas, Eric Andre, Caroline Lelandais-Perrault, Filipe Vinci dos Santos, Philippe Bénabès:
Analog bandwidth mismatch compensation for time-interleaved ADCs using FD-SOI technology. 1-4 - Adrian Leuciuc:
Sampling time calibration method for multi-channel interleaved ADCs. 1-4 - Saqib Mohamad, Chao Wu, Jie Yuan, Amine Bermak:
A power minimized 74 fJ/conversion-step 88.6 dB SNR incremental ΣΔ ADC with an asynchronous SAR quantizer. 1-4 - Faizan Ul Haq, Mikko Englund, Kari Stadius, Marko Kosunen, Jussi Ryynänen, Kimmo Koli, Kim B. Ostman:
A wideband blocker-resilient direct ΔΣ receiver with selective input-impedance matching. 1-4 - Ching-Da Wu, Jian-Yu Hsieh, Chun-Han Wu, Yang-Sheng Cheng, Chun-Chang Wu, Shey-Shi Lu:
An 1.1 V 0.1-1.6 GHz tunable-bandwidth elliptic filter with 6 dB linearity improvement by precise zero location control in 40 nm CMOS technology for 5G applications. 1-4 - Ryo Shirai, Jin Kono, Tetsuya Hirose, Masanori Hashimoto:
Near-field dual-use antenna for magnetic-field based communication and electrical-field based distance sensing in mm3-class sensor node. 1-4 - Oscar Castañeda, Tom Goldstein, Christoph Studer:
FPGA design of low-complexity joint channel estimation and data detection for large SIMO wireless systems. 1-4 - Jinbo Li, Qun Jane Gu:
A low-noise cartesian error feedback architecture. 1-4 - Md Farhadur Reza, Dan Zhao, Magdy A. Bayoumi:
Dark silicon-power-thermal aware runtime mapping and configuration in heterogeneous many-core NoC. 1-4 - Setareh Behroozi, Iraklis Anagnostopoulos:
Application resource management for exploitation of non-volatile memory in many-core systems. 1-4 - Luciano L. Caimi, Vinicius Fochi, Eduardo Wächter, Daniel Munhoz, Fernando Gehm Moraes:
Activation of secure zones in many-core systems with dynamic rerouting. 1-4 - Marcelo Ruaro, Fernando Gehm Moraes:
Demystifying the cost of task migration in distributed memory many-core systems. 1-4 - Rongdi Sun, Peilin Liu, Jun Wang, Zunquan Zhou:
A low latency feature extraction accelerator with reduced internal memory. 1-4 - Pavel Arnaudov, Tokunbo Ogunfunmi:
A CAM enabled fast video motion estimation based on locality sensitive signatures. 1-4 - Falei Luo, Shanshe Wang, Siwei Ma, Nan Zhang, Yun Zhou, Wen Gao:
Fast intra coding unit size decision for HEVC with GPU based keypoint detection. 1-4 - Tsz-Kwan Lee, Yui-Lam Chan, Wan-Chi Siu:
Depth-projected determination for adaptive search range in motion estimation for HEVC. 1-4 - Jian-Bin Zhou, Dajiang Zhou, Li Guo, Takeshi Yoshimura, Satoshi Goto:
Measurement-domain intra prediction framework for compressively sensed images. 1-4 - Heming Sun, Zhengxue Cheng, Amir Masoud Gharehbaghi, Shinji Kimura, Masahiro Fujita:
A low-cost approximate 32-point transform architecture. 1-4 - Lucia Seminara, Marta Franceschi, Luigi Pinna, Ali Ibrahim, Maurizio Valle, Strahinja Dosen, Dario Farina:
Electronic skin and electrocutaneous stimulation to restore the sense of touch in hand prosthetics. 1-4 - Hua Fan, Hadi Heidari, Franco Maloberti, Dagang Li, Daqian Hu, Yuanjun Cen:
High resolution and linearity enhanced SAR ADC for wearable sensing systems. 1-4 - Pinar Basak Basyurt, Edoardo Bonizzoni, Franco Maloberti, Devrim Yilmaz Aksin:
A low-power low-noise CMOS voltage reference with improved PSR for wearable sensor systems. 1-4 - Tiffany Moy, Warren Rieutort-Louis, Liechao Huang, Sigurd Wagner, James C. Sturm, Naveen Verma:
Information-processing-driven interfaces in hybrid large-area electronics systems. 1-4 - W. Kenneth Jenkins, Michael A. Soderstrand:
A historical overview of Dr. Sanjit Mitra's academic, research and professional activities. 1-4 - Jayanta Mukhopadhyay:
Filtering and enhancement of color images in the block DCT domain. 1-4 - Phillip A. Regalia:
On secure communications without eavesdropper channel state. 1-4 - Yujia Wang, Truong Nguyen:
Photonic allpass filter: A versatile building block for all-optical signal processing. 1-4 - Bruno U. Pedroni, Sadique Sheik, Gert Cauwenberghs:
Pipelined parallel contrastive divergence for continuous generative model learning. 1-4 - Shouyi Yin, Dajiang Liu, Lifeng Sun, Leibo Liu, Shaojun Wei:
DFGNet: Mapping dataflow graph onto CGRA by a deep learning approach. 1-4 - Chang Shu, Hongsheng Liu, Fanruo Meng:
Optimizing deep neural network structure for face recognition. 1-4 - Alfredo Canziani, Eugenio Culurciello, Adam Paszke:
Evaluation of neural network architectures for embedded systems. 1-4 - Danielle Griffith, Per Torstein Røine, Torjus Kallerud, Brian Goodlin, Zachary Hughes, Ernest Ting-Ta Yen:
A ±10ppm -40 to 125°C BAW-based frequency reference system for crystal-less wireless sensor nodes. 1-4 - David E. Bellasi, Philipp Schönle, Qiuting Huang, Luca Benini:
A wide tuning-range ADFLL for mW-SoCs with dithering-enhanced accuracy in 65 nm CMOS. 1-4 - Tuan Minh Vo, Carlo Samori, Andrea L. Lacaita, Salvatore Levantino:
A novel segmentation scheme for DTC-based ΔΣ fractional-N PLL. 1-4 - Seung-Hun Shin, Pil-Ho Lee, Jin-Woo Park, Yu-Jeong Hwang, Young-Chan Jang:
0.5 kHz-32 MHz digital fractional-N frequency synthesizer with burst-frequency switch. 1-4 - Maoqiang Liu, Arthur H. M. van Roermund, Pieter Harpe:
A 0.9V-VDD sub-nW resistor-less duty-cycled CMOS voltage reference in 65nm for IoT. 1-4 - Ruocheng Wang, Wengao Lu, Yuze Niu, Zhaokai Liu, Meng Zhao, Yacong Zhang, Zhongjian Chen:
A2.1-ppm/°C current-mode CMOS bandgap reference with piecewise curvature compensation. 1-4 - David Cordova, Arthur Campos de Oliveira, Pedro Toledo, Hamilton Klimach, Sergio Bampi, Eric E. Fabris:
A sub-1 V, nanopower, ZTC based zero-VT temperature-compensated current reference. 1-4 - Alex Dilello, Steven Andryzcik, Brandon M. Kelly, Brandon Rumberg, David W. Graham:
Temperature compensation of floating-gate transistors in field-programmable analog arrays. 1-4 - Uldric A. Antao, John Choma Ming Hsieh, Theodore W. Berger:
A 9-nW on-chip constant subthreshold CMOS transconductance bias with fine-tuning. 1-4 - David G. Stork, Thomas Vogelsang, James Tringali, Patrick R. Gill, Mark Kellam, Evan Erickson:
Reducing electrical power dissipation in computational imaging systems through special-purpose optics. 1-4 - Dean Scribner, Thomas Petty, Peter Mui:
Neuromorphic readout integrated circuits and related spike-based image processing. 1-4 - Charbel G. Rizk, Francisco Tejada, John Hughes, David Barbehenn, Philippe O. Pouliquen, Andreas G. Andreou:
Characterization of RTN noise in the analog front-end of digital pixel imagers. 1-4 - Min Liu, Tobi Delbrück:
Block-matching optical flow for dynamic vision sensors: Algorithm and FPGA implementation. 1-4 - Anup Mohan, Kent Gauen, Yung-Hsiang Lu, Wei Wayne Li, Xuemin Chen:
Internet of video things in 2030: A world with many cameras. 1-4 - Shao-Wen Yang, Omesh Tickoo, Yen-Kuang Chen:
A framework for visual fog computing. 1-4 - Ioannis Galanis, Daniel Olsen, Iraklis Anagnostopoulos:
A multi-agent based system for run-time distributed resource management. 1-4 - Yueh-Ying Lee, Pin-Hung Kuo, Chia-Han Lee, Yen-Kuang Chen, Shao-Yi Chien:
Distributed video codec with spatiotemporal side information. 1-4 - Pascal Alexander Hager, Christoph Risser, Peter-Karl Weber, Luca Benini:
LightProbe: A 64-channel programmable ultrasound transducer head with an integrated front-end and a 26.4 Gb/s optical link. 1-4 - Yu-Jin Lin, Shuenn-Yuh Lee:
A microstimulator with parameter adjustment for bladder dysfunction. 1-4 - Hossein Zamani, Hamid Bahrami, Paul A. Garris, Pedram Mohseni:
On the use of compressive sensing (CS) for brain dopamine recording with fast-scan cyclic voltammetry (FSCV). 1-4 - Evrim Acar, Yuri Levin-Schwartz, Vince D. Calhoun, Tülay Adali:
Tensor-based fusion of EEG and FMRI to understand neurological changes in schizophrenia. 1-4 - Xinyuan Ge, Tsz Ngai Lin, Jie Yuan:
A power-area-efficient impedance sensor design for 10 × 10 microelectrode array sensing. 1-4 - Chung-Wei Hsu, Li-Jen Chang, Chun-Po Huang, Soon-Jyh Chang:
A 12-bit 40-MS/s calibration-free SAR ADC. 1-4 - Quentin Sauve, Damien Favre, Gabriel Morin-Laporte, Mohammad Taherzadeh-Sani, Nicolas Constantin, Frederic Nabki:
A calibration-free 13-bit 0.9 V differential SAR-ADC with hybrid DAC and dithering. 1-4 - Armia Salib, Barry Cardiff, Mark F. Flanagan:
A low-complexity correlation-based time skew estimation technique for time-interleaved SAR ADCs. 1-4 - Kai-Ting Shr, Chieh-Yu Chen, Jin-Wei Jhang, Yuan-Hao Huang:
Power-aware space-time-trellis-coded MIMO detector with SNR estimation and state-purging. 1-4 - Shahriar Shahabuddin, Markku J. Juntti, Christoph Studer:
ADMM-based infinity norm detection for large MU-MIMO: Algorithm and VLSI architecture. 1-4 - Rakesh Gangarajaiah, Hemanth Prabhu, Ove Edfors, Liang Liu:
A Cholesky decomposition based massive MIMO uplink detector with adaptive interpolation. 1-4 - Chun-Hun Wu, Chin-Yi Liu, Pei-Yun Tsai:
Design of an SVD engine for 8×8 MIMO precoding systems. 1-4 - Shusen Jing, Junmei Yang, Zhongfeng Wang, Xiaohu You, Chuan Zhang:
Algorithm and architecture for joint detection and decoding for MIMO with LDPC codes. 1-4 - Sandhya Koteshwara, Amitabh Das, Keshab K. Parhi:
FPGA implementation and comparison of AES-GCM and Deoxys authenticated encryption schemes. 1-4 - Vinay Vashishtha, Manoj Vangala, Parv Sharma, Lawrence T. Clark:
Robust 7-nm SRAM design on a predictive PDK. 1-4 - Muluken Hailesellasie, Syed Rafay Hasan:
A fast FPGA-based deep convolutional neural network using pseudo parallel memories. 1-4 - Narasinga Rao Miniskar, Raj Narayana Gadde, Young-chul Rams Cho, Sukjin Kim:
Fast cycle-accurate compile based simulator for reconfigurable processor. 1-4 - Sandhya Koteshwara, Chris H. Kim, Keshab K. Parhi:
Hierarchical functional obfuscation of integratec circuits using a mode-based approach. 1-4 - Caoyang Jiang, Saeid Nooshabadi:
H.265/HEVC encoder optimization with parallel-efficient algorithm and QP-based early termination. 1-4 - Li Hu, Jiawei Gu, Guanghui He, Weifeng He:
A hardware-friendly hierarchical HEVC motion estimation algorithm for UHD applications. 1-4 - Vili Viitamäki, Panu Sjovall, Jarno Vanne, Timo D. Hämäläinen:
High-level synthesized 2-D IDCT/IDST implementation for HEVC codecs on FPGA. 1-4 - Qing Zhang, Lu Yu:
A higher order transform domain filter exploiting non-local spatial correlation for video coding. 1-4 - Moaaz Ahmed, Farid Boussaïd, Amine Bermak:
An ultra low-power capacitively-coupled chopper instrumentation amplifier for wheatstone-bridge readout circuits. 1-4 - Moo Sung Chae, Tom Wilson, Eric Naviasky:
Multi-standard low-power DDR I/O circuit design in 7nm CMOS process. 1-4 - Sebastian Nessler, Maximilian Marx, Yiannos Manoli:
A self-test on wafer level for a MEM gyroscope readout based on ΔΣ modulation. 1-4 - Yuming Zhuang, Degang Chen:
Accurate spectral testing of the signals with amplitude drift. 1-4 - Sihwan Kim, Sahil Shah, Jennifer Hasler:
Floating-gate FPAA calibration for analog system design and built-in self test. 1-4 - Henrique S. Malvar:
Tidbits on tunable analog filters and image demosaicing. 1-4 - Antonio Petraglia, Mariane R. Petraglia, Manoel C. A. Perez:
Second-order analog filter sections with independently tunable center frequency and bandwidth. 1-4 - Alessandro Neri, Federica Battisti, Federico Colangelo, Marco Carli:
Unsupervised video orchestration based on aesthetic features. 1-4 - Jacques Szczupak, Leontina Pinto, Gabriel Torres:
Signal processing and climate understanding. 1-4 - Anamitra Makur:
Tunable FIR digital filters using FIR approximation of spectral transformation. 1-4 - Bryan P. Dawson, Jamie K. Infantolino, Manuel M. Vindiola, John V. Monaco:
Tightly integrated deep learning and symbolic programming on a single neuromorphic chip. 1-4 - Amr Suleiman, Yu-Hsin Chen, Joel S. Emer, Vivienne Sze:
Towards closing the energy gap between HOG and CNN features for embedded vision (Invited paper). 1-4 - Adwaya Kulkarni, Tahmid Abtahi, Colin Shea, Amey M. Kulkarni, Tinoosh Mohsenin:
PACENet: Energy efficient acceleration for convolutional network on embedded platform. 1-4 - Bita Darvish Rouhani, Azalia Mirhoseini, Farinaz Koushanfar:
TinyDL: Just-in-time deep learning solution for constrained embedded systems. 1-4 - Yufei Ma, Minkyu Kim, Yu Cao, Sarma B. K. Vrudhula, Jae-sun Seo:
End-to-end scalable FPGA accelerator for deep residual networks. 1-4 - Gabriel Gagnon-Turcotte, Leonard L. Gagnon, Guillaume Bilodeau, Benoit Gosselin:
Wireless brain computer interfaces enabling synchronized optogenetics and electrophysiology. 1-4 - Ali Jafari, Sunil Gandhi, Sri Harsha Konuru, W. David Hairston, Tim Oates, Tinoosh Mohsenin:
An EEG artifact identification embedded system using ICA and multi-instance learning. 1-4 - Michael W. Nonte, Joseph K. Conroy, Peter Gadfort, William D. Hairston:
Online adaptive data acquisition enabling ultra-low power real-world EEG. 1-4 - Siddharth Kohli, Alexander J. Casson:
Towards signal processing assisted hardware for continuous in-band electrode impedance monitoring (Invited paper). 1-4 - Hiroki Asano, Tetsuya Hirose, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa:
An area-efficient, 0.022-mm2, fully integrated resistor-less relaxation oscillator for ultra-low power real-time clock applications. 1-4 - Jianfu Lin, Hanjun Jiang, Baoyong Chi:
A 5-bit phase-interpolator-based fractional-N frequency divider for digital phase-locked loops. 1-4 - Fabian Olivera, Antonio Petraglia:
Analytic modeling of static noise margin considering DIBL and body bias effects. 1-4 - Missael Garcia, Mohamed Zayed, Kyoung-mi Park, Viktor Gruev:
A 1600 by 1200, 300 mW, 40 fps multi-spectral imager for near-infrared fluorescence image-guided surgery. 1-4 - Jieyun Zhang, Wenbin Ye, Ashfaq Ahmed, Zhurui Qiu, Yuan Cao, Xiaojin Zhao:
A novel smoothness-based interpolation algorithm for division of focal plane Polarimeters. 1-4 - Raffaele Capoccia, Assim Boukhayma, Christian C. Enz:
Analysis of CMS noise reduction for 65 nm CIS. 1-4 - Maik Beer, Olaf Schrey, Bedrich J. Hosticka, Rainer Kokozinski:
Dead time effects in the indirect time-of-flight measurement with SPADs. 1-4 - Tutu Wan, Yasha Karimi, Milutin Stanacevic, Emre Salman:
Energy efficient AC computing methodology for wirelessly powered IoT devices. 1-4 - Sri Harsha Kondapalli, Xuan Zhang, Shantanu Chakrabartty:
Variance-based digital logic for energy harvesting Internet-of-Things. 1-4 - Mingze Gao, Gang Qu:
A novel approximate computing based security primitive for the Internet of Things. 1-4 - Shady O. Agwa, Eslam Yahya, Yehea Ismail:
Power efficient AES core for IoT constrained devices implemented in 130nm CMOS. 1-4 - Min Li, Dake Liu, Chen Gong, Wan Qiao:
A 3-coil simultaneous power and uplink data transmission inductive link for battery-less implantable devices. 1-4 - Edward K. F. Lee:
A rectifier/AC shunt regulator combo circuit with inherent AM demodulation front-end for wireless powered implants. 1-4 - Xilin Liu, Milin Zhang, Xiaotie Wu, Andrew G. Richardson, Solymar T. Maldonado, Sam DeLuccia, Yohannes Ghenbot, Timothy H. Lucas, Jan Van der Spiegel:
A wireless neuroprosthetic for augmenting perception through modulated electrical stimulation of somatosensory cortex. 1-4 - Leonard L. Gagnon, Gabriel Gagnon-Turcotte, Aude Popek, Aurelien Chatelier, Mohamed Chahine, Benoit Gosselin:
A wireless system for combined heart optogenetics and electrocardiography recording. 1-4 - Kerron R. Duncan, Ralph Etienne-Cummings:
A model based approach for realizing a safe wireless biotelemetry system. 1-4 - Chenming Zhang, Lucien J. Breems, Georgi I. Radulov, Muhammed Bolatkale, Qilong Liu, Hans Hegt, Arthur H. M. van Roermund:
Current-mode multi-path excess loop delay compensation for GHz sampling CT ΣΔ ADCs. 1-4 - David Fouto, Nuno Paulino:
A 3rd order MASH switched-capacitor ΣΔM using ultra incomplete settling employing an area reduction technique. 1-4 - Zhichao Tan, Roberto Maurino, Robert Adams, Khiem Nguyen:
Subtractive dithering technique for delta-sigma modulator. 1-4 - Hao Li, Jie Guo, Zhigang Wang, Houjun Wang:
An efficient parallel resampling structure based on iterated short convolution algorithm. 1-4 - Jun Chen, Benqing Guo, Fading Zhao, Yao Wang, Guangjun Wen:
A low-voltage high-swing colpitts VCO with Inherent tapped capacitors based dynamic body bias technique. 1-4 - Namik Kocaman, Michael M. Green:
Asychnronous sampling based hybrid equalizer. 1-4 - Mohammed Ehteshamuddin, Jebreel M. Salem, Dong Sam Ha:
A high temperature variable gain amplifier based on GaN HEMT devices for downhole communications. 1-4 - Hsin-Tzu Lin, Yi-Chung Wu, Ping-Hsuan Hsieh, Chia-Hsiang Yang:
Integration of energy-recycling logic and wireless power transfer for ultra-low-power implantables. 1-4 - Ali Skaf, Jean Simatic, Laurent Fesquet:
Seeking low-power synchronous/asynchronous systems: A FIR implementation case study. 1-4 - Seyed Nima Mozaffari, Spyros Tragoudas, Themistoklis Haniotakis:
Reducing power, area, and delay of threshold logic gates considering non-integer weights. 1-4 - Guangyi Lu, Yuan Wang, Yize Wang, Xing Zhang:
Power-rail ESD clamp circuit with hybrid-detection enhanced triggering in a 65-nm, 1.2-V CMOS process. 1-4 - Xinwei Gao, Haibo Deng, Yaoyao Guo, Chenchen Gu, Yongfang Shi, Anlin Gao, Licai Guo, Xunan Mao, Jing Lv:
Low-lighting video enhancement using constrained spatial-temporal model for real-time mobile communication. 1-4 - Lucas A. Thomaz, Allan F. da Silva, Eduardo A. B. da Silva, Sergio L. Netto, Hamid Krim:
Detection of abandoned objects using robust subspace recovery with intrinsic video alignment. 1-4 - Jaehan Lee, Kyung-Rae Kim, Chang-Su Kim:
Subpixel rendering without color distortions for diamond-shaped PenTile displays. 1-4 - Ion Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez:
Live demonstration: Photon counting and direct ToF camera prototype based on CMOS SPADs. 1 - Missael Garcia, Mohamed Zayed, Kyoung-mi Park, Viktor Gruev:
Live demonstration: A 1600 by 1200, 300 mW, 40 fps multi-spectral imager for near-infrared fluorescence image-guided surgery. 1 - Jithendar Anumula, Daniel Neil, Xiaoya Li, Tobi Delbrück, Shih-Chii Liu:
Live demonstration: Event-driven real-time spoken digit recognition system. 1 - Amirreza Yousefzadeh, Timothée Masquelier, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco:
Live demonstration: Hardware implementation of convolutional STDP for on-line visual feature learning. 1 - Sebastian Höppner, Yexin Yan, Bernhard Vogginger, Andreas Dixius, Johannes Partzsch, Prateek Joshi, Felix Neumärker, Stephan Hartmann, Stefan Schiefer, Stefan Scholze, Georg Ellguth, Love Cederstroem, Matthias Eberlein, Christian Mayr, Steve Temple, Luis A. Plana, Jim D. Garside, Simon Davidson, David R. Lester, Steve B. Furber:
Live demonstration: Dynamic voltage and frequency scaling for neuromorphic many-core systems. 1 - Menghan Guo, Jing Huang, Shoushun Chen:
Live demonstration: A 768 × 640 pixels 200Meps dynamic vision sensor. 1 - Ioannis Messaris, Spyridon Nikolaidis, Alexandru Serb, Spyros Stathopoulos, Isha Gupta, Ali Khiat, Themistoklis Prodromakis:
Live demonstration: A TiO2 ReRAM parameter extraction method. 1 - Radu Berdan, Alexantrou Serb, Christos Papavassiliou, Themis Prodromakis:
Live demonstration: MNET: A visually rich memristor crossbar simulator. 1 - Diogo Brito, João Santos, Jorge R. Fernandes, Gonçalo Tavares:
Live demonstration: A pulsar signal receiver system for navigation. 1 - John MacKay, Ahana Gangopadhyay, Shantanu Chakrabartty:
FPGA demonstration of spiking support vector networks based on growth transform neurons. 1 - Kodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid:
Live demonstration: Feature extraction system using restricted Boltzmann machines on FPGA. 1 - Iulia-Alexandra Lungu, Federico Corradi, Tobi Delbrück:
Live demonstration: Convolutional neural network driven by dynamic vision sensor playing RoShamBo. 1 - Juan Pedro Dominguez-Morales, Antonio Rios-Navarro, Daniel Gutierrez-Galan, Ricardo Tapiador-Morales, Angel Jiménez-Fernandez, Elena Cerezuela-Escudero, Manuel Domínguez-Morales, Alejandro Linares-Barranco:
Live demonstration - Multilayer spiking neural network for audio samples classification using SpiNNaker. 1 - Tao Xiong, Jie Zhang, Chetan Singh Thakur, John M. Rattray, Sang Peter Chin, Trac D. Tran, Ralph Etienne-Cummings:
Live demonstration: A compact all-CMOS spatiotemporal compressed sensing video camera. 1 - Jamal Lottier Molin, Adebayo Eisape, Ralph Etienne-Cummings:
Live demonstration: Event-based image processing on CMOS Mihalas-Niebur neuron array transceiver. 1 - Jamal Lottier Molin, John M. Rattray, Ralph Etienne-Cummings:
Live demonstration: FPGA neural array emulation for real-time, event-based simultaneous dewarping and filtering for aerial vehicles. 1 - Amirreza Yousefzadeh, Miroslaw Jablonski, Taras Iakymchuk, Alejandro Linares-Barranco, Alfredo Rosado Muñoz, Luis A. Plana, Teresa Serrano-Gotarredona, Steve B. Furber, Bernabé Linares-Barranco:
Live demonstration: Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems. 1 - Francesco Galluppi, Guillaume Chenegros, Didier Pruneau, Gilles Cordurié, Charlie Galle, Nicolas Oddo, Xavier Lagorce, Christoph Posch, Joël Chavas, Ryad Benosman:
Live demonstration: A stimulation platform for optogenetic and bionic vision restoration. 1 - Ion Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez:
Photon counting and direct ToF camera prototype based on CMOS SPADs. 1-4 - Michele Dei, Roger Figueras, Josep Maria Margarit, Lluís Terés, Francisco Serra-Graells:
Highly linear integrate-and-fire modulators with soft reset for low-power high-speed imagers. 1-4 - Diederik Paul Moeys, Cheng-Han Li, Julien N. P. Martel, Simeon A. Bamford, Luca Longinotti, Vasyl Motsnyi, David San Segundo Bello, Tobi Delbrück:
Color temporal contrast sensitivity in dynamic vision sensors. 1-4 - Mahir Kabeer Gharzai, Dingyi Hong, Joseph A. Schmitz, Michael W. Hoffman, Sina Balkir:
Real-time trajectory calculation and prediction using neighborhood-level parallel processing. 1-4 - Andrew Berkovich, Alexander Castro, Mohammad Islam, Fow-Sen Choa, Geoffrey L. Barrows, Pamela Abshire:
Dark current reduction by an adaptive CTIA photocircuit for room temperature SWIR sensing. 1-4 - Shiquan Fan, Liuming Zhao, Peng Wang, Ran Wei, Xuqiang Zheng, Zenghui Wang, Philip X.-L. Feng:
A battery-less, 255 nA quiescent current temperature sensor with voltage regulator fully powered by harvesting ambient vibrational energy. 1-4 - Yong Wang, Yan Hong, Wang Ling Goh, Kevin T. C. Chai, Xin Lou, Wen Bin Ye:
A passively compensated capacitive sensor readout with biased varactor temperature compensation and temperature coherent quantization. 1-4 - Sining Pan, Kofi A. A. Makinwa:
Optimum synchronous phase detection and its application in smart sensor interfaces. 1-4 - Khawaja Taimoor Tanweer, Syed Rafay Hasan, Awais Mehmood Kamboh:
Motion artifact reduction from PPG signals during intense exercise using filtered X-LMS. 1-4 - Saman S. Abeysekera:
An accurate method for fourier synthesis of photoplethysmographic signals. 1-4 - Zhuo Li, Xingtong Liu, Xiang Xie, Guolin Li, Songping Mai, Zhihua Wang:
An optical tracker based registration method using feedback for robot-assisted insertion surgeries. 1-4 - Shervin Minaee, Yao Wang:
Palmprint recognition using deep scattering network. 1-4 - Chang Gao, Sara S. Ghoreishizadeh, Yan Liu, Timothy G. Constandinou:
On-chip ID generation for multi-node implantable devices using SA-PUF. 1-4 - Krupa Suresh Bhavsar, Hen-Geul Yeh, Perla Ayala:
An aided information to characterize ECG signals as normal or abnormal. 1-4 - Salim Lahmiri, Mounir Boukadoum:
An accurate automatic system for distinguishing neuropathy and healthy electromyography signals. 1-4 - Sylmarie Davila-Montero, Deren Y. Barsakcioglu, Andrew Jackson, Timothy G. Constandinou, Andrew J. Mason:
Real-time clustering algorithm that adapts to dynamic changes in neural recordings. 1-4 - Maryam Shafiee, Sule Ozev:
Receiver echo cancellation with real-time self calibration for passive implanted neuron recorders. 1-4 - Dorian Haci, Yan Liu, Timothy G. Constandinou:
32-Channel ultra-low-noise arbitrary signal generation platform for biopotential emulation. 1-4 - Fan Yang, Charles C. Chiang, Xuan Zeng, Dian Zhou:
Efficient SVM-based hotspot detection using spectral clustering. 1-4 - Byung-Su Kim, Hyo-Sig Won, Tae Hee Han, Joon-Sung Yang:
Non-linear library characterization method for FinFET logic cells by L1-minimization. 1-4 - Ye Zhang, Fan Yang, Dian Zhou, Xuan Zeng, Xiangdong Hu:
A grid-based detailed routing algorithm for advanced 1D process. 1-4 - Mehdi Sadi, Sukeshwar Kannan, Luke England, Mark M. Tehranipoor:
Design of a digital IP for 3D-IC die-to-die clock synchronization. 1-4 - Stephano Machado Moreira Goncalves, Leomar S. da Rosa Jr., Felipe de Souza Marques:
A survey of path search algorithms for VLSI detailed routing. 1-4 - Oystein Bjorndal, Tor Sverre Lande:
Power-efficient, gate-based Digital-to-Time converter in CMOS. 1-4 - Abdul Hamid Bin Yousuf, Nahid M. Hossain, Masud H. Chowdhury:
Impacts of different shapes of through-silicon-via core on 3D IC performance. 1-4 - Ragh Kuttappa, Leo Filippini, Scott Lerner, Baris Taskin:
Stability of Rotary Traveling Wave Oscillators under process variations and NBTI. 1-4 - Safa Berrima, Yves Blaquière, Yvon Savaria:
A multi-measurements RO-TDC implemented in a Xilinx field programmable gate array. 1-4 - Darjn Esposito, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio G. M. Strollo:
On the use of approximate adders in carry-save multiplier-accumulators. 1-4 - Josimar Sfreddo, Rafael Fao de Moura, Michael Guilherme Jordan, Jeckson Dellagostin Souza, Antonio Carlos Schneider Beck, Mateus Beck Rutzig:
A framework to automatically generate heterogeneous organization reconfigurable multiprocessing. 1-4 - Pavan Kumar Javvaji, Spyros Tragoudas:
Efficient computation of the sensitization probability of a critical path considering process variations and path correlation. 1-4 - Satyadev Ahlawat, Darshit Vaghani, Rohini Gulve, Virendra Singh:
A low cost technique for scan chain diagnosis. 1-4 - Alexandra L. Zimpeck, Ygor Aguiar, Cristina Meinhardt, Ricardo Reis:
Robustness of Sub-22nm multigate devices against physical variability. 1-4 - Adam Watkins, Spyros Tragoudas:
METS: A multiple event transient simulator. 1-4 - Xiangdong Jia, Glenn E. R. Cowan:
A 8-Gb/s 0.256-pJ/b transceiver for 5-mm on-chip interconnects in 130-nm CMOS. 1-4 - Ja-Hoon Jin, Xuefan Jin, Sang-Hoon Kim, Ik-Hwan Kim, Jaehong Jung, Kiwon Kwon, Jung-Hoon Chun:
A 17.5-Gb/s transceiver with a MaxEye-based autonomous adaptation. 1-4 - Laura Fick, Dennis Sylvester, John W. Poulton, John M. Wilson, C. Thomas Gray:
A 25 Gb/s 470 μW active inductor equalizer for ground referenced signaling receivers. 1-4 - Mahzad Azarmehr, Arash Ahmadi, Rashid Rashidzadeh:
Secure authentication and access mechanism for IoT wireless sensors. 1-4 - Scott T. Block, Xiaonan Jiang, Brad Harris, Can Cui, Jeronimo Segovia Fernandez, Rajeevan Amirtharajah, David A. Horsley, Hooman Rashtian, Xiaoguang Leo Liu:
A 170nW CMOS wake-up receiver with -60 dBm sensitivity using AlN high-Q piezoelectric resonators. 1-4 - Tianming Feng, Jebreel M. Salem, Dong Sam Ha:
High temperature VCO based on GaN devices for downhole communications. 1-4 - Yanshu Guo, Songping Mai, Zhaoyang Weng, Heng Liu, Hanjun Jiang, Zhihua Wang:
A 9.4 pJ/bit 432 MHz 16-QAM/MSK transmitter based on edge-combining power amplifier. 1-4 - Cyro S. Hemsi, Cristiano M. Panazio:
Adaptive baseband fre-equalization for RF impedance matching correction. 1-4 - Julio Cesar Ortiz-Cornejo, Serban Bejan, Stéphane Azou, Jorge A. Pardiñas-Mir, Pascal Morel:
On envelope-tracking for SOA amplification of multicarrier signals. 1-4 - Waichiu Ng, Jie Yuan:
A 1-8 Gb/s optical wireless communication dual-mode receiver. 1-4 - Emanuele Guglielmi, Marco Carminati, Francesco Zanetto, Andrea Annoni, Francesco Morichetti, Andrea Melloni, Marco Sampietro, Giorgio Ferrari:
16-Channel modular platform for automatic control and reconfiguration of complex photonic circuits. 1-4 - Frank Herzel, Dietmar Kissinger:
Phase noise analysis of a homodyne radar system driven by a phase-locked loop. 1-4 - Chance Tarver, Mahmoud Abdelaziz, Lauri Anttila, Joseph R. Cavallaro:
Multi component carrier, sub-band DPD and GNURadio implementation. 1-4 - Ahmed Kamaleldin, Ahmed M. Soliman, Ahmed Nagy, Youssef Gamal, Ahmed Shalash, Yehea Ismail, Hassan Mostafa:
Design guidelines for the high-speed dynamic partial reconfiguration based software defined radio implementations on Xilinx Zynq FPGA. 1-4 - Jing Cui, Ruiqin Xiong, Falei Luo, Shanshe Wang, Siwei Ma:
An adaptive and low-complexity all-zero block detection for HEVC encoder. 1-4 - Ning Yan, Dong Liu, Houqiang Li, Feng Wu:
A convolutional neural network approach for half-pel interpolation in video coding. 1-4 - Hung-Cheng Chen, Tian-Sheuan Chang:
Fast rate distortion optimization with adaptive context group modeling for HEVC. 1-4 - Meng Wang, Xiaodong Xie, Hongfei Fan, Shanshe Wang, Junru Li, Shengfu Dong, Guoqing Xiang, Huizhu Jia:
Fast rate distortion optimized quantization method for HEVC. 1-4 - Gustavo Sanchez, Luciano Volcan Agostini, César A. M. Marcon:
Complexity reduction by modes reduction in RD-list for intra-frame prediction in 3D-HEVC depth maps. 1-4 - Nguyen Van Thang, Hyuk-Jae Lee:
An efficient non-selective adaptive motion compensated frame rate up conversion. 1-4 - Vladimir Afonso, Altamiro Amadeu Susin, Luan Audibert, Mário Saldanha, Ruhan A. Conceição, Marcelo Schiavon Porto, Bruno Zatt, Luciano Volcan Agostini:
Low-power and high-throughput hardware design for the 3D-HEVC depth intra skip. 1-4 - Yoko Uwate, Yoshifumi Nishio:
Synchronization in dynamical oscillatory networks with non-uniform coupling distributions. 1-4 - Zhongyan Fan, Xiaowen Bi, Doujie Li, Wallace K. S. Tang:
Multiobjective transshipment point assignment in China express delivery network. 1-4 - Jian Zhong, Jiajing Wu, Zhenhao Chen, Zibin Zheng:
Optimal design of coupling preferences to mitigate traffic congestion in interconnected networks. 1-4 - Douglas R. Frey:
A unifying perspective on phase noise and injection locking. 1-4 - Shuhan Zhang, Fan Yang, Xuan Zeng, Dian Zhou, Shun Li, Xiangdong Hu:
Efficient spectral graph sparsification via Krylov-subspace based spectral perturbation analysis. 1-4 - Lei Ding, Wei Xing Zheng:
On network-based leader-following consensus of linear multi-agent systems. 1-4 - Jing V. Wang, Nuwan Ganganath, Chi-Tsun Cheng, Chi K. Tse:
A heuristics-based VM allocation mechanism for cloud data centers. 1-4 - Kai-Yin Fok, Chi-Tsun Cheng, Chi K. Tse:
A refinement process for nozzle path planning in 3D printing. 1-4 - Mazen Soliman, Shih-Chang Hung, Jeyanandh Paramesh:
A four-antenna baseband multipath emulator for millimeter-wave channels. 1-4 - Qi Peng, Kun Wang, Xuelian Liu, Weifeng Liu, Xiaoming Li, Yiqi Zhuang:
A low power read-out circuit with frequency accuracy of 0.2% for capacitive and resistive sensors. 1-4 - Wei Mao, Yongfu Li, Chun-Huat Heng, Yong Lian:
Zero-bias true random number generator using LFSR-based scrambler. 1-4 - Dapeng Sun, Man-Kay Law, Bo Wang, Pui-In Mak, Rui Paulo Martins:
Piecewise BJT process spread compensation exploiting base recombination current. 1-4 - Zheng Wang, Yi Chen, Aakash Patil, Chip-Hong Chang, Arindam Basu:
Current mirror array: A novel lightweight strong PUF topology with enhanced reliability. 1-4 - Sungwon Yim, Yujin Park, Han Yang, Suhwan Kim:
Power efficient SAR ADC adaptive to input activity for ECG monitoring applications. 1-4 - José E. G. Medeiros, Sandro A. P. Haddad:
Nonlinear quantizer design in data conversion systems using the unscented transform. 1-4 - Anthony Baltolu, Jean-Baptiste Bégueret, Dominique Dallet, Frédéric Chalet:
A design-oriented approach for modeling integrators non-idealities in discrete-time sigma-delta modulators. 1-4 - Johannes Wagner, Jiazuo Chi, Maurits Ortmanns:
Designing CT bandpass ΣΔ modulators with arbitrary STF shapes. 1-4 - John A. McNeill, Sulin Li, Jianping Gong, Long Pham:
Fundamental limits on energy efficiency performance of VCO-based ADCs. 1-4 - Jiazuo Chi, Johannes Wagner, Jens Anders, Maurits Ortmanns:
Digital interferer suppression and jitter reduction in continuous-time bandpass ΣΔ modulators. 1-4 - Hugo Cruz, Hong-Yi Huang, Ching-Hsing Luo, Lih-Yih Chiou, Shuenn-Yuh Lee:
A novel clock-pulse-width calibration technique for charge redistribution DACs. 1-4 - José Ángel Díaz-Madrid, Ginés Doménech-Asensi, José-Alejandro López Alcantud, M. Oberst:
An 11-bit 20-MSample/s pipelined ADC with OTA bias current regulation to optimize power dissipation. 1-4 - Marcel Runge, Friedel Gerfers:
A digital compensation method canceling static and non-linear time-variant feedback DAC errors in ΣΔ analog-to-digital converters. 1-4 - Gibran Limi Jaya, Shoushun Chen:
A 40 nm CMOS T/H-less flash-like stroboscopic ADC with 23dB THD and >50 GHz effective resolution bandwidth. 1-4 - Yin Sun, Victor Adrian, Joseph S. Chang:
A novel high-rate hybrid window ADC design for monolithic digitally-controlled DC-DC converters. 1-4 - Bradley A. Minch:
A CMOS differential-difference amplifier with class-AB input stages featuring wide differential-mode input range. 1-4 - Zhan Su, Hossein Noori, Fa Foster Dai, Wei Zhou, Yudong Wang, Jun Fu:
Offset based feedforward amplifier with nonlinearity compensation and P1dB expansion. 1-4 - Shreya Singh, Pydi Bahubalindruni, João Goes:
A robust fully-dynamic residue amplifier for two-stage SAR assisted pipeline ADCs. 1-4 - Qi Cheng, Weimin Li, Xian Tang, Jianping Guo:
A cascode miller compensated three-stage amplifier with local Q-factor control for wide capacitive load applications. 1-4 - Fereidoon Hashemi Noshahr, Mohamad Sawan:
A compact and low power bandpass amplifier for low bandwidth signal applications in 65-nm CMOS. 1-4 - Matthias Völkel, Marco Dietz, Amelie Hagelauer, Robert Weigel, Dietmar Kissinger:
A 60-GHz low-noise variable-gain amplifier in a 130-nm BiCMOS technology for sixport applications. 1-4 - Yangtao Dong, Lihan Tang, Xiaolin Yang, Menglian Zhao, Peng Sun, Xiaobo Wu:
A 1.8 μW 32 nV/√Hz current-reuse capacitively-coupled instrumentation amplifier for EEG detection. 1-4 - Mahmoud A. A. Ibrahim, Marvin Onabajo:
Linear input range extension for low-voltage operational transconductance amplifiers in Gm-C filters. 1-4 - Rahul Thottathil, Veeresh Babu Vulligaddala, Bibhudatta Sahoo:
CMOS mixed signal SoC for low-side current sensing. 1-4 - Ranran Zhou, Yining Zhang, Woogeun Rhee, Zhihua Wang:
An energy/bandwidth/area efficient frequency-domain OOK transmitter with phase rotated modulation. 1-4 - Qianqian Liu, Victor Adrian, Bah-Hwee Gwee, Joseph S. Chang:
A class-E RF power amplifier with a novel matching network for high-efficiency dynamic load modulation. 1-4 - Han Yang, Jun Soo Cho, Youngtae Yang, Suhwan Kim:
A load variation tolerant readout interface for high linear MEMS capacitive microphones. 1-4 - Prateek Kumar Sharma, Nagarjuna Nallam:
A widely tunable balun based on 2-port N-path bandpass filters with embedded phase shifting. 1-4 - Fulvio Ciciotti, Marcello De Matteis, Andrea Baschirotto:
A 0.9V 75MHz 2.8mW 4th-order analog filter in CMOS-bulk 28nm technology. 1-4 - Hanfeng Wang, Song Yuan, Syed K. Islam, Charles L. Britton Jr.:
A novel charge sensitive pre-amplifier structure for biological temperature readout applications. 1-4 - Sarthak Kalani, Alessandro Bertolini, Anna Richelli, Peter R. Kinget:
A 0.2V 492nW VCO-based OTA with 60kHz UGB and 207 μVrms noise. 1-4 - Emna Chabchoub, Franck Badets, Pascal Nouet, Mohamed Masmoudi, Frédérick Mailly:
A high temperature, 12-bit-time-domain sensor interface based on injection locked oscillator. 1-4 - Marcello De Matteis, Antonio A. D'Amico, Fulvio Ciciotti, Andrea Baschirotto:
Closed-loop continuous-time analog filter with almost constant IIP3 over the pass-band. 1-4 - Maximilian Schafer, Rudolf Rabenstein, Christian Strobl:
A multidimensional transfer function model for frequency dependent transmission lines. 1-4 - Vinay Kumar, Rakesh Kumar, Deepraj Patkar, Ajit S. Bopardikar:
A method to identify dynamic zones for efficient control of HVAC systems. 1-4 - Zejiang Hou, Ho-Chun Wu, Shing-Chow Chan:
Distributed optimal power flow: An Augmented Lagrangian-Sequential Quadratic Programming approach. 1-4 - Saad Ul Hasan, Graham E. Town:
An FPGA-based aperiodic modulation strategy for EMI suppression in quasi-Z-source DC-DC converters. 1-4 - Zbigniew Galias:
On optimum placement of sectionalizing switches in radial distribution networks. 1-4 - Martin Trautmann, Marius Ohlendorf, Benedikt Sanftl, Robert Weigel, Alexander Koelpin:
Dimensioning and comparison of common compensation topologies for IPT systems. 1-4 - Luis Benadero, Enrique Ponce, Abdelali El Aroudi, Luis Martínez-Salamero:
Analysis of coexisting solutions and control of their bifurcations in a parallel LC resonant inverter. 1-4 - Min Tan, Wing-Hung Ki:
Stability conditions for hybrid supply modulators. 1-4 - Asif E. Syed, Amit Patra:
Dynamic ADC-quantization for oscillation-free performance of digitally controlled converters. 1-4 - Wagner dos Santos Marques, Paulo Silas Severo de Souza, Arthur Francisco Lorenzon, Antonio Carlos Schneider Beck, Mateus Beck Rutzig, Fábio Diniz Rossi:
Improving EDP in multi-core embedded systems through multidimensional frequency scaling. 1-4 - Blanca Areli Martínez-Treviño, Abdelali El Aroudi, Luis Martínez-Salamero:
Sliding-mode approach for start-up control and voltage regulation of a boost converter driving a constant power load. 1-4 - Abdulhadi Shoufan, Abdulla Alnaqbi:
An intrinsic complexity model for the problem of total resistance determination. 1-4 - Aishwarya Natarajan, Jennifer Hasler:
Using SoC FPAA and integrated simulator for implementation of circuits and systems in education. 1-4 - Jofre Pallares, Keith Sabine, Lluís Terés, Francisco Serra-Graells:
An academic EDA suite for the full-custom design of mixed-mode integrated circuits. 1-4 - Omar Wing:
Distributed circuit theory: Reminiscences. 1-2 - Robert W. Newcomb:
Reminiscence: 60 Years of teaching within 84 years of life. 1 - Alexander Melzer, Mario Huemer, Alexander Onic:
Novel mixed-signal based short-range leakage canceler for FMCW radar transceiver MMICs. 1-4 - Debashis Dhar, Paul T. M. van Zeijl, Dusan M. Milosevic, Hao Gao, Arthur H. M. van Roermund:
Modeling and analysis of the effects of PLL phase noise on FMCW radar performance. 1-4 - Mohammed El-Shennawy, Belal Al-Qudsi, Niko Joram, Frank Ellinger:
A dual band FMCW radar receiver with integrated active balun and baseband AGC loop. 1-4 - Hesham Mostafa Elsayed, Bruno U. Pedroni, Sadique Sheik, Gert Cauwenberghs:
Fast classification using sparsely active spiking networks. 1-4 - Johannes Partzsch, Sebastian Höppner, Matthias Eberlein, René Schüffny, Christian Mayr, David R. Lester, Steve B. Furber:
A fixed point exponential function accelerator for a neuromorphic many-core system. 1-4 - Emre Neftci, Charles Augustine, Somnath Paul, Georgios Detorakis:
Event-driven random backpropagation: Enabling neuromorphic deep learning machines. 1-4 - Mihai A. Petrovici, Sebastian Schmitt, Johann Klähn, Robert D. St. Louis, Anna Schroeder, Guillaume Bellec, Johannes Bill, Oliver Breitwieser, Ilja Bytschok, Andreas Grübl, Maurice Güttler, Andreas Hartel, Stephan Hartmann, Dan Husmann de Oliveira, Kai Husmann, Sebastian Jeltsch, Vitali Karasenko, Mitja Kleider, Christoph Koke, Alexander Kononov, Christian Mauch, Eric Müller, Paul Müller, Johannes Partzsch, Thomas Pfeil, Stefan Schiefer, Stefan Scholze, Anand Subramoney, Vasilis N. Thanasoulis, Bernhard Vogginger, Robert Legenstein, Wolfgang Maass, René Schüffny, Christian Mayr, Johannes Schemmel, Karlheinz Meier:
Pattern representation and recognition with accelerated analog neuromorphic systems. 1-4 - Abdullah M. Zyarah, Nicholas Soures, Lydia Hays, Robin Jacobs-Gedrim, Sapan Agarwal, Matthew J. Marinella, Dhireesha Kudithipudi:
Ziksa: On-chip learning accelerator with memristor crossbars for multilevel neural networks. 1-4 - Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti:
Countering the false myth of democracy: Boosting compressed sensing performance with maximum-energy approach. 1-4 - Shervin Minaee, Yao Wang:
Subspace learning in the presence of sparse structured outliers and noise. 1-4 - Michael Lunglmayr, Bernhard Hiptmair, Mario Huemer:
Scaled linearized Bregman iterations for fixed point implementation. 1-4 - Jeevan K. Pant, Sridhar Krishnan:
Two-pass ℓp-regularized least-squares algorithm for compressive sensing. 1-4 - Jian-Bin Zhou, Dajiang Zhou, Takeshi Yoshimura, Satoshi Goto:
Approximate-DCT-derived measurement matrices for compressed sensing. 1-4 - Igor M. Filanovsky, Luís Bica Oliveira, Nikolay T. Tchamov, Vadim V. Ivanov:
A simple LDO with adaptable bias for internet of things applications. 1-4 - Yi Luo, Shahriar Mirabbasi:
Always-on CMOS image sensor pixel design for pixel-wise binary coded exposure. 1-4 - Jing Huang, Menghan Guo, Shoushun Chen:
A dynamic vision sensor with direct logarithmic output and full-frame picture-on-demand. 1-4 - William Guicquero, Laurent Alacoque:
Impact of fixed pattern noise on embedded image compression techniques. 1-4 - Julien N. P. Martel, Lorenz K. Müller, Stephen J. Carey, Piotr Dudek:
High-speed depth from focus on a programmable vision chip using a focus tunable lens. 1-4 - Dmytro Chemiak, Salvatore Levantino, Carlo Samori, Roberto Nonis:
Analysis of millimeter-wave digital frequency modulators for ubiquitous sensors and radars. 1-4 - Liming Xiu:
All digital FPGA-implementable time-average-frequency direct period synthesis for IoT applications. 1-4 - Ioannis L. Syllaios:
Hybrid-DPLL-based constant-envelope modulator for Internet-of-Things chipsets. 1-4 - Paul P. Sotiriadis, Charis Basetas:
Single-bit all digital frequency synthesis with homodyne sigma-delta modulation for Internet of Things applications. 1-4 - Michael Peter Kennedy, Hongjia Mo, Dawei Mai:
Nonlinearity-induced spurious tones and noise in digitally-assisted frequency synthesizers. 1-4 - Paul Gosselin, Roberto Puddu, Alexis Carreira, Mehrdad A. Ghanad, Massimo Barbaro, Catherine Dehollain:
A CMOS automatic tuning system to maximize remote powering efficiency. 1-4 - Brittany Scheid, Shantanu Chakrabartty:
Feasibility of hybrid ultrasound-electrical nerve stimulation for electroceuticals. 1-4 - Mehdi Noormohammadi Khiarak, Sylvain Martel, Yves De Koninck, Benoit Gosselin:
A high-sensitivity CMOS biophotometry sensor with embedded continuous-time ΣΔ modulation. 1-4 - Adam Khalifa, Yasha Karimi, Qihong Wang, Elliot Greenwald, Sherry Chiu, Milutin Stanacevic, Nitish V. Thakor, Ralph Etienne-Cummings:
In-vivo tests of an inductively powered miniaturized neural stimulator. 1-4 - Xueyuan Zhao, Vidyasagar Sadhu, Tuan Le, Dario Pompili, Mehdi Javanmard:
Towards low-power wearable wireless sensors for molecular biomarker and physiological signal monitoring. 1-4 - Shaoquan Gao, Hanjun Jiang, Zhaoyang Weng, Yanshu Guo, Jingjing Dong, Zhihua Wang:
A 7.9μA 4-bit 4Msps successive approximation phase-domain ADC for GFSK demodulator. 1-4 - Nuno Pereira, Hugo Serra, João Goes:
A two-step radio receiver architecture fully embedded into a charge-sharing SAR ADC. 1-4 - Shusuke Narieda:
Computational complexity reduction for signal cyclostationarity detection based spectrum sensing. 1-4 - Yongcheng He, Shuguo Li:
A 3DES implementation especially for CBC feedback loop mode. 1-4 - James Howe, Ciara Rafferty, Ayesha Khalid, Máire O'Neill:
Compact and provably secure lattice-based signatures in hardware. 1-4 - Kevin Banovic, Tony Chan Carusone:
A sub-mW spectrum sensing architecture for portable IEEE 802.22 cognitive radio applications. 1-4 - Keshab K. Parhi:
Analysis of stochastic logic circuits in unipolar, bipolar and hybrid formats. 1-4 - Giorgos Tsiaras, Vassilis Paliouras:
Logarithmic number system addition-subtraction using fractional normalization. 1-4 - Gustavo H. Smaniotto, Regis Zanandrea, Maicon Schneider Cardoso, Renato Souza de Souza, Matheus T. Moreira, Felipe S. Marques, Leomar S. da Rosa Jr.:
Post-processing of supergate networks aiming cell layout optimization. 1-4 - François Stas, David Bol:
Integration of level shifting in a TSPC flip-flop for low-power robust timing closure in dual-Vdd ULV circuits. 1-4 - Xueyan Wang, Yici Cai, Qiang Zhou:
Cell spreading optimization for force-directed global placers. 1-4 - Lan Xie, Chao Zhou, Xinggong Zhang, Zongming Guo:
Dynamic threshold based rate adaptation for HTTP live streaming. 1-4 - Fanyi Duanmu, Eymen Kurdoglu, Yong Liu, Yao Wang:
View direction and bandwidth adaptive 360 degree video streaming using a two-tier system. 1-4 - João Carreira, Pedro A. Amado Assunção, Sérgio M. M. Faria, Erhan Ekmekcioglu, Ahmet M. Kondoz:
A robust video encoding scheme to enhance error concealment of intra frames. 1-4 - Philip Tovstogan, Hsu-Feng Hsiao:
Video streaming optimization using degradation estimation with unequal error protection. 1-4 - Kuntal Mandal, Abdullah Abusorrah, Mohammed M. Al-Hindawi, Yusuf Al-Turki, Abdelali El Aroudi, Damian Giaouris, Soumitro Banerjee:
Control-oriented design guidelines to extend the stability margin of switching converters. 1-4 - Michael Popp, Wolfgang Mathis, Malte John, Olga Korolova, Axel Mertens, Bernd Ponick:
A modified CCM approach for simulating hierarchical interconnected dynamical systems. 1-4 - Matteo Lodi, Andrey Shilnikov, Marco Storace:
CEPAGE: A toolbox for Central Pattern Generator analysis. 1-4 - Federico Bizzarri, Angelo Brambilla, Alessandro Colombo, Sergio Callegari:
Constant-time discontinuity map for forward sensitivity analysis to initial conditions: Spurs detection in fractional-N PLL as a case study. 1-4 - Eugene Koskin, Dimitri Galayko, Orla Feely, Elena Blokhina:
Semianalytical model for high speed analysis of all-digital PLL clock-generating networks. 1-4 - Xiaoxue Jiang, Xiaojian Yu, Jie Chen:
A low-voltage charge pump with improved pumping efficiency. 1-4 - Yoshitaka Yamauchi, Toru Sai, Takayasu Sakurai, Makoto Takamiya:
Modeling of 3-level buck converters in discontinuous conduction mode for stand-by mode power supply. 1-4 - Timuçin Karaca, Mario Auer:
A Class-D output bridge with dynamic dead-time, small delay and reduced EMI. 1-4 - Hsin-Shu Chen, Jia-Nan Tai, Yi-Jan Emery Chen, Jau-Horng Chen:
A current average control method for transient-glitch reduction in variable frequency DC-DC converters. 1-4 - Abdelali El Aroudi, Kuntal Mandal, Abdullah Abusorrah, Mohammed M. Al-Hindawi, Yusuf Al-Turki, Damian Giaouris, Soumitro Banerjee:
A novel nonlinear modulation technique for stabilizing DC-DC switching converters. 1-4 - Tahmid Abtahi, Amey M. Kulkarni, Tinoosh Mohsenin:
Accelerating convolutional neural network with FFT on tiny cores. 1-4 - Jussi H. Poikonen, Mika Laiho:
A mixed-mode array computing architecture for online dictionary learning. 1-4 - Andreas Bytyn, Jannik Springer, Rainer Leupers, Gerd Ascheid:
VLSI implementation of LS-SVM training and classification using entropy based subset-selection. 1-4 - Mari Grönroos, Tapani Nevalainen, Jonne Poikonen, Ari Paasio:
Fast thermopile readout circuit arrangement for array processors. 1-4 - Aref Majdara, Saeid Nooshabadi:
Efficient data structures for density estimation for large high-dimensional data. 1-4 - Hamed Abdzadeh-Ziabari, Wei-Ping Zhu, M. N. S. Swamy:
Integer frequency offset detection with reduced complexity in OFDM systems. 1-4 - Jian-Qiang Lin, Ho-Chun Wu, Shing-Chow Chan:
A new regularized recursive dynamic factor analysis with variable forgetting factor for wireless sensor networks with missing data. 1-4 - Mengdi Jiang, Wei Liu, Yi Li:
Study of wind profile prediction with a combination of signal processing and computational fluid dynamics. 1-4 - Marzieh Amini, Hamidreza Sadreazami, M. Omair Ahmad, M. N. S. Swamy:
Multichannel color image watermark detection utilizing vector-based hidden Markov model. 1-4 - Ehsan Kargaran, Danilo Manstretta, Rinaldo Castello:
A 30μW, 3.3dB NF CMOS LNA for wearable WSN applications. 1-4 - Robert Bieg, Martin Schmidt, Markus Grozing, Manfred Berroth:
A 6 V CMOS switching mode amplifier for continuous-wave signals from DC to 3 GHz. 1-4 - Byron J. Montgomery, Yifei Li, Nathan M. Neihart:
Common-mode termination requirements in concurrent dual-band push-pull power amplifiers. 1-4 - Shusuke Kawai, Toshiyuki Yamagishi, Yosuke Hagiwara, Shigehito Saigusa, Ichiro Seto, Shoji Otaka, Shuichi Ito:
A 1024-QAM capable WLAN receiver with -56.3 dB image rejection ratio using self-calibration technique. 1-4 - Debasish Mitra, Dusan M. Milosevic, Salvatore Drago, Jan van Sinderen, Lucien J. Breems:
Impact of amplifier bandwidth limitations on gain-boosted N-path receivers. 1-4 - Miodrag Potkonjak, Gang Qu, Farinaz Koushanfar, Chip-Hong Chang:
20 Years of research on intellectual property protection. 1-4 - Theodore A. Wood, Marc Dandin:
Cybersecurity and the electric grid: Innovation and intellectual property. 1 - Xi Chen, Gang Qu, Aijiao Cui:
Practical IP watermarking and fingerprinting methods for ASIC designs. 1-4 - Md Tanvir Arafin, Andrew Stanley, Praveen Sharma:
Hardware-based anti-counterfeiting techniques for safeguarding supply chain integrity. 1-4 - Travis Meade, Zheng Zhao, Shaojie Zhang, David Z. Pan, Yier Jin:
Revisit sequential logic obfuscation: Attacks and defenses. 1-4 - Qin Li, Zheyu Liu, Fei Qiao, Xing Wu, Chaolun Wang, Qi Wei, Huazhong Yang:
From "MISSION: IMPOSSIBLE" to mission possible: Fully flexible intelligent contact lens for image classification with analog-to-information processing. 1-4 - Laszlo Schäffer, Zoltán Nagy, Zoltán Kincses, Richárd Fiáth:
FPGA-based neural probe positioning to improve spike sorting with OSort algorithm. 1-4 - Wei Xiao, Nicholas Miscourides, Pantelis Georgiou:
A novel ISFET sensor architecture using through-Silicon vias for DNA sequencing. 1-4 - Darshit Mehta, Ege Altan, Rishabh Chandak, Baranidharan Raman, Shantanu Chakrabartty:
Behaving cyborg locusts for standoff chemical sensing. 1-4 - Chun-Ming Huang, Yi-Jie Hsieh, Wei-Lin Lai, Yi-Jun Liu, Chun-Ying Juan, Ssu-Ying Chen, Chun-Yu Chen, Jin-Ju Chue, Chih-Chyau Yang, Chien-Ming Wu:
A modular wireless sensor platform and its applications. 1-4 - George K. Knopf, Dogan Sinar:
Flexible hydrogel actuated graphene-cellulose biosensor for monitoring pH. 1-4 - Tong Ge, Jia Zhou, Yang Kang, Joseph S. Chang:
Review: A fully-additive printed electronics process with very-low process variations (Bent and unbent substrates) and PDK. 1-4 - Petar Jokic, Michele Magno:
Powering smart wearable systems with flexible solar energy harvesting. 1-4 - Nikolaos G. Pechlivanidis, Konstantinos I. Papadimitriou, Daniel Evans, Nikolaos Vasilakis, Themistoklis Prodromakis:
Towards a smartphone-aided electronic ELISA for real-time electrochemical monitoring. 1-4 - Gian Nicola Angotzi, Mario Malerba, Alessandro Maccione, Fabio Boi, Marco Crepaldi, Alberto Bonanno, Luca Berdondini:
A high temporal resolution multiscale recording system for in vivo neural studies. 1-4 - Yun Miao, Valencia Joyner Koomson:
A silicon based fdNIRS system with integrated tDCS on chip for non-invasive closed-loop neuro stimulation. 1-4 - Xilin Liu, Hongjie Zhu, Milin Zhang, Xiaotie Wu, Andrew G. Richardson, Srihari Y. Sritharan, Dengteng Ge, Yang Shu, Timothy H. Lucas, Jan Van der Spiegel:
A fully integrated wireless sensor-brain interface system to restore finger sensation. 1-4 - Michal Maslik, Yan Liu, Tor Sverre Lande, Timothy G. Constandinou:
A charge-based ultra-low power continuous-time ADC for data driven neural spike processing. 1-4 - Luis E. Rueda G., Marco Ballini, Nick Van Helleputte, Srinjoy Mitra:
Analysis of passive charge balancing for safe current-mode neural stimulation. 1-4 - Isadora F. Martins, José Edil G. de Medeiros, José A. A. de Andrade, Sandro A. P. Haddad:
A novel wavelet-based analog-to-digital converter. 1-4 - Praveen Kumar Venkatachala, Ahmed ElShater, Yang Xu, Manar El-Chammas, Un-Ku Moon:
Voltage domain correction technique for timing skew errors in time interleaved ADCs. 1-4 - Bayan Nasri, Sunit P. Sebastian, Kae-Dyi You, RamKumar RanjithKumar, Davood Shahrjerdi:
A 700 μW 1GS/s 4-bit folding-flash ADC in 65nm CMOS for wideband wireless communications. 1-4 - Hamidreza Maghami, Pedram Payandehnia, Hossein Mirzaie, Kartikeya Mayaram, Ramin Zanbaghi, Terri S. Fiez:
A highly linear OTA-free VCO-based 1-1 MASH ΔΣ ADC. 1-4 - Chithira Ravi, Diego James, Vineeth Sarma, Bibhudatta Sahoo, Amol Inamdar:
Thermal noise canceling pipelined ADC. 1-4 - Lijuan Li, Shuguo Li:
Fast inversion in GF(2m) with polynomial basis using optimal addition chains. 1-4 - Lei Zhang, Chenghua Wang, Weiqiang Liu, Máire O'Neill, Fabrizio Lombardi:
XOR gate based low-cost configurable RO PUF. 1-4 - Fatemeh Tehranipoor, Nima Karimian, Wei Yan, John A. Chandy:
Investigation of DRAM PUFs reliability under device accelerated aging effects. 1-4 - Jiangyi Li, Teng Yang, Mingoo Seok:
A technique to transform 6T-SRAM arrays into robust analog PUF with minimal overhead. 1-4 - Letian Huang, Xinxin Lin, Junshi Wang, Qiang Li:
A low latency fault tolerant transmission mechanism for Network-on-Chip. 1-4 - Lei Zhang, Jianxun Yang, Chengbo Xue, Yue Ma, Shan Cao:
A two-stage variation-aware task mapping scheme for fault-tolerant multi-core Network-on-Chips. 1-4 - N. Prasad, Rajit Karmakar, Santanu Chattopadhyay, Indrajit Chakrabarti:
Runtime mitigation of illegal packet request attacks in Networks-on-Chip. 1-4 - Siavoosh Payandeh Azad, Behrad Niazmand, Karl Janson, Thilo Kogge, Jaan Raik, Gert Jervan, Thomas Hollstein:
Comprehensive performance and robustness analysis of 2D turn models for network-on-chips. 1-4 - Weize Yu, Selçuk Köse:
Implications of noise insertion mechanisms of different countermeasures against side-channel attacks. 1-4 - Yuchi Zhang, Guolin Li, Xiang Xie, Zhihua Wang:
A new algorithm for accurate and automatic chessboard corner detection. 1-4 - Gang Wang, Wenmin Wang, Jingzhuo Wang, Yaohua Bu:
Better deep visual attention with reinforcement learning in action recognition. 1-4 - Huijing Zhan, Boxin Shi, Alex C. Kot:
Cross-domain shoe retrieval using a three-level deep feature representation. 1-4 - Chun-Ting Yen, Wan-Yu Chen, Liang-Gee Chen:
A 120 fps 1080p resolution block-based feature extraction architecture implementation for real-time action recognition. 1-4 - Xuan Hu, Joseph S. Friedman:
Closed-form model for dual-gate ambipolar CNTFET circuit design. 1-4 - Todd J. Freeborn, Ahmed S. Elwakil:
Variability of supercapacitor fractional-order parameters extracted from discharging behavior using least squares optimization. 1-4 - Francesco Chicco, Alessandro Pezzotta, Christian C. Enz:
Analysis of power consumption in LC oscillators based on the inversion coefficient. 1-4 - José-Cruz Nuñez Pérez, Edgar Allende-Chavez, José Ricardo Cárdenas-Valdez, Esteban Tlelo-Cuautle:
Coefficient extraction for MPM using LSE, ORLS and SLS applied to RF-PA modeling. 1-4 - Armine Karami, Dimitri Galayko, Mohammed Bedier, Philippe Basset:
Analysis and comparison of charge-pump conditioning circuits for capacitive electromechanical energy conversion. 1-4 - John Hu, Suming Lai:
Master-slave battery charging system using parallel DC-DC converters for thermal safety. 1-4 - Yuya Nakagawa, Hirotaka Koizumi:
A hybrid nine-level inverter with series/parallel conversion. 1-4 - Hao Luo, Liter Siek:
A 0.9-V input PWM DCM boost converter with low output ripples and fast load transient response based on a novel square-root voltage mode (SRVM) control approach. 1-4 - Arunkumar Salimath, Giovanni Gonano, Edoardo Bonizzoni, Davide Luigi Brambilla, Edoardo Botti, Franco Maloberti:
A high-speed level shifting technique and its application in high-voltage, synchronous DC-DC converters with quasi-ZVS. 1-4 - Ahmed H. Shaltout, Stefano Gregori:
Design trade-offs of integrated polygonal inductors for DC-DC power converters. 1-4 - Sebastian Höppner, Yexin Yan, Bernhard Vogginger, Andreas Dixius, Johannes Partzsch, Felix Neumärker, Stephan Hartmann, Stefan Schiefer, Stefan Scholze, Georg Ellguth, Love Cederstroem, Matthias Eberlein, Christian Mayr, Steve Temple, Luis A. Plana, Jim D. Garside, Simon Davidson, David R. Lester, Steve B. Furber:
Dynamic voltage and frequency scaling for neuromorphic many-core systems. 1-4 - Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel:
A 65-nm CMOS 7fJ per synaptic event clique-based neural network in scalable architecture. 1-4 - Salah Rabba, Yifeng He, Matthew J. Kyan, Ling Guan:
Pupil localization for gaze estimation using unsupervised graph-based model. 1-4 - Emimal Jabason, M. Omair Ahmad, M. N. S. Swamy:
Statistical modeling of multimodal neuroimaging data in non-subsampled shearlet domain using the student's t location-scale distribution. 1-4 - Li Zhang, H. C. Wu, Jian-Qiang Lin, S. C. Chan:
Dynamic gene regulatory network analysis using Saccharomyces cerevisiae large-scale time-course microarray data. 1-4 - Onur Guven, Amir Eftekhar, Wilko J. Kindt, Timothy G. Constandinou:
Low-power real-time ECG baseline wander removal: Hardware implementation. 1-4 - Soumya Ranjan Tripathy, Kingshuk Chakravarty, Aniruddha Sinha, Debatri Chatterjee, Sanjoy Kumar Saha:
Constrained Kalman filter for improving Kinect based measurements. 1-4 - Sreekesh Lakshminarayanan, Klaus Hofmann:
A wideband RF power detector with -56 dB sensitivity and 64 dB dynamic range in SiGe BiCMOS technology. 1-4 - Zexue Liu, Fan Yang, Haoyun Jiang, Xiucheng Hao, Junhua Liu, Huailin Liao:
An 89 μW MICS/ISM band receiver for ultra-low-power applications. 1-4 - Prateek Kumar Sharma, Nagarjuna Nallam:
A transformer-less duplexer with out-of-band filtering for same-channel full-duplex radios. 1-4 - Rong Jiang, Hossein Noori, Fa Foster Dai, Jun Fu, Wei Zhou, Yudong Wang:
A low phase noise 8.8 GHz VCO based on ISF manipulation and dual-tank technique. 1-4 - Anoop Koyily, Chen Zhou, Chris H. Kim, Keshab K. Parhi:
An entropy test for determining whether a MUX PUF is linear or nonlinear. 1-4 - Siarhei S. Zalivaka, Alexander A. Ivaniuk, Chip-Hong Chang:
Low-cost fortification of arbiter PUF against modeling attack. 1-4 - Yuejiang Wen, Yingjie Lao:
Enhancing PUF reliability by machine learning. 1-4 - Fuqiang Chen, Qiang Liu:
Single-triggered hardware Trojan identification based on gate-level circuit structural characteristics. 1-4 - Haihua Shen, Yuehui Zhao:
HTChecker: Detecting hardware trojans based on static characteristics. 1-4 - Christopher Soell, Juergen Roeber, Heinrich Milosiu, Robert Weigel, Amelie Hagelauer:
Area-efficient fully integrated dual-band class-E/F power amplifier with switchable output power for a BPSK/OOK transmitter. 1-4 - Jason Muhlestein, Farshad Farahbakhshian, Praveen Kumar Venkatachala, Un-Ku Moon:
A multi-path ring amplifier with dynamic biasing. 1-4 - Yang Xu, Praveen Kumar Venkatachala, Un-Ku Moon:
A highly compact wideband continuous-time transimpedance low-pass filter. 1-4 - Jianghui Deng, Zhuojian Fu, Zhao Wang, Dihu Chen, Xian Tang, Jianping Guo:
Improved Nauta transconductor for wideband intermediate-frequency gm-C filter. 1-4 - Tse Nga Tina Ng:
Printed organic TFT sensor tags. 1-3 - Tsung-Ching Huang, Leilai Shao, Ting Lei, Raymond G. Beausoleil, Zhenan Bao, Kwang-Ting Cheng:
Robust design and design automation for flexible hybrid electronics. 1-4 - Wenyu Sun, Qinghang Zhao, Fei Qiao, Yongpan Liu, Huazhong Yang, Xiaojun Guo, Lei Zhou, Lei Wang:
An 8b 0.8kS/s configurable VCO-based ADC using oxide TFTs with Inkjet printing interconnection. 1-4 - Francesco Galluppi, Didier Pruneau, Joël Chavas, Xavier Lagorce, Christoph Posch, Guillaume Chenegros, Gilles Cordurié, Charlie Galle, Nicolas Oddo, Ryad Benosman:
A stimulation platform for optogenetic and bionic vision restoration. 1-4 - Jamo Tuominen, Eero Lehtonen, Mojtaba Jafari Tadi, Juho Koskinen, Mikko Pänkäälä, Tero Koivisto:
A miniaturized low power biomedical sensor node for clinical research and long term monitoring of cardiovascular signals. 1-4 - Sebastien Naus, Ioulia Tzouvadaki, Pierre-Emmanuel Gaillardon, Armando Biscontini, Giovanni De Micheli, Sandro Carrara:
An efficient electronic measurement interface for memristive biosensors. 1-4 - Mingquan Yuan, Keng-ku Liu, Srikanth Singamaneni, Shantanu Chakrabartty:
Analyte sampling in paper biosensors powered by graphite-based light absorption. 1-4 - Po-Tsang Huang, Yu-Chieh Huang, Shang-Lin Wu, Yu-Chen Hu, Ming-Wei Lu, Ting-Wei Sheng, Fung-Kai Chang, Chun-Pin Lin, Nien-Shang Chang, Hung-Lieh Chen, Chi-Shi Chen, Jeng-Ren Duann, Tzai-Wen Chiu, Wei Hwang, Kuan-Neng Chen, Ching-Te Chuang, Jin-Chern Chiou:
An implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable μ-needle array and flexible interposer. 1-4 - Zhiheng Zuo, Qingjun Fan, Jinghong Chen:
A 14-bit 2.5 GS/s digital pre-distorted DAC in 65 nm CMOS with SFDR > 70 dB up to 1.2 GHz. 1-4 - Hossein Ghafarian, Friedel Gerfers:
A digital calibration technique canceling non-linear switch and package impedance effects of a 1.6GS/s TX-DAC in 28 nm CMOS. 1-4 - Carlos Briseno-Vidrios, Dadian Zhou, Suraj Prakash, Qiyuan Liu, Alexander Edward, José Silva-Martínez:
A 13bit 200MS/S pipeline ADC with current-mode MDACs. 1-4 - Ghyslain Gagnon, François Gagnon, Gordon W. Roberts:
The analytic expression of the output spectrum of ΔΣ ADCs with nonlinear binary-weighted DACs and Gaussian input signals. 1-4 - Mojtaba Mahdavi, Ove Edfors, Viktor Öwall, Liang Liu:
A low latency and area efficient FFT processor for massive MIMO systems. 1-4 - Marco Crepaldi, Gian Nicola Angotzi, Antonio Maviglia, Luca Berdondini:
A 1 Gpps asynchronous logic OOK IR-UWB transmitter based on master-slave PLL synthesis. 1-4 - Naveen Kadayinti, Amitalok J. Budkuley, Dinesh Kumar Sharma:
Settling time of mesochronous clock re-timing circuits in the presence of timing jitter. 1-4 - Khoa Le, Fakhreddine Ghaffari, David Declercq, Bane Vasic:
Hardware optimization of the perturbation for probabilistic gradient descent bit flipping decoders. 1-4 - Tomonori Tanaka, Kosuke Furuichi, Hiromu Uemura, Ryosuke Noguchi, Natsuyuki Koda, Koki Arauchi, Daichi Omoto, Hiromi Inaba, Keiji Kishine, Shinsuke Nakano, Masafumi Nogawa, Hideyuki Nosaka:
25-Gb/s clock and data recovery IC using latch-load combined with CML buffer circuit for delay generation with 65-nm CMOS. 1-4 - Jaeyoung Park:
Area-efficient STT/CMOS non-volatile flip-flop. 1-4 - Jiacong He, Joseph Callenes-Sloan:
TCache: An energy-efficient DRAM cache design. 1-4 - Masashi Tawada, Shinji Kimura, Masao Yanagisawa, Nozomu Togawa:
Effective write-reduction method for MLC non-volatile memory. 1-4 - Chao Qun Liu, Yue Zheng, Chip-Hong Chang:
A new write-contention based dual-port SRAM PUF with multiple response bits per cell. 1-4 - Marcel Moscarelli Corrêa, Bruno Zatt, Marcelo Schiavon Porto, Luciano Volcan Agostini:
High-throughput HEVC intrapicture prediction hardware design targeting UHD 8K videos. 1-4 - Ming-Yi Tai, Wei-Chih Tu, Shao-Yi Chien:
VLSI architecture design of layer-based bilateral and median filtering for 4k2k videos at 30fps. 1-4 - Luciano Almeida Braatz, Luciano Volcan Agostini, Bruno Zatt, Marcelo Schiavon Porto:
A multiplierless parallel HEVC quantization hardware for real-time UHD 8K video coding. 1-4 - Hyomin Choi, Ivan V. Bajic:
Corner proposals from HEVC bitstreams. 1-4 - Yousef Gtat, Sina Parsnejad, Andrew J. Mason:
Live demonstration: Automated data acquisition and digital curation platform for enhancing research precision, productivity and reproducibility. 1 - Antônio Carlos M. de Queiroz, Luiz Carlos Macedo de Oliveira Filho:
Live demonstration: Unipolar symmetrical variable-capacitance generators for energy harvesting. 1 - Yu Wu, Dai Jiang, Andy Bardill, Serena De Gelidi, Richard H. Bayford, Andreas Demosthenous:
Live demonstration: A wearable EIT system using active electrodes for monitoring respiration. 1 - Kang Zhao, Yuheng Zhao, Junrui Liang:
Live demo of a vibration-powered Bluetooth sensor with running PFC power conditioning. 1 - Julien N. P. Martel, Lorenz K. Müller, Stephen J. Carey, Jonathan Müller, Yulia Sandamirskaya, Piotr Dudek:
Live demonstration: Depth from focus on a focal plane processor using a focus tunable liquid lens. 1 - Adam Khalifa, Sherry Chiu, Yasha Karimi, Milutin Stanacevic, Ralph Etienne-Cummings:
Live demonstration: A wirelessly powered highly miniaturized neural stimulator. 1 - Darshit Mehta, Ege Altan, Rishabh Chandak, Baranidharan Raman, Shantanu Chakrabartty:
Live demonstration: Behaving cyborg locusts for standoff chemical sensing. 1 - Luke Osborn, Harrison Nguyen, Rahul R. Kaliki, Nitish V. Thakor:
Live demonstration: Prosthesis grip force modulation using neuromorphic tactile sensing. 1 - Daniel Candrea, Avinash Sharma, Luke Osborn, Yikun Gu, Nitish V. Thakor:
Live demonstration - An adaptable prosthetic socket: Regulating independent air bladders through closed-loop control. 1 - Jamal Lottier Molin, Christopher Simmons, Garrett Nixon, Ralph Etienne-Cummings:
Live demonstration: Real-time, dynamic visual saliency computation in a VR environment seeing through the eyes of a mobile robot. 1 - Nicolas Moser, Jesus Rodriguez-Manzano, Ling-Shan Yu, Melpomeni Kalofonou, Sara de Mateo, Xiaoxiang Li, Tor Sverre Lande, Christofer Toumazou, Pantelis Georgiou:
Live demonstration: A CMOS-based ISFET array for rapid diagnosis of the Zika virus. 1 - Nicolas Moser, Chi Leng Leong, Yuanqi Hu, Martyn G. Boutelle, Pantelis Georgiou:
Live demonstration: Real-time chemical imaging of ionic solutions using an ISFET array. 1 - Uwadiae Obahiagbon, Joseph T. Smith, Hany M. Arafa, Dixie E. Kullman, Jennifer Blain Christen:
Live demonstration: A highly sensitive and quantitative fluorescence sensing platform, for disease diagnosis. 1 - Gabriel Gagnon-Turcotte, Yoan LeChasseur, Cyril Bories, Younès Messaddeq, Yves De Koninck, Benoit Gosselin:
Live demonstration: A wireless headstage enabling combined optogenetics and multichannel electrophysiological recording. 1 - Cheikh Latyr Fall, Francis Quevillon, Alexandre Campeau-Lecours, Simon Latour, Martine Blouin, Clément Gosselin, Benoit Gosselin:
Live demonstration: A multimodal adaptive wireless control interface for people with upper-body disabilities. 1 - Yi Huang, Daniel Browe, Joseph Freeman, Laleh Najafizadeh:
Live demonstration: A frequency-based system for wireless electrical stimulation of iEAPs. 1 - Michail Pligouroudis, Konstantinos I. Papadimitriou, Daniel Evans, Themistoklis Prodromakis:
A dual switched-capacitor integrator architecture for versatile, real-time amperometric biosensing. 1-4 - Martina Leistner, Samantha Wang, Ralph Etienne-Cummings, Frank Lay, Louis Born, Zahra Alikhassy, Ali Karim Ahmed, John W. Harmon:
Iontophoresis instrumentation for the enhancement of gene therapy in wound healing. 1-4 - Meera Punjiya, Hojatollah Rezaei Nejad, Pooria Mostafalu, Sameer Sonkusale:
pH sensing threads with CMOS readout for Smart Bandages. 1-4 - Cheikh Latyr Fall, Francis Quevillon, Alexandre Campeau-Lecours, Simon Latour, Martine Blouin, Clément Gosselin, Benoit Gosselin:
A multimodal adaptive wireless control interface for people with upper-body disabilities. 1-4 - Sameh Sherif, Yehya H. Ghallab, Hamdy Abdelhamid, Yehea Ismail:
Dielectric analysis of changes in electric properties of leukemic cells through travelling and negative dielectrophoresis with 2-D electrodes. 1-4 - Heyu Yin, Hao Wan, Andrew J. Mason:
Separation and electrochemical detection platform for portable individual PM2.5 monitoring. 1-4 - Virgilio Valente, Andreas Demosthenous:
A 32-by-32 CMOS microelectrode array for capacitive biosensing and impedance spectroscopy. 1-4 - Bathiya Senevirathna, Sheung Lu, Pamela Abshire:
Characterization of a high dynamic range lab-on-CMOS capacitance sensor array. 1-4 - Giuseppe Macera, Patrick Crowley:
A new 1.8V fierce-gate crystal oscillator based on the constant cell in 28nm CMOS technology for automotive radar applications. 1-4 - Lin Ma, Kuan Chuang Koay, Pak Kwong Chan:
A merged window comparator based relaxation oscillator with low temperature coefficient. 1-4 - Fayrouz Haddad, Imen Ghorbel, Wenceslas Rahajandraibe:
Multi-band inductor-less VCO for IoT applications. 1-4 - Zakaria El Alaoui Ismaili, Wessam Ajib, François Gagnon, Frederic Nabki:
A 0.13 μm CMOS fully integrated 0.1 ∼ 12 GHz frequency synthesizer for avionic SDR applications. 1-4 - Yury Antonov, Kari Stadius, Jussi Ryynänen:
A charge limiting and redistribution method for delay line locking in multi-output clock generation. 1-4 - Kin Keung Jeff Lau:
A 7μΑ 1.6ppm/ °C bandgap design realizable in CMOS process. 1-4 - Esrafil Jedari, Rashid Rashidzadeh, Mehrdad Saif:
A PVT resistant coarse-fine time-to-digital converter. 1-4 - Yudong Zhang, Xiaofeng Liu, Woogeun Rhee, Hanjun Jiang, Zhihua Wang:
A 0.6V 50-to-145MHz PVT tolerant digital PLL with DCO-dedicated ΔΣ LDO and temperature compensation circuits in 65nm CMOS. 1-4 - Mohammad S. Eslampanah Sendi, Siavash Kananian, Elaheh Zendehrouh, Mohammad Sharifkhani, Amir M. Sodagar, Mahdi Shabany:
A low-power temperature-compensated CMOS peaking current reference in subthreshold region. 1-4 - Gholamreza Shomalnasab, Lihong Zhang:
Analog layout density uniformity improvement using interconnect widening and dummy fill insertion. 1-4 - Seok-Tae Koh, Se-un Shin, Yu-Jin Yang, Minseong Choi, Seungchul Jung, Gyu-Hyeong Cho:
A 5mW batteryless start-up boost charger for wireless power transfer. 1-4 - Natan Vinshtok-Melnik, Robert Giterman, Joseph Shor:
Ultra miniature offset cancelled bandgap reference with ±0.534% inaccuracy from -10°C to 110°C. 1-4 - Rafael Garibotti, Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks:
Using dynamic dependence analysis to improve the quality of high-level synthesis designs. 1-4 - James Lim, Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee:
DPA-resistant QDI dual-rail AES S-Box based on power-balanced weak-conditioned half-buffer. 1-4 - Zhiqiang Liu, Degang Chen:
A voltage reference generator targeted at extracting the silicon bandgap Vgo from Vbe. 1-4 - Muhammad Swilam, Ahmed Naguib Mohamed, Brian Dupaix, Waleed Khalil, Ayman A. Fayed:
A calibration-free low-power supply-pushing reduction circuit (SPRC) for LC VCOs. 1-4 - David S. Bolme, Aravind K. Mikkilineni, Derek C. Rose, Srikanth B. Yoginath, Mohsen Judy, Jeremy Holleman:
Deep modeling: Circuit characterization using theory based models in a data driven framework. 1-4 - Pablo Martín-Lloret, Antonio Toro-Frías, Javier Martín-Martínez, Rafael Castro-López, Elisenda Roca, Rosana Rodríguez, Montserrat Nafría, Francisco V. Fernández:
A size-adaptive time-step algorithm for accurate simulation of aging in analog ICs. 1-4 - Elnaz Ebrahimi, Matthew R. Guthaus, Jose Renau:
Timing speculative SRAM. 1-4 - Sahil Shah, Jennifer Hasler:
Low power speech detector on a FPAA. 1-4 - Constantinos Xanthopoulos, Ali Ahmadi, Sirish Boddikurapati, Amit Nahar, Bob Orr, Yiorgos Makris:
Wafer-level adaptive trim seed forecasting based on E-tests. 1-4 - Oscar J. Cinco-Izquierdo, Maria Teresa Sanz, Luis Hernández, Carlos Aristoteles De la Cruz-Blas:
CMOS current-mode PWL implementation using MAX and MIN operators. 1-4 - Theodoros Marinakis, Alexandros-Herodotos Haritatos, Konstantinos Nikas, Georgios I. Goumas, Iraklis Anagnostopoulos:
An efficient and fair scheduling policy for multiprocessor platforms. 1-4 - Mahesh Nataraj, Alexandre Levisse, Bastien Giraud, Jean-Philippe Noël, Pascal Andreas Meinerzhagen, Jean-Michel Portal, Pierre-Emmanuel Gaillardon:
Design methodology for area and energy efficient OxRAM-based non-volatile flip-flop. 1-4 - Aaron Bluestone, Ryan Kaveh, Luke Theogarajan:
An analog phase prediction based fractional-N PLL. 1-4 - Antonio Petraglia, Roberto Campos, José Gabriel Rodríguez Carneiro Gomes, Mariane R. Petraglia:
Pipeline tracking and event classification for an automatic inspection vision system. 1-4 - Hayder Yousif, Jianhe Yuan, Roland Kays, Zhihai He:
Fast human-animal detection from highly cluttered camera-trap images using joint background modeling and deep learning classification. 1-4 - Tao Lu, Lanlan Pan, Hao Wang, Yanduo Zhang, Bo Wang, Zixiang Xiong:
Face hallucination using deep collaborative representation for local and non-local patches. 1-4 - Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, Hoi-Jun Yoo:
A 0.53mW ultra-low-power 3D face frontalization processor for face recognition with human-level accuracy in wearable devices. 1-4 - Shen-Li Lo, Ching-Te Chiu:
Single image super-resolution using hybrid patch search and local self-similarity. 1-4 - Wu-Sheng Lu, Takao Hinamoto:
Design of composite filters with equiripple passbands and least-squares stopbands. 1-4 - M. Rizwan Tariq, Shuichi Ohno:
An indirect approach to synthesis of noise shaping IIR filters in ΔΣ modulators. 1-4 - Shiqiang Chen, Xuchong Zhang, Hongbin Sun, Nanning Zheng:
sWMF: Separable weighted median filter for efficient large-disparity stereo matching. 1-4 - Saboya Yang, Jiaying Liu, Shuai Yang, Wenhan Yang, Zongming Guo:
Joint-domain unsupervised stylization for portraits. 1-4 - Gyu Jin Bae, Young Hwan Kim, Suk-Ju Kang:
Census transform-based static caption detection for frame rate up-conversion. 1-4 - Yerbol Akhmetov, Joshin John Mathew, Alex Pappachen James:
Variable pixel G-neighbor filters. 1-4 - Simon Vellas, George Lentaris, Konstantinos Maragos, Dimitrios Soudris, Zacharias Kandylakis, Konstantinos Karantzalos:
FPGA acceleration of hyperspectral image processing for high-speed detection applications. 1-4 - Hamza Deroui, Karol Desnos, Jean-François Nezan, Alix Munier Kordon:
Throughput evaluation of DSP applications based on hierarchical dataflow models. 1-4 - Joshua S. Edwards, Ravi Prakash Ramachandran, Umashanger Thayasivam:
Robust speaker verification with a two classifier format and feature enhancement. 1-4 - Li Zheng, Aimin Jiang, Hon Keung Kwan:
Sparse FIR filter design via partial L1 optimization. 1-4 - Chia-Yu Yao, Shui-Chin Wang:
A QCQP design method of the symmetric pulse-shaping filters against receiver timing jitter. 1-4 - Sahar Hashemgeloogerdi, Mark F. Bocko:
Least-squares estimation of the common acoustical poles in room acoustics and head related transfer functions. 1-4 - Roberto de Matos, Rogerio Paludo, Nikolay I. Chervyakov, Pavel Alekseevich Lyakhov, Héctor Pettenghi:
Efficient implementation of modular multiplication by constants applied to RNS reverse converters. 1-4 - Gian Carlo Cardarilli, Luca Di Nunzio, Rocco Fazzolari, Luca Gerardi, Marco Re, Giovanni Campolo, Domenico Cascone:
A new electric encoder position estimator based on the Chinese Remainder Theorem for the CMG performance improvements. 1-4 - Juan Núñez, Maria J. Avedillo:
Exploring logic architectures suitable for TFETs devices. 1-4 - Poorna Marthi, Nazir Hossain, Huan Wang, Jean-François Millithaler, Martin Margala, Ignacio Iñiguez-de-la-Torre, Javier Mateos, Tomás González:
A high performance Full Adder based on Ballistic Deflection Transistor technology. 1-4 - Qingjiang Li, Jinling Xing, Zhaolin Sun, Fei Jing, Hui Xu:
A compliance current circuit with nanosecond response time for ReRAM characterization. 1-4 - Sherif Amer, Sagarvarma Sayyaparaju, Garrett S. Rose, Karsten Beckmann, Nathaniel C. Cady:
A practical hafnium-oxide memristor model suitable for circuit design and simulation. 1-4 - Heba Abunahla, Baker Mohammad, Maguy Abi Jaoude, Mahmoud Al-Qutayri:
Novel hafnium oxide memristor device: Switching behaviour and size effect. 1-4 - Rui Liu, Pai-Yu Chen, Shimeng Yu:
Design and optimization of a strong PUF exploiting sneak paths in resistive cross-point array. 1-4 - Olufemi Akindele Olumodeji, Massimo Gottardi:
A pulse-based memristor programming circuit. 1-4 - Gleb Krylov, Eby G. Friedman:
Test point insertion for RSFQ circuits. 1-4 - Fengyu Qian, Yanping Gong, Lei Wang:
A memristor based image sensor exploiting compressive measurement for low-power video streaming. 1-4 - Godwin Enemali, Adewale Adetomi, Tughrul Arslan:
A placement management circuit for efficient realtime hardware reuse on FPGAs targeting reliable autonomous systems. 1-4 - Yingyan Lin, Charbel Sakr, Yongjune Kim, Naresh R. Shanbhag:
PredictiveNet: An energy-efficient convolutional neural network via zero prediction. 1-4 - Minkyu Kim, Abinash Mohanty, Deepak Kadetotad, Naveen Suda, Luning Wei, Pooja Saseendran, Xiaofei He, Yu Cao, Jae-sun Seo:
A real-time 17-scale object detection accelerator with adaptive 2000-stage classification in 65nm CMOS. 1-4 - Miguel Angelo de Abreu de Sousa, Emilio Del-Moral-Hernandez:
Comparison of three FPGA architectures for embedded multidimensional categorization through Kohonen's self-organizing maps. 1-4 - Kaiyi Yang, Shihao Wang, Jian-Bin Zhou, Takeshi Yoshimura:
Energy-efficient scheduling method with cross-loop model for resource-limited CNN accelerator designs. 1-4 - Amirreza Yousefzadeh, Miroslaw Jablonski, Taras Iakymchuk, Alejandro Linares-Barranco, Alfredo Rosado Muñoz, Luis A. Plana, Teresa Serrano-Gotarredona, Stephen B. Furber, Bernabé Linares-Barranco:
Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems. 1-4 - Chung-Hao Wu, Wei-Chen Hsi, Henry Horng-Shing Lu, Hsueh-Ming Hang:
Online multiclass passive-aggressive learning on a fixed budget. 1-4 - Jin Zhang, Yuan Wang, Xing Zhang, Ru Huang:
Compact digital-controlled neuromorphic circuit with low power consumption. 1-4 - Matthias Wess, Sai Manoj P. D., Axel Jantsch:
Neural network based ECG anomaly detection on FPGA and trade-off analysis. 1-4 - Pezhman Mamdouh, Alice C. Parker:
A switched-capacitor dendritic arbor for low-power neuromorphic applications. 1-4 - Rahul Kumar Budhwani, Rengarajan Ragavan, Olivier Sentieys:
Taking advantage of correlation in stochastic computing. 1-4 - Fernando Perez-Peña, Juan Antonio Leñero-Bardallo, Alejandro Linares-Barranco, Elisabetta Chicca:
Towards bioinspired close-loop local motor control: A simulated approach supporting neuromorphic implementations. 1-4 - Vinayak Gokhale, Aliasger Zaidy, Andre Xian Ming Chang, Eugenio Culurciello:
Snowflake: An efficient hardware accelerator for convolutional neural networks. 1-4 - Aaron R. Voelker, Ben Varkey Benjamin, Terrence C. Stewart, Kwabena Boahen, Chris Eliasmith:
Extending the neural engineering framework for nonideal silicon synapses. 1-4 - Hung-Yu Tseng, Po-Chen Wu, Yu-Sheng Lin, Shao-Yi Chien:
D-PET: A direct 6 DoF pose estimation and tracking system on graphics processing units. 1-4 - Shih-Lun Huang, Sheng-Yi Hung, Chung-Ping Chen:
An efficient DFT-based algoritiim for the charger noise problem in capacitive touch applications. 1-4 - Yun Ni, Jie Chen, Lap-Pui Chau:
Reflection removal based on single light field capture. 1-4 - Sen Li, Xiang Xie, Guolin Li, Zhihua Wang:
Bare-finger Projector-Camera-Touchpad (PCT) HCI system using color structured light. 1-4 - Ahmed Sammoud, Ashok Kumar, Magdy A. Bayoumi, Tarek A. Elarabi:
Real-time streaming challenges in Internet of Video Things (IoVT). 1-4 - Andre Xian Ming Chang, Eugenio Culurciello:
Hardware accelerators for recurrent neural networks on FPGA. 1-4 - Chun-Yi Liu, Yu-Cheng Yao, Meng-Siou Sie, Edmund Wen Jen Leong, Henry Lopez Davila, Chih-Wei Jen, Shyh-Jye Jou:
Residual sampling clocking offset estimation and compensation for FBMC-OQAM baseband receiver in the 60 GHz band. 1-4 - Ideh Sarbishei, Shervin Vakili, J. M. Pierre Langlois, Yvon Savaria:
Scalable memory-less architecture for string matching with FPGAs. 1-4 - Carson Labrado, Himanshu Thapliyal, Fabrizio Lombardi:
Design of majority logic based approximate arithmetic circuits. 1-4 - Emeshaw Ashenafi, Masud H. Chowdhury:
Noise voltage analysis of spiral inductor for on-chip buck converter design. 1-4 - Xufan Wu, Shuguo Li:
A new digital true random number generator based on delay chain feedback loop. 1-4 - Zhiqiang Liu, Nanqi Liu, Shravan K. Chaganti, Degang Chen, Amitava Majumdar:
A digital clock-less pulse stretcher with application in deep sub-nanosecond pulse detection. 1-4 - Xiaonan Huang, Aijiao Cui, Chip-Hong Chang:
A new watermarking scheme on scan chain ordering for hard IP protection. 1-4 - Adelson Chua, Louis P. Alarcón:
A 450kHz PVT-resilient all-digital BPSK demodulator for energy harvesting sensor nodes. 1-4 - José C. García, Juan A. Montiel-Nelson, Saeid Nooshabadi:
Single supply CMOS Up level shifter for dual voltage system. 1-4 - Emeshaw Ashenafi, Azzedin D. Es-Sakhi, Masud H. Chowdhury:
Nodal thermal analysis for multi-VT SOFFET based subthreshold circuits. 1-4 - Kento Hasegawa, Masao Yanagisawa, Nozomu Togawa:
Trojan-feature extraction at gate-level netlists and its application to hardware-Trojan detection using random forest classifier. 1-4 - Junshi Wang, Letian Huang, Masoumeh Ebrahimi, Qiang Li, Guangjun Li, Axel Jantsch:
Non-blocking BIST for continuous reliability monitoring of Networks-on-Chip. 1-4 - Yong Chen, Emil Matús, Gerhard P. Fettweis:
Combined packet and TDM circuit switching NoCs with novel connection configuration mechanism. 1-4 - Gaole Sai, Basel Halak, Mark Zwolinski:
A cost-efficient delay-fault monitor. 1-4 - Elnaz Ebrahimi, Rafael Trapani Possignolo, Jose Renau:
Level shifter design for voltage stacking. 1-4 - Nada El-meligy, Moustafa Amin, Eslam Yahya, Yehea Ismail:
130nm Low power asynchronous AES core. 1-4 - Mohammad Raashid Ansari, W. Thomas Miller III, Chenghua She, Qiaoyan Yu:
A low-cost masquerade and replay attack detection method for CAN in automobiles. 1-4 - Bindi Wang, Hao Gao, Marion K. Matters-Kammerer, Peter G. M. Baltus:
Interpolation based wideband beamforming architecture. 1-4 - Syed Mohsin Abbas, YouZhe Fan, Ji Chen, Chi-Ying Tsui:
Concatenated LDPC-polar codes decoding through belief propagation. 1-4 - Nishil Talati, Zhiying Wang, Shahar Kvatinsky:
Rate-compatible and high-throughput architecture designs for encoding LDPC codes. 1-4 - Arash Ardakani, Mahdi Shabany:
A low-complexity fully scalable interleaver/address generator based on a novel property of QPP interleavers. 1-4 - Chongyan Gu, Neil Hanley, Máire O'Neill:
FPGA-based strong PUF with increased uniqueness and entropy properties. 1-4 - Oto Petura, Ugo Mureddu, Nathalie Bochard, Viktor Fischer:
Optimization of the PLL based TRNG design using the genetic algorithm. 1-4 - Imed Ben Dhaou, Tuan Nguyen Gia, Pasi Liljeberg, Hannu Tenhunen:
Low-latency hardware architecture for cipher-based message authentication code. 1-4 - Tuy Nguyen Tan, Hanho Lee:
A delay-efficient ring-LWE cryptography architecture for biometric security. 1-4 - Liang Zhou, Shantanu Chakrabartty:
Secure dynamic authentication of passive assets and passive IoTs using self-powered timers. 1-4 - Yunfan Yang, Song Jia, Yuan Wang, Shaonan Zhang, Chao Liu:
A reliable true random number generator based on novel chaotic ring oscillator. 1-4 - Rodrigo Lellis, Rafael Iankowski Soares, Adão Antônio de Souza Jr.:
An energy-based attack flow for temporal misalignment coutermeasures on cryptosystems. 1-4 - Ali Akbar Pammu, Kwen-Siong Chong, Bah-Hwee Gwee:
Highly secured state-shift local clock circuit to countermeasure against side channel attack. 1-4 - Zhongming Xue, Dan Li, Wei Gou, Lina Zhang, Shiquan Fan, Li Geng:
A delay time controlled active rectifier with 95.3% peak efficiency for wireless power transmission systems. 1-4 - Chao-Yen Huang, Chern-Lin Chen:
Analysis and implementation of wireless power transfer system with phase and supply modulation control. 1-4 - Xinyuan Ge, Lin Cheng, Wing-Hung Ki:
A 13.56 MHz one-stage high-efficiency 0X/1X R3 rectifier for implatable medical devices. 1-4 - Mohamed O. Abouzeid, Ahmet Tekin:
Adaptive 6.78-MHz ISM band wireless charging for small form factor receivers. 1-4 - Zong-You Hou, Zong-Ying Ho, Jhih-Cheng You, Chua-Chin Wang:
A primary-side output current estimator with process compensator for flyback LED drivers. 1-4 - Yuchong Sun, Ryoko Sugano, Xiuqin Wei, Takashi Hikihara, Hiroo Sekiya:
High-speed driver for SiC MOSFET based on class-E inverter. 1-4 - Shiquan Fan, Zhuoqi Guo, Jie Zhang, Xu Yang, Li Geng:
An auxiliary switched-capacitor power converter (SCPC) applied in stacked digital architecture for energy utilization enhancement. 1-4 - Mohamed Saad, Elisenda Bou-Balust, Eduard Alarcón:
Switch-mode gyrator-based emulated inductor enabling self-tunability in WPT receivers. 1-4 - Kang Zhao, Yuheng Zhao, Junrui Liang:
A vibration-powered Bluetooth wireless sensor node with running PFC power conditioning. 1-4 - Boyu Shen, Soumya Bose, Matthew L. Johnston:
On-chip high-voltage SPAD bias generation using a dual-mode, closed-loop charge pump. 1-4 - Ibrahim N. Hajj:
Beyond SPICE. 1 - Xiaojie Li, Cong Li, Xiang Li:
Vaccinating SIS epidemics in networks with zero-determinant strategy. 1-4 - Xi Zhang, Choujun Zhan, Chi K. Tse:
Modeling cascading failure propagation in power systems. 1-4 - Dong Liu, Xi Zhang, Choujun Zhan, Chi K. Tse:
Modeling of cascading failures in cyber-coupled power systems. 1-4 - Rui Li, Yongxiang Xia, Chi K. Tse:
Optimal resource allocation with node and link capacity constraints in complex networks. 1-4 - Ramazan Yeniceri, Alptekin Vardar, Müstak E. Yalçin:
Full digital implementation of a chaotic time-delay sampled-data system. 1-4 - Rajiv Damodaran Prabha, Gabriel A. Rincón-Mora:
How to design battery-assisted photovoltaic switched-inductor CMOS charger-supplies. 1-4 - Yudong Xu, Dong Sam Ha, Ming Xu:
Energy harvesting circuit with input matching in boundary conduction mode for electromagnetic generators. 1-4 - Shiquan Fan, Liuming Zhao, Ran Wei, Li Geng, Philip X.-L. Feng:
An ultra-low quiescent current power management ASIC with MPPT for vibrational energy harvesting. 1-4 - Li-Chi Lin, Kuan-Yu Chen, Wen-Hau Yang, Ru-Yu Huang, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai:
A digital reverse current self-calibration technique in 90% high efficiency rectified power supply for near field communication through magnetic field induction. 1-4 - Guanghan Ning, Zhi Zhang, Chen Huang, Xiaobo Ren, Haohong Wang, Canhui Cai, Zhihai He:
Spatially supervised recurrent convolutional neural networks for visual object tracking. 1-4 - Chetan Singh Thakur, Jamal Lottier Molin, Tao Xiong, Jie Zhang, Ernst Niebur, Ralph Etienne-Cummings:
Neuromorphic visual saliency implementation using stochastic computation. 1-4 - Simon Walz, Jens Müller, Ronald Tetzlaff:
Image classification by cellular nonlinear networks. 1-4 - Amirreza Yousefzadeh, Timothée Masquelier, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco:
Hardware implementation of convolutional STDP for on-line visual feature learning. 1-4 - Yasutomo Kinugasa, Tapio Saramäki, Yoshio Itoh, Naoto Sasaoka, Kazuki Shiogai, Masaki Kobayashi:
Modified subband adaptive notch filters for eliminating multiple sinusoids with reduced bias and faster convergence. 1-4 - Yuxuan Luo, Chun-Huat Heng:
A mixed-signal adaptive filter for level-crossing analog-to-digital converter. 1-4 - Bijit K. Das, Mrityunjoy Chakraborty:
A block-based convex combination of NLMS and ZA-NLMS for identifying sparse systems with variable sparsity. 1-4 - Sheng Zhang, Wei Xing Zheng:
A comparison of NLMS and LMS algorithms for cyclostationary input signals. 1-4 - Juliano B. Rosinha, Sérgio J. M. de Almeida, José C. M. Bermudez:
A new kernel Kalman filter algorithm for estimating time-varying nonlinear systems. 1-4 - Tuomas Haapala, Mika Pulkkinen, Jarno Salomaa, Kari Halonen:
A 180-nW static power UWB IR transmitter front-end for energy harvesting applications. 1-4 - Chun-hsiang Chang, Marvin Onabajo:
Low-power low-noise amplifier IIP3 improvement under consideration of the cascode stage. 1-4 - Kehan Zhu, Sakkarapani Balagopal, Xinyu Wu, Vishal Saxena:
Realization of a 10 GHz PLL in IBM 130 nm SiGe BiCMOS process for optical transmitter. 1-4 - Khawaja Qasim Maqbool, Duona Luo, Guang Zhu, Xingyun Luo, Huichun Yu, C. Patrick Yue:
EMI common-mode (CM) noise suppression from self-calibration of high-speed SST driver using on-chip process monitoring circuit. 1-4 - Mohammad-Mahdi Mohsenpour, Carlos E. Saavedra:
Highly linear reconfigurable mixer designed for environment-aware receiver. 1-4 - Md. Nazmul Islam, Vinay C. Patil, Sandip Kundu:
A guide to graceful aging: How not to overindulge in post-silicon burn-in for enhancing reliability of weak PUF. 1-4 - Shahrzad Keshavarz, Daniel E. Holcomb:
Privacy leakages in approximate adders. 1-4 - Jeyavijayan (JV) Rajendran:
An overview of hardware intellectual property protection. 1-4 - Yuntao Liu, Chongxi Bao, Yang Xie, Ankur Srivastava:
Introducing TFUE: The trusted foundry and untrusted employee model in IC supply chain security. 1-4 - Shoaleh Hashemi Namin, Ankit Mehta, Parham Hosseinzadeh Namin, Rashid Rashidzadeh, Majid Ahmadi:
A secure test solution for sensor nodes containing crypto-cores. 1-4 - Gyunam Jeon, Yong-Bin Kim:
A 4Gb/s half-rate DFE with switched-cap and IIR summation for data correction. 1-4 - Chen Yan, Zhihua Gan, Emre Salman:
In-package spiral inductor characterization for high efficiency buck converters. 1-4 - Mineo Kaneko:
KKT-condition inspired solution of DVFS with limited number of voltage levels. 1-4 - Xuwei Jin, Wei Jin, Hao Zhang, Jianfei Jiang, Weifeng He:
A 0.2V 2.3pJ/Cycle 28dB output SNR hybrid Markov random field probabilistic-based circuit for noise immunity and energy efficiency. 1-4 - Seyed Alireza Zahrai, Nicolas Le Dortz, Marvin Onabajo:
Design of clock generation circuitry for high-speed subranging time-interleaved ADCs. 1-4 - Jonas Eriksson, Mika Kutila, Tapani Nevalainen, Phong Nguyen, Kati Sairanen, Marko Ylitolva, Tero Koivisto, Mikko Pänkäälä:
Electromechanical cardiac monitoring SoC for atrial fibrillation detection. 1-4 - Samprajani Rout, Wouter A. Serdijn:
Structured electronic design of high-pass ΣΔ converters and their application to cardiac signal acquisition. 1-4 - John A. McNeill, Devdip Sen, Yitzhak Mendelson, Matthew Crivello, Shamsur Mazumder, Amanda Agdeppa, Syed Ali Hussein, Hyunsoo Kim, Victoria Loehle, Raymond Dunn, Kelli Hickle:
Wearable wireless sensor patch for continuous monitoring of skin temperature, pressure, and relative humidity. 1-4 - Amirhossein Shahshahani, Davood Raeisi Nafchi, Zeljko Zilic:
Ultrasound sensors and its application in human heart rate monitoring. 1-4 - Zachary Trujillo, Viswam Nathan, Gerard L. Coté, Roozbeh Jafari:
Design and parametric analysis of a wearable dual-photoplethysmograph based system for pulse wave velocity detection. 1-4 - Hugo Serra, João Pedro Oliveira, Nuno Paulino:
A 50 Hz SC notch filter for IoT applications. 1-4 - Haochuan Song, Shunqing Zhang, Xiaohu You, Chuan Zhang:
Efficient metric sorting schemes for successive cancellation list decoding of polar codes. 1-4 - Yangcan Zhou, Jun Lin, Zhongfeng Wang:
Efficient approximate layered LDPC decoder. 1-4 - Mohammad Shahrad, Mahdi Shabany:
Symmetric split-row LDPC decoders. 1-4 - Massimo Alioto, Giuseppe Scotti, Alessandro Trifiletti:
Design-oriented models for quick estimation of path delay variability via the fan-out-of-4 metric. 1-4 - Yanping Gong, Fengyu Qian, Lei Wang:
A secure scan chain test scheme exploiting retention loss of memristors. 1-4 - Yunfeng Yang, Fan Yang, Wai-Shing Luk, Changhao Yan, Xuan Zeng, Xiangdong Hu:
Layout decomposition for hybrid E-beam and DSA double patterning lithography. 1-4 - Conrad J. Moore, Peikun Wang, Amir Masoud Gharehbaghi, Masahiro Fujita:
Test pattern generation for multiple stuck-at faults not covered by test patterns for single faults. 1-4 - Amir Masoud Gharehbaghi, Masahiro Fujita:
A new approach for diagnosing bridging faults in logic designs. 1-4 - Artur Antonyan, Suk-Soo Pyo, Hyuntaek Jung, Gwanhyeob Koh, Taejoong Song:
28-nm 1T-1MTJ 8Mb 64 I/O STT-MRAM with symmetric 3-section reference structure and cross-coupled sensing amplifier. 1-4 - Fazel Sharifi, Himanshu Thapliyal:
Energy-efficient magnetic circuits based on nanoelectronic devices. 1-4 - Raffaele De Rose, Marco Lanuzza, Felice Crupi, Giulio Siracusano, Riccardo Tomasello, Giovanni Finocchio, Mario Carpentieri, Massimo Alioto:
A variation-aware simulation framework for hybrid CMOS/spintronic circuits. 1-4 - Farhana Parveen, Shaahin Angizi, Zhezhi He, Deliang Fan:
Hybrid polymorphic logic gate using 6 terminal magnetic domain wall motion device. 1-4 - Qing Dong, Kaiyuan Yang, Laura Fick, David T. Blaauw, Dennis Sylvester:
Rectified-linear and recurrent neural networks built with spin devices. 1-4 - Manu Komalan, Sushil Sakhare, Trong Huynh Bao, Siddharth Rao, Woojin Kim, Christian Tenllado, José Ignacio Gómez, Gouri Sankar Kar, Arnaud Furnémont, Francky Catthoor:
Cross-layer design and analysis of a low power, high density STT-MRAM for embedded systems. 1-4 - Yuehai Lu, Dong Yuan Qiu, Bo Zhang, Yanfeng Chen, Yanwei Jiang:
Implementation of power factor corrector with fractional capacitor. 1-4 - Nuwan Ganganath, Chi-Tsun Cheng, Herbert H. C. Iu, Tyrone Fernando:
Subsystem size optimization for efficient parallel restoration of power systems. 1-4 - Xiaozhe Wang, Konstantin S. Turitsyn:
PMU-based estimation of dynamic state Jacobian matrix. 1-4 - Jesse Hill, Chika Nwankpa:
Battery energy storage dispatch analysis within the storage placement problem. 1-4 - Zelun Lu, Wenxuan Li, Zhen Li, Xi Chen, Herbert H. C. Iu, Ning Dong, Xiangdong Liu:
Adaptive droop control with self-adjusted virtual impedance for three-phase inverter under unbalanced conditions. 1-4 - Narayan Srinivasa:
Implications of a spontaneously active ground state for computing with brain-inspired circuits. 1-4 - Eric Kauderer-Abrams, Kwabena Boahen:
Calibrating silicon-synapse dynamics using Time-Encoding and Decoding Machines. 1-4 - Kate D. Fischl, Kaitlin Lindsay Fair, Wei-Yu Tsai, Jack Sampson, Andreas G. Andreou:
Path planning on the TrueNorth neurosynaptic system. 1-4 - Jamal Lottier Molin, Adebayo Eisape, Chetan Singh Thakur, Vigil Varghese, Christian Brandli, Ralph Etienne-Cummings:
Low-power, low-mismatch, highly-dense array of VLSI Mihalas-Niebur neurons. 1-4 - Takao Hinamoto, Akimitsu Doi, Wu-Sheng Lu:
Roundoff noise minimization for 2-D separable-denominator digital filters using jointly optimal high-order error feedback and realization. 1-4 - Qinglai Liu, Yong Ching Lim, Zhiping Lin, Xiaoping Lai:
Design of IIR frequency-response masking filters with near linear phase using constrained optimization. 1-4 - Lech Kolonko, Jörg Velten, Daniel Wagner, Anton Kummert:
FPGA implementation of 2-D wave digital filters for real time motion feature extraction. 1-4 - Takao Kihara, Hiroyuki Yano, Tsutomu Yoshimura:
Design of cascaded integrator-comb decimation filters for direct-RF sampling receivers. 1-4 - Nhu Y. Le, Zhiping Lin, David B. H. Tay, Li Xu, Jiuwen Cao:
Design of orthogonal filterbanks with rational coefficients using Grobner bases. 1-4 - Ahmed Ibrahim, Miao Meng, Mehdi Kiani:
Inductive and ultrasonic wireless power transmission to biomedical implants. 1-4 - Reza Erfani, Fatemeh Marefat, Amir M. Sodagar, Pedram Mohseni:
Transcutaneous capacitive wireless power transfer (C-WPT) for biomedical implants. 1-4 - Esmaeel Maghsoudloo, Masoud Rezaei, Benoit Gosselin:
A wirelessly powered high-speed transceiver for high-density bidirectional neural interfaces. 1-4 - Haneen Alsuradi, Jerald Yoo:
Design and modeling of an inductive coupling wireless power transfer using printed spirals on medical hydrocolloid dressings. 1-4 - Boris Vaisband, Eby G. Friedman:
Hybrid energy harvesting in 3-D IC IoT devices. 1-4 - Siroos Madani, Magdy A. Bayoumi:
Fault tolerant techniques for TSV-based interconnects in 3-D ICs. 1-4 - Chen Yan, Scott Kontak, Hailang Wang, Emre Salman:
Open source cell library Mono3D to develop large-scale monolithic 3D integrated circuits. 1-4 - Ioannis A. Papistas, Vasilis F. Pavlidis:
Contactless inter-tier communication for heterogeneous 3-D ICs. 1-4 - Andre L. M. Martins, Marcelo Ruaro, Anderson C. Sant'Ana, Fernando Gehm Moraes:
Runtime energy management under real-time constraints in MPSoCs. 1-4 - Mehdi Azadmehr, Luca Marchetti, Yngvar Berg:
A low power analog voltage similarity circuit. 1-4 - Hui Jiang, Burak Gonen, Kofi A. A. Makinwa, Stoyan N. Nihtianov:
Chopping in continuous-time sigma-delta modulators. 1-4 - Shanthi Pavan:
On linear periodically time varying (LPTV) systems with modulated inputs, and their application to smoothing filters. 1-4 - Reza Pazhouhandeh, Omid Shoaei, Roman Genov:
Two-electrode impedance-sensing cardiac rhythm monitor for charge-aware shock delivery in cardiac arrest. 1-4 - Cheng-Hsiang Cheng, Zhi-Xin Chen, Chung-Yu Wu:
A 16-channel CMOS chopper-stabilized analog front-end acquisition circuits for ECoG detection. 1-4 - Devarshi Mrinal Das, Abhishek Srivastava, Aman Gupta, Kashyap Barot, Maryam Shojaei Baghini:
A noise-power-area optimized novel programmable gain and bandwidth instrumentation amplifier for biomedical applications. 1-4 - Lieuwe B. Leene, Timothy G. Constandinou:
A 0.5V time-domain instrumentation circuit with clocked and unclocked ΔΣ operation. 1-4 - Somok Mondal, Drew A. Hall:
An ECG chopper amplifier achieving 0.92 NEF and 0.85 PEF with AC-coupled inverter-stacking for noise efficiency enhancement. 1-4 - Vahideh Shirmohammadli, Alireza Saberkari, Herminio Martínez-Garcia, Eduard Alarcón-Cot:
Low power output-capacitorless class-AB CMOS LDO regulator. 1-4 - Vahid Bonehi, Soheil Aghaie, Kai Hussmann, Ralf Wunderlich, Stefan Heinen:
A 276 nW, area-eficient CMOS subbandgap reference circuit. 1-4 - Somnath Kundu, Chris H. Kim:
A multi-phase VCO quantizer based adaptive digital LDO in 65nm CMOS technology. 1-4 - Jorge Pérez-Bailón, Alejandro Márquez, Belén Calvo, Nicolás J. Medrano-Marqués:
Transient-enhanced output-capacitorless CMOS LDO regulator for battery-operated systems. 1-4 - Alvaro Velasquez, Sumit Kumar Jha:
Computation of Boolean matrix chain products in 3D ReRAM. 1-4 - Nicolás Wainstein, Shahar Kvatinsky:
An RF memristor model and memristive single-pole double-throw switches. 1-4 - Alexander Serb, Christos Papavassiliou, Themistoklis Prodromakis:
A memristor-CMOS hybrid architecture concept for on-line template matching. 1-4 - Dwaipayan Chakraborty, Sumit Kumar Jha:
Design of compact memristive in-memory computing systems using model counting. 1-4 - Yingyi Luo, Seda Ogrenci Memik, Jie Gu:
Cell-to-array thermal-aware analysis of stacked RRAM. 1-4 - Jean Carlo Hamerski, Geancarlo Abich, Ricardo Reis, Luciano Ost, Alexandre M. Amory:
Publish-subscribe programming for a NoC-based multiprocessor system-on-chip. 1-4 - Xuan-Thuan Nguyen, Hong-Thu Nguyen, Katsumi Inoue, Osamu Shimojo, Cong-Kha Pham:
Highly parallel bitmap-based regular expression matching for text analytics. 1-4 - Tianyi Lu, Shouyi Yin, Xianqing Yao, Zhicong Xie, Leibo Liu, Shaojun Wei:
Memory fartitioning-based modulo scheduling for high-level synthesis. 1-4 - Feng Wang, Jiaxi Zhang, Lange Wu, Wentai Zhang, Guojie Luo:
Search space reduction for the non-exact projective NPNP Boolean matching problem. 1-4 - Dengrong Li, Liji Wu, Shuai Yuan, Xiangmin Zhang:
A 50Gb/s repeater and 2 × 50Gb/s 27-1 PRBS generator. 1-4 - Llewyn Salt, Giacomo Indiveri, Yulia Sandamirskaya:
Obstacle avoidance with LGMD neuron: Towards a neuromorphic UAV implementation. 1-4 - Juan A. Leñero-Bardallo, Fernando Perez-Peña, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez:
Pipeline AER arbitration with event aging. 1-4 - John V. Monaco, Manuel M. Vindiola:
Integer factorization with a neuromorphic sieve. 1-4 - James R. Kozloski:
Synaptic integrators implement inhibitory plasticity, eliminate loops and create a "winnerless" Network. 1-4 - Bibhu Datta Sahoo:
Ring oscillator based sub-1V leaky integrate-and-fire neuron circuit. 1-4 - Tiffany Hwu, Jeffrey L. Krichmar, Xinyun Zou:
A complete neuromorphic solution to outdoor navigation and path planning. 1-4 - Shashikant Koul, Timothy K. Horiuchi:
Effect of synaptic charge convergence on path planning over a neural network. 1-4 - Florian Walter, Marwin Sandner, Florian Röhrbein, Alois C. Knoll:
Towards a neuromorphic implementation of hierarchical temporal memory on SpiNNaker. 1-4 - Moritz B. Milde, Alexander Dietmüller, Hermann Blum, Giacomo Indiveri, Yulia Sandamirskaya:
Obstacle avoidance and target acquisition in mobile robots equipped with neuromorphic sensory-processing systems. 1-4 - Eric Kauderer-Abrams, Andrew Gilbert, Aaron Voelker, Ben Varkey Benjamin, Terrence C. Stewart, Kwabena Boahen:
A population-level approach to temperature robustness in neuromorphic systems. 1-4 - Mika Laiho, Mika Grönroos, Jussi H. Poikonen, Eero Lehtonen, Reon Katsumura, Atsushi T.-Fukuchi, Masashi Arita, Yasuo Takahashi:
Associative search using pseudo-analog memristors. 1-4 - Isha Gupta, Alexantrou Serb, Ali Khiat, Themistoklis Prodromakis:
Mitigating noise effects in volatile nano-metal oxide neural detector. 1-4 - Pritish Narayanan, Lucas L. Sanches, Alessandro Fumarola, Robert M. Shelby, Stefano Ambrogio, Jun-Woo Jang, Hyunsang Hwang, Yusuf Leblebici, Geoffrey W. Burr:
Reducing circuit design complexity for neuromorphic machine learning systems based on Non-Volatile Memory arrays. 1-4 - Fernando Corinto, Mauro Forti:
Nonlinear dynamics of memristor oscillators via the flux-charge analysis method. 1-4 - Masoumeh Rezaei Abkenar, Hamidreza Sadreazami, M. Omair Ahmad:
Patch-based salient region detection using statistical modeling in the non-subsampled contourlet domain. 1-4 - Zhi-Song Liu, Wan-Chi Siu, Yui-Lam Chan:
Fast image super-resolution via Randomized Multi-split Forests. 1-4 - Hamidreza Sadreazami, Amir Asif, Arash Mohammadi:
Data-adaptive color image denoising and enhancement using graph-based filtering. 1-4 - Yunfeng Liang, Zhiping Lin, Lei Sun, Jiuwen Cao:
Document image binarization via optimized hybrid thresholding. 1-4 - Yi Wang, Hui Liu, Lap-Pui Chau:
Single underwater image restoration using attenuation-curve prior. 1-4 - Darjn Esposito, Antonio G. M. Strollo, Massimo Alioto:
Power-precision scalable latch memories. 1-4 - Gaël Pillonnet, Hervé Fanet, Samer Houri:
Adiabatic capacitive logic: A paradigm for low-power logic. 1-4 - Longyang Lin, Kien Trinh Quang, Massimo Alioto:
Transistor sizing strategy for simultaneous energy-delay optimization in CMOS buffers. 1-4 - Ramiro Taco, Itamar Levi, Marco Lanuzza, Alexander Fish:
Evaluation of Dual Mode Logic in 28nm FD-SOI technology. 1-4 - François Stas, David Bol:
A 0.4V 0.08fJ/cycle retentive True-Single-Phase-Clock 18T Flip-Flop in 28nm FDSOI CMOS. 1-4 - Frank Herzel, Arzu Ergintav, Johannes Borngräber, Herman Jalli Ng, Dietmar Kissinger:
Design of a low-jitter wideband frequency synthesizer for 802.11ad wireless OFDM systems using a frequency sixtupler. 1-4 - Sumit Kumar, Nagendra Krishnapura:
Optimum scaling of stages in a frequency divider chain for best jitter FoM. 1-4 - Ka-Meng Lei, Pui-In Mak, Rui Paulo Martins:
A 0.4V 4.8μW 16MHz CMOS crystal oscillator achieving 74-fold startup-time reduction using momentary detuning. 1-4 - Haixiang Zhao, Soumyajit Mandal:
Phase-locked loops using switched-gain control. 1-4 - Zina Saheb, Ezz I. El-Masry, Jean-Francois Bousquet:
A 69-Mbps dual tuning 8PSK/QPSK transmitter using injection locking and RF phase modulation. 1-4 - Mika Pulkkinen, Jarno Salomaa, Mohammad Mehdi Moayer, Tuomas Haapala, Kari Halonen:
462-nW 2-axis gesture sensor interface based on capacitively controlled ring oscillators. 1-4 - Feng Gao, Amine Bermak, Chi-Ying Tsui, Farid Boussaïd:
Dual transduction Gas sensor based on a surface acoustic wave resonator. 1-4 - Joseph A. Schmitz, Mahir Kabeer Gharzai, Sina Balkir, Michael W. Hoffman, Mark Bauer:
A low-power 10-bit multichannel analyzer chip for radiation detection. 1-4 - Yang-Jing Huang, Heng-Ching Wu, Po-Shen Chen, Hsu-Tao Shen, Sheng-Yu Peng, Chii-Wann Lin:
A non-invasive material sensing system and its integrated interface circuits. 1-4 - Guoqing Fu, Sameer Sonkusale:
CMOS luminescence lifetime sensor for white LED multi-spectral characterization. 1-4 - Krishnaiyan Thulasiraman, Mamta Yadav:
Weighted Kirchhoff index of a resistance network and generalization of Foster's theorem. 1-4 - Mohan Julien, Serge Bernard, Fabien Soulier, Vincent Kerzerho, Guy Cathébras:
Formal analysis of high-performance stabilized active-input current mirror. 1-4 - Dimo Martev, Sven Hampel, Ulf Schlichtmann:
Methodology for automated phase noise minimization in RF circuit interconnect trees. 1-4 - Xuan Dong, Lihong Zhang:
Analog layout retargeting with process-variation-aware rule-based OPC. 1-4 - Atresh Sanne, Saungeun Park, Rudresh Ghosh, Maruthi N. Yogeesh, Chison Liu, Deji Akinwande, Sanjay Kumar Banerjee, Leo Mathew, Rajesh Rao:
Record fT, fmax, and GHz amplification in 2dimensional CVD MoS2 embedded gate fets. 1-4 - Chia-Ning Chang, Yin-Nien Chen, Po-Tsang Huang, Pin Su, Ching-Te Chuang:
Exploration and evaluation of low-dropout linear voltage regulator with FinFET, TFET and hybrid TFET-FinFET implementations. 1-4 - Jianguo Yang, Yinyin Lin, Yarong Fu, Xiaoyong Xue, B. A. Chen:
A small area and low power true random number generator using write speed variation of oxidebased RRAM for IoT security application. 1-4 - Lingyi Guo, Le Ye, Cheng Chen, Qianqian Huang, Libo Yang, Zhu Lv, Xia An, Ru Huang:
Benchmarking TFET from a circuit level perspective: Applications and guideline. 1-4 - Soheil Salehi, Ronald F. DeMara:
Process variation immune and energy aware sense amplifiers for resistive non-volatile memories. 1-4 - Mohammad Abu Khater, Mahmoud Abdelfattah, Yu-Chiao Wu, Wesley Allen, Dimitrios Peroulis:
Transient response enhancement of RF MEMS tuners using digital signal processing. 1-4 - Daniel Candrea, Avinash Sharma, Luke Osborn, Yikun Gu, Nitish V. Thakor:
An adaptable prosthetic socket: Regulating independent air bladders through closed-loop control. 1-4
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.