


default search action
Dennis Sylvester
Person information
- affiliation: University of Michigan, Ann Arbor, USA
Refine list

refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2025
- [j183]Pierre Abillama
, Changwoo Lee
, Andrea Bejarano-Carbo
, Qirui Zhang
, Dennis Sylvester
, David T. Blaauw
, Hun-Seok Kim
:
One-Hot Multi-Level Leaky Integrate-and-Fire Spiking Neural Networks for Enhanced Accuracy-Latency Tradeoff. IEEE Access 13: 38163-38180 (2025) - [j182]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 60(1): 3 (2025) - [j181]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 60(1): 4 (2025) - [j180]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 60(3): 751 (2025) - [j179]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 60(4): 1127 (2025) - [j178]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 60(4): 1128 (2025) - [j177]Dennis Sylvester:
New Invited Paper Series. IEEE J. Solid State Circuits 60(6): 1887 (2025) - [i8]Zichen Fan, Steve Dai, Rangharajan Venkatesan, Dennis Sylvester, Brucek Khailany:
SQ-DM: Accelerating Diffusion Models with Aggressive Quantization and Temporal Sparsity. CoRR abs/2501.15448 (2025) - [i7]Maya Lassiter, Jungho Lee, Kyle Skelil, Li Xu, Lucas C. Hanson, William H. Reinhardt, Dennis Sylvester, Mark Yim, David T. Blaauw, Marc Z. Miskin:
Microscopic Robots That Sense, Think, Act, and Compute. CoRR abs/2503.23085 (2025) - 2024
- [j176]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 59(1): 3 (2024) - [j175]Heejin Yang
, Ji-Hwan Seol
, Rohit Rothe
, Zichen Fan
, Qirui Zhang
, Hun-Seok Kim
, David T. Blaauw
, Dennis Sylvester
:
A 1.5-μW Fully-Integrated Keyword Spotting SoC in 28-nm CMOS With Skip-RNN and Fast-Settling Analog Frontend for Adaptive Frame Skipping. IEEE J. Solid State Circuits 59(1): 29-39 (2024) - [j174]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 59(2): 319 (2024) - [j173]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 59(2): 320 (2024) - [j172]Jungho Lee
, Joseph G. Letner
, Jongyup Lim
, Gabriele Atzeni
, Jiawei Liao
, Abhilasha Kamboj
, Bhavika Mani, Seokhyeon Jeong
, Yejoong Kim
, Yi Sun
, Beomseo Koo, Julianna M. Richie
, Elena Della Valle
, Paras R. Patel
, Dennis Sylvester
, Hun-Seok Kim
, Taekwang Jang
, Jamie Phillips
, Cynthia A. Chestek
, James D. Weiland
, David T. Blaauw
:
A Sub-mm3 Wireless Neural Stimulator IC for Visual Cortical Prosthesis With Optical Power Harvesting and 7.5-kb/s Data Telemetry. IEEE J. Solid State Circuits 59(4): 1110-1122 (2024) - [j171]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 59(5): 1299 (2024) - [j170]Yimai Peng
, Seokhyeon Jeong
, Kyojin Choo
, Yejoong Kim
, Li-Yu Chen
, Rohit Rothe
, Li Xu
, Ilya Gurin
, Omid Oliaei
, Matthew J. Thompson, Stephen Bart
, Peter Hartwell
, David T. Blaauw
, Dennis Sylvester
:
An Ultralow-Power Triaxial MEMS Accelerometer With High-Voltage Biasing and Electrostatic Mismatch Compensation. IEEE J. Solid State Circuits 59(7): 2219-2235 (2024) - [j169]Qirui Zhang
, Zichen Fan
, Hyochan An
, Zhehong Wang
, Ziyun Li
, Guanru Wang, Pierre Abillama
, Hun-Seok Kim
, David T. Blaauw
, Dennis Sylvester
:
RoboVisio: A Micro-Robot Vision Domain-Specific SoC for Autonomous Navigation Enabling Fully-on-Chip Intelligence via 2-MB eMRAM. IEEE J. Solid State Circuits 59(8): 2644-2658 (2024) - [j168]Zichen Fan
, Qirui Zhang
, Hyochan An
, Boxun Xu, Li Xu
, Chien-Wei Tseng
, Yimai Peng
, Andrea Bejarano-Carbo
, Pierre Abillama
, Ang Cao, Bowen Liu
, Changwoo Lee
, Zhehong Wang
, Hun-Seok Kim
, David T. Blaauw
, Dennis Sylvester
:
AIMMI: Audio and Image Multi-Modal Intelligence via a Low-Power SoC With 2-MByte On-Chip MRAM for IoT Devices. IEEE J. Solid State Circuits 59(10): 3488-3501 (2024) - [j167]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 59(11): 3507 (2024) - [c339]Shiwei Liu
, Guanchen Tao
, Yifei Zou
, Derek Chow
, Zichen Fan
, Kauna Lei
, Bangfei Pan
, Dennis Sylvester
, Gregory Kielian
, Mehdi Saligane
:
ConSmax: Hardware-Friendly Alternative Softmax with Learnable Parameters. ICCAD 2024: 72:1-72:9 - [c338]Ali Hammoud
, Anhang Li
, Wen Tian
, Ayushman Tripathi
, Harsh Khandeparkar
, Ryan Wans
, Gregory Kielian
, Boris Murmann
, Dennis Sylvester
, Mehdi Saligane
:
Reinforcement Learning-Enhanced Cloud-Based Open Source Analog Circuit Generator for Standard and Cryogenic Temperatures in 130-nm and 180-nm OpenPDKs. ICCAD 2024: 164:1-164:7 - [c337]Qirui Zhang
, Mehdi Saligane, Hun-Seok Kim, David T. Blaauw, Georgios Tzimpragos, Dennis Sylvester:
Quantum Circuit Simulation with Fast Tensor Decision Diagram. ISQED 2024: 1-8 - [c336]Rohit Rothe, Jungho Lee, Zichen Fan, Li-Yu Chen, Donguk Seo, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
A uW Output Power, >100V, Single-Capacitor Switched DC-DC Up/Down Converter. VLSI Technology and Circuits 2024: 1-2 - [i6]Qirui Zhang, Mehdi Saligane, Hun-Seok Kim, David T. Blaauw, Georgios Tzimpragos, Dennis Sylvester:
Quantum Circuit Simulation with Fast Tensor Decision Diagram. CoRR abs/2401.11362 (2024) - [i5]Shiwei Liu, Guanchen Tao, Yifei Zou, Derek Chow, Zichen Fan, Kauna Lei, Bangfei Pan, Dennis Sylvester, Gregory Kielian
, Mehdi Saligane:
ConSmax: Hardware-Friendly Alternative Softmax with Learnable Parameters. CoRR abs/2402.10930 (2024) - 2023
- [j166]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 58(2): 331 (2023) - [j165]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 58(4): 895 (2023) - [j164]Dennis Sylvester:
New Associate Editor. IEEE J. Solid State Circuits 58(4): 896 (2023) - [j163]Yimai Peng
, Gordy Carichner, Yejoong Kim
, Li-Yu Chen
, Rémy Tribhout, Benoît Piranda
, Julien Bourgeois, David T. Blaauw
, Dennis Sylvester
:
A High-Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter. IEEE J. Solid State Circuits 58(4): 915-928 (2023) - [j162]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 58(6): 1507 (2023) - [j161]Dennis Sylvester:
New Associate Editor. IEEE J. Solid State Circuits 58(8): 2127 (2023) - [j160]Dennis Sylvester:
New Associate Editor. IEEE J. Solid State Circuits 58(8): 2128 (2023) - [j159]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 58(9): 2403 (2023) - [j158]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 58(9): 2404 (2023) - [j157]Dennis Sylvester
:
New Associate Editor. IEEE J. Solid State Circuits 58(11): 2951 (2023) - [c335]Pierre Abillama, Zichen Fan, Yu Chen, Hyochan An, Qirui Zhang
, Seungkyu Choi, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim:
SONA: An Accelerator for Transform-Domain Neural Networks with Sparse-Orthogonal Weights. ASAP 2023: 18-26 - [c334]Yimai Peng, Ashwin Bhat, Sanjay Wadhwa, David T. Blaauw, Dennis Sylvester:
A 4.6nW Subthreshold Voltage Reference with 400× Current Variation Reduction and 64-Step 0.11% Output Voltage Programmability. ESSCIRC 2023: 89-92 - [c333]Zichen Fan
, Qirui Zhang
, Pierre Abillama
, Sara Shoouri
, Changwoo Lee
, David T. Blaauw
, Hun-Seok Kim
, Dennis Sylvester
:
TaskFusion: An Efficient Transfer Learning Architecture with Dual Delta Sparsity for Multi-Task Natural Language Processing. ISCA 2023: 5:1-5:14 - [c332]Hyochan An, Yu Chen, Zichen Fan, Qirui Zhang
, Pierre Abillama, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
An 8.09TOPS/W Neural Engine Leveraging Bit-Sparsified Sign-Magnitude Multiplications and Dual Adder Trees. ISSCC 2023: 422-423 - [c331]Ji-Hwan SeoI, Heejin Yang
, Rohit Rothe, Zichen Fan, Qirui Zhang
, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
A $1.5\mu\mathrm{W}$ End-to-End Keyword Spotting SoC with Content-Adaptive Frame Sub-Sampling and Fast-Settling Analog Frontend. ISSCC 2023: 428-429 - [c330]Jungho Lee
, Joseph G. Letner, Jongyup Lim, Yi Sun, Seokhyeon Jeong, Yejoong Kim, Beomseo Koo, Gabriele Atzeni, Jiawei Liao, Julianna M. Richie, Elena Della Valle, Paras R. Patel, Taekwang Jang
, Cynthia A. Chestek, Jamie Phillips, James D. Weiland, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
A Wireless Neural Stimulator IC for Cortical Visual Prosthesis. VLSI Technology and Circuits 2023: 1-2 - 2022
- [j156]Li Xu
, Taekwang Jang
, Jongyup Lim
, Kyojin David Choo
, David T. Blaauw
, Dennis Sylvester
:
A 510-pW 32-kHz Crystal Oscillator With High Energy-to-Noise-Ratio Pulse Injection. IEEE J. Solid State Circuits 57(2): 434-451 (2022) - [j155]Jongyup Lim
, Jungho Lee
, Eunseong Moon
, Michael Barrow
, Gabriele Atzeni
, Joseph G. Letner, Joseph T. Costello
, Samuel R. Nason
, Paras R. Patel
, Yi Sun
, Parag G. Patil, Hun-Seok Kim
, Cynthia A. Chestek, Jamie Phillips
, David T. Blaauw
, Dennis Sylvester
, Taekwang Jang
:
A Light-Tolerant Wireless Neural Recording IC for Motor Prediction With Near-Infrared-Based Power and Data Telemetry. IEEE J. Solid State Circuits 57(4): 1061-1074 (2022) - [j154]Rohit Rothe
, Minchang Cho
, Kyojin Choo
, Seokhyeon Jeong
, Sechang Oh
, Jungho Lee
, Dennis Sylvester
, David T. Blaauw
:
A Delta Sigma-Modulated Sample and Average Common-Mode Feedback Technique for Capacitively Coupled Amplifiers in a 192-nW Acoustic Analog Front-End. IEEE J. Solid State Circuits 57(4): 1138-1152 (2022) - [j153]Sujin Park
, Ji-Hwan Seol
, Li Xu
, SeongHwan Cho
, Dennis Sylvester
, David T. Blaauw
:
A 43 nW, 32 kHz, ±4.2 ppm Piecewise Linear Temperature-Compensated Crystal Oscillator With ΔΣ-Modulated Load Capacitance. IEEE J. Solid State Circuits 57(4): 1175-1186 (2022) - [j152]Dennis Sylvester:
Message From the Incoming Editor-in-Chief. IEEE J. Solid State Circuits 57(8): 2280 (2022) - [j151]Dennis Sylvester:
New Associate Editor. IEEE J. Solid State Circuits 57(12): 3507 (2022) - [j150]Dennis Sylvester:
New Associate Editor. IEEE J. Solid State Circuits 57(12): 3508 (2022) - [j149]Hyochan An
, Samuel R. Nason-Tomaszewski
, Jongyup Lim
, Kyumin Kwon, Matthew S. Willsey
, Parag G. Patil, Hun-Seok Kim
, Dennis Sylvester
, Cynthia A. Chestek
, David T. Blaauw
:
A Power-Efficient Brain-Machine Interface System With a Sub-mw Feature Extraction and Decoding ASIC Demonstrated in Nonhuman Primates. IEEE Trans. Biomed. Circuits Syst. 16(3): 395-408 (2022) - [c329]Li Xu
, Maya Lassiter, Xiao Wu, Yejoong Kim, Jungho Lee
, Makoto Yasuda, Masaru Kawaminami, Marc Miskin, David T. Blaauw, Dennis Sylvester:
A 210×340×50µm Integrated CMOS System f0r Micro-Robots with Energy Harvesting, Sensing, Processing, Communication and Actuation. ISSCC 2022: 1-3 - [c328]Zichen Fan, Hyochan An, Qirui Zhang
, Boxun Xu, Li Xu, Chien-Wei Tseng, Yimai Peng
, Ang Cao, Bowen Liu
, Changwoo Lee, Zhehong Wang, Fanghao Liu, Guanru Wang, Shenghao Jiang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
Audio and Image Cross-Modal Intelligence via a 10TOPS/W 22nm SoC with Back-Propagation and Dynamic Power Gating. VLSI Technology and Circuits 2022: 18-19 - [c327]Qirui Zhang
, Hyochan An, Zichen Fan, Zhehong Wang, Ziyun Li, Guanru Wang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
A 22nm 3.5TOPS/W Flexible Micro-Robotic Vision SoC with 2MB eMRAM for Fully-on-Chip Intelligence. VLSI Technology and Circuits 2022: 72-73 - [c326]Yimai Peng
, Seokhyeon Jeong, Kyojin Choo, Yejoong Kim, Li-Yu Chen
, Rohit Rothe, Li Xu, Ilya Gurin, Omid Oliaei, Vadim Tsinker, Stephen Bart, Peter Hartwell, David T. Blaauw, Dennis Sylvester:
A 184nW, 121µg/√Hz Noise Floor Triaxial MEMS Accelerometer with Integrated CMOS Readout Circuit and Variation-Compensated High Voltage MEMS Biasing. VLSI Technology and Circuits 2022: 84-85 - [c325]Yimai Peng
, Gordy Carichner, Yejoong Kim, Li-Yu Chen
, Rémy Tribhout, Benoît Piranda, Julien Bourgeois, David T. Blaauw, Dennis Sylvester:
A 286nW, 103V High Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter. VLSI Technology and Circuits 2022: 158-159 - [i4]Zhehong Wang, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
Hardware Acceleration for Third-Generation FHE and PSI Based on It. CoRR abs/2204.11334 (2022) - 2021
- [j148]Hyochan An
, Sam Schiferl, Siddharth Venkatesan, Tim Wesley
, Qirui Zhang
, Jingcheng Wang
, Kyojin Choo
, Shiyu Liu, Bowen Liu
, Ziyun Li
, Luyao Gong, Hengfei Zhong, David T. Blaauw
, Ronald G. Dreslinski, Hun-Seok Kim
, Dennis Sylvester
:
An Ultra-Low-Power Image Signal Processor for Hierarchical Image Recognition With Deep Neural Networks. IEEE J. Solid State Circuits 56(4): 1071-1081 (2021) - [j147]Ziyun Li
, Zhehong Wang
, Li Xu
, Qing Dong
, Bowen Liu
, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester
, Hun-Seok Kim
, David T. Blaauw
:
RRAM-DNN: An RRAM and Model-Compression Empowered All-Weights-On-Chip DNN Accelerator. IEEE J. Solid State Circuits 56(4): 1105-1115 (2021) - [j146]Ji-Hwan Seol
, Kyojin Choo
, David T. Blaauw
, Dennis Sylvester
, Taekwang Jang
:
Reference Oversampling PLL Achieving -256-dB FoM and -78-dBc Reference Spur. IEEE J. Solid State Circuits 56(10): 2993-3007 (2021) - [c324]Li Xu
, Jeongsup Lee, Mehdi Saligane, David T. Blaauw, Dennis Sylvester:
Design Techniques of Integrated Power Management Circuits for Low Power Edge Devices. CICC 2021: 1-4 - [c323]Thomas Burd, Rangharajan Venkatesan, Dennis Sylvester:
Session 3 Overview: Highlighted Chip Releases: Modern Digital SoCs Invited Papers. ISSCC 2021: 44-45 - [c322]Kyojin Choo, Hyochan An, Dennis Sylvester, David T. Blaauw:
14.1-ENOB 184.9dB-FoM Capacitor-Array-Assisted Cascaded Charge-Injection SAR ADC. ISSCC 2021: 372-374 - [c321]Jongyup Lim, Jungho Lee
, Eunseong Moon, Michael Barrow, Gabriele Atzeni, Joseph G. Letner, Joseph T. Costello, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David T. Blaauw, Dennis Sylvester, Taekwang Jang
:
A Light Tolerant Neural Recording IC for Near-Infrared-Powered Free Floating Motes. VLSI Circuits 2021: 1-2 - [c320]Sujin Park, Ji-Hwan Seol, Li Xu
, Dennis Sylvester, David T. Blaauw:
A 43nW 32kHz Pulsed Injection TCXO with 4.2ppm Accuracy Using ∆Σ Modulated Load Capacitance. VLSI Circuits 2021: 1-2 - [c319]Rohit Rothe, Minchang Cho, Kyojin Choo, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw:
A 192 nW 0.02 Hz High Pass Corner Acoustic Analog Front-End with Automatic Saturation Detection and Recovery. VLSI Circuits 2021: 1-2 - 2020
- [j145]Jingcheng Wang
, Xiaowei Wang
, Charles Eckert
, Arun Subramaniyan
, Reetuparna Das
, David T. Blaauw
, Dennis Sylvester
:
A 28-nm Compute SRAM With Bit-Serial Logic/Arithmetic Operations for Programmable In-Memory Vector Computing. IEEE J. Solid State Circuits 55(1): 76-86 (2020) - [j144]Jeongsup Lee
, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw
, Dennis Sylvester
, Yiqun Zhang
, Qing Dong
, Wootaek Lim, Mehdi Saligane, Yejoong Kim
, Seokhyeon Jeong
, Jongyup Lim
, Makoto Yasuda
:
A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy-Optimal Operation. IEEE J. Solid State Circuits 55(1): 87-97 (2020) - [c318]Jeongsup Lee, Yejoong Kim, Minchang Cho, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
AµProcessor Layer for mm-Scale Die-Stacked Sensing Platforms Featuring Ultra-Low Power Sleep Mode at 125°C. A-SSCC 2020: 1-4 - [c317]Li Xu
, Tae-Kwang Jang
, Jongyup Lim, Kyojin David Choo, David T. Blaauw, Dennis Sylvester:
3.3 A 0.51nW 32kHz Crystal Oscillator Achieving 2ppb Allan Deviation Floor Using High-Energy-to-Noise-Ratio Pulse Injection. ISSCC 2020: 62-64 - [c316]Jongyup Lim, Eunseong Moon, Michael Barrow, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Sechang Oh, Inhee Lee
, Hun-Seok Kim, Dennis Sylvester, David T. Blaauw, Cynthia A. Chestek, Jamie Phillips, Tae-Kwang Jang
:
26.9 A 0.19×0.17mm2 Wireless Neural Recording IC for Motor Prediction with Near-Infrared-Based Power and Data Telemetry. ISSCC 2020: 416-418 - [c315]Jongyup Lim, Myungjoon Choi, Bowen Liu
, Taewook Kang, Ziyun Li, Zhehong Wang, Yiqun Zhang, Kaiyuan Yang
, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
AA-ResNet: Energy Efficient All-Analog ResNet Accelerator. MWSCAS 2020: 603-606 - [c314]Tutu Ajayi, Sumanth Kamineni, Morteza Fayazi, Yaswanth K. Cherivirala
, Kyumin Kwon, Shourya Gupta, Wenbo Duan, Jeongsup Lee, Chien-Hen Chen, Mehdi Saligane, Dennis Sylvester, David T. Blaauw, Ronald Dreslinski Jr., Benton H. Calhoun, David D. Wentzloff:
Fully-Autonomous SoC Synthesis Using Customizable Cell-Based Analog and Mixed-Signal Circuits Generation. VLSI-SoC (Selected Papers) 2020: 65-85 - [c313]Tutu Ajayi, Sumanth Kamineni, Yaswanth K. Cherivirala
, Morteza Fayazi, Kyumin Kwon, Mehdi Saligane, Shourya Gupta, Chien-Hen Chen, Dennis Sylvester, David T. Blaauw, Ronald G. Dreslinski, Benton H. Calhoun, David D. Wentzloff:
An Open-source Framework for Autonomous SoC Design with Analog Block Generation. VLSI-SOC 2020: 141-146 - [c312]Hyochan An, Siddharth Venkatesan, Sam Schiferl, Tim Wesley, Qirui Zhang
, Jingcheng Wang, Kyojin Choo, Shiyu Liu, Bowen Liu
, Ziyun Li, Hengfei Zhong, Luyao Gong, David T. Blaauw, Ronald G. Dreslinski, Dennis Sylvester, Hun-Seok Kim:
A 170μW Image Signal Processor Enabling Hierarchical Image Recognition for Intelligence at the Edge. VLSI Circuits 2020: 1-2 - [c311]Rohit Rothe, Sechang Oh, Kyojin David Choo, Seokhyeon Jeong, Minchang Cho, Dennis Sylvester, David T. Blaauw:
Sample and Average Common-Mode Feedback in a 101 nW Acoustic Amplifier. VLSI Circuits 2020: 1-2 - [c310]Jingcheng Wang, Hyochan An, Qirui Zhang
, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
1.03pW/b Ultra-Low Leakage Voltage-Stacked SRAM for Intelligent Edge Processors. VLSI Circuits 2020: 1-2 - [c309]Zhehong Wang, Ziyun Li, Li Xu
, Qing Dong, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
An All-Weights-on-Chip DNN Accelerator in 22nm ULL Featuring 24×1 Mb eRRAM. VLSI Circuits 2020: 1-2
2010 – 2019
- 2019
- [j143]Sechang Oh
, David T. Blaauw
, Dennis Sylvester:
The Internet of Tiny Things: Recent Advances of Millimeter-Scale Computing. IEEE Des. Test 36(2): 65-72 (2019) - [j142]Qing Dong
, Zhehong Wang, Jongyup Lim
, Yiqun Zhang
, Mahmut E. Sinangil, Yi-Chun Shih
, Yu-Der Chih, Tsung-Yung Jonathan Chang, David T. Blaauw
, Dennis Sylvester:
A 1-Mb 28-nm 1T1MTJ STT-MRAM With Single-Cap Offset-Cancelled Sense Amplifier and In Situ Self-Write-Termination. IEEE J. Solid State Circuits 54(1): 231-239 (2019) - [j141]Junwon Jeong
, Seokhyeon Jeong
, Dennis Sylvester, David T. Blaauw
, Chulwoo Kim:
A 42 nJ/Conversion On-Demand State-of-Charge Indicator for Miniature IoT Li-Ion Batteries. IEEE J. Solid State Circuits 54(2): 524-537 (2019) - [j140]Ziyun Li
, Jingcheng Wang, Dennis Sylvester, David T. Blaauw
, Hun-Seok Kim
:
A 1920 $\times$ 1080 25-Frames/s 2.4-TOPS/W Low-Power 6-D Vision Processor for Unified Optical Flow and Stereo Depth With Semi-Global Matching. IEEE J. Solid State Circuits 54(4): 1048-1058 (2019) - [j139]Kyojin David Choo
, Li Xu
, Yejoong Kim
, Ji-Hwan Seol, Xiao Wu
, Dennis Sylvester
, David T. Blaauw
:
Energy-Efficient Motion-Triggered IoT CMOS Image Sensor With Capacitor Array-Assisted Charge-Injection SAR ADC. IEEE J. Solid State Circuits 54(11): 2921-2931 (2019) - [j138]Sechang Oh
, Minchang Cho
, Zhan Shi
, Jongyup Lim
, Yejoong Kim
, Seokhyeon Jeong
, Yu Chen, Rohit Rothe
, David T. Blaauw
, Hun-Seok Kim
, Dennis Sylvester
:
An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification. IEEE J. Solid State Circuits 54(11): 3005-3016 (2019) - [j137]Yimai Peng
, Kyojin David Choo
, Sechang Oh
, Inhee Lee
, Tae-Kwang Jang
, Yejoong Kim
, Jongyup Lim
, David T. Blaauw
, Dennis Sylvester
:
An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier. IEEE J. Solid State Circuits 54(12): 3348-3361 (2019) - [j136]Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Dennis Sylvester, David T. Blaauw, Reetuparna Das
, Ravi R. Iyer:
Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks. IEEE Micro 39(3): 11-19 (2019) - [j135]Ngoc Le Ba, Sechang Oh, Dennis Sylvester, Tony Tae-Hyoung Kim
:
A 256 pixel, 21.6 μW infrared gesture recognition processor for smart devices. Microelectron. J. 86: 49-56 (2019) - [j134]Anh-Tuan Do, Seyed Mohammad Ali Zeinolabedin
, Dongsuk Jeon
, Dennis Sylvester, Tony Tae-Hyoung Kim
:
An Area-Efficient 128-Channel Spike Sorting Processor for Real-Time Neural Recording With 0.175µW/Channel in 65-nm CMOS. IEEE Trans. Very Large Scale Integr. Syst. 27(1): 126-137 (2019) - [c308]Sechang Oh, Minchang Cho, Xiao Wu, Yejoong Kim, Li-Xuan Chuo, Wootaek Lim, Pat Pannuto
, Suyoung Bang, Kaiyuan Yang
, Hun-Seok Kim, Dennis Sylvester, David T. Blaauw:
IoT2 - the Internet of Tiny Things: Realizing mm-Scale Sensors through 3D Die Stacking. DATE 2019: 686-691 - [c307]Kyojin David Choo
, Li Xu
, Yejoong Kim, Ji-Hwan Seol, Xiao Wu, Dennis Sylvester, David T. Blaauw:
Energy-Efficient Low-Noise CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC for Motion-Triggered Low-Power IoT Applications. ISSCC 2019: 96-98 - [c306]Ziyun Li, Yu Chen, Luyao Gong, Lu Liu, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
An 879GOPS 243mW 80fps VGA Fully Visual CNN-SLAM Processor for Wide-Range Autonomous Exploration. ISSCC 2019: 134-136 - [c305]Jingcheng Wang, Xiaowei Wang, Charles Eckert, Arun Subramaniyan, Reetuparna Das
, David T. Blaauw, Dennis Sylvester:
A Compute SRAM with Bit-Serial Integer/Floating-Point Operations for Programmable In-Memory Vector Acceleration. ISSCC 2019: 224-226 - [c304]Minchang Cho, Sechang Oh
, Zhan Shi
, Jongyup Lim, Yejoong Kim, Seokhyeon Jeong, Yu Chen, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
A 142nW Voice and Acoustic Activity Detection Chip for mm-Scale Sensor Nodes Using Time-Interleaved Mixer-Based Frequency Scanning. ISSCC 2019: 278-280 - [c303]Jeongsup Lee, Yiqun Zhang, Qing Dong, Wootaek Lim, Mehdi Saligane, Yejoong Kim, Seokhyeon Jeong, Jongyup Lim, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
A 6.4pJ/Cycle Self-Tuning Cortex-M0 IoT Processor Based on Leakage-Ratio Measurement for Energy-Optimal Operation Across Wide-Range PVT Variation. ISSCC 2019: 314-315 - [c302]Yimai Peng
, Kyojin David Choo
, Sechang Oh, Inhee Lee, Tae-Kwang Jang
, Yejoong Kim, Jongyup Lim, David T. Blaauw, Dennis Sylvester:
An Adiabatic Sense and Set Rectifier for Improved Maximum-Power-Point Tracking in Piezoelectric Harvesting with 541% Energy Extraction Gain. ISSCC 2019: 422-424 - [c301]Ji-Hwan Seol, Dennis Sylvester, David T. Blaauw, Tae-Kwang Jang
:
A Reference Oversampling Digital Phase-Locked Loop with -240 dB FOM and -80 dBc Reference Spur. VLSI Circuits 2019: 160- - [c300]Taewook Kang, Inhee Lee, Sechang Oh, Tae-Kwang Jang
, Yejoong Kim, Hyochan Ahn, Gyouho Kim, Se-Un Shin, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw:
A 1.74.12 mm3 Fully Integrated pH Sensor for Implantable Applications using Differential Sensing and Drift-Compensation. VLSI Circuits 2019: 310- - 2018
- [j133]Tae-Kwang Jang
, Seokhyeon Jeong
, Dongsuk Jeon
, Kyojin David Choo
, Dennis Sylvester, David T. Blaauw:
A Noise Reconfigurable All-Digital Phase-Locked Loop Using a Switched Capacitor-Based Frequency-Locked Loop and a Noise Detector. IEEE J. Solid State Circuits 53(1): 50-65 (2018) - [j132]Ziyun Li
, Qing Dong
, Mehdi Saligane
, Benjamin P. Kempke, Luyao Gong, Zhengya Zhang
, Ronald G. Dreslinski, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
A 1920 × 1080 30-frames/s 2.3 TOPS/W Stereo-Depth Processor for Energy-Efficient Autonomous Navigation of Micro Aerial Vehicles. IEEE J. Solid State Circuits 53(1): 76-90 (2018) - [j131]Seokhyeon Jeong
, Yu Chen, Tae-Kwang Jang
, Julius Ming-Lin Tsai, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
Always-On 12-nW Acoustic Sensing and Object Recognition Microsystem for Unattended Ground Sensor Nodes. IEEE J. Solid State Circuits 53(1): 261-274 (2018) - [j130]Yiqun Zhang
, Mahmood Khayatzadeh, Kaiyuan Yang
, Mehdi Saligane, Nathaniel Ross Pinckney, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor. IEEE J. Solid State Circuits 53(2): 619-631 (2018) - [j129]Yiqun Zhang
, Li Xu
, Qing Dong
, Jingcheng Wang, David T. Blaauw, Dennis Sylvester:
Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security. IEEE J. Solid State Circuits 53(4): 995-1005 (2018) - [j128]Qing Dong
, Supreet Jeloka
, Mehdi Saligane
, Yejoong Kim
, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, Makoto Yasuda, David T. Blaauw, Dennis Sylvester:
A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V VDDmin. IEEE J. Solid State Circuits 53(4): 1006-1015 (2018) - [j127]Mohit Shah, Sairam Arunachalam, Jingcheng Wang, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim, Jae-sun Seo, Chaitali Chakrabarti:
A Fixed-Point Neural Network Architecture for Speech Applications on Resource Constrained Hardware. J. Signal Process. Syst. 90(5): 727-741 (2018) - [c299]Junwon Jeong, Seokhyeon Jeong, Chulwoo Kim, Dennis Sylvester, David T. Blaauw:
A 42nJ/conversion on-demand state-of-charge indicator for miniature IoT Li-ion batteries. ASP-DAC 2018: 281-282 - [c298]Minseob Shim, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Junhua Shen, Chulwoo Kim, Dennis Sylvester, David T. Blaauw, Wanyeong Jung:
Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC. ASP-DAC 2018: 295-296 - [c297]Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi R. Iyer, Dennis Sylvester, David T. Blaauw, Reetuparna Das:
Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks. ISCA 2018: 383-396 - [c296]Dennis Sylvester, Koji Hirairi, Edith Beigné:
Session 18 overview: Adaptive circuits and digital regulators: Digital circuit techniques subcommittee. ISSCC 2018: 298-299 - [c295]Sechang Oh
, Yao Shi, Gyouho Kim, Yejoong Kim
, Taewook Kang, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw:
A 2.5nJ duty-cycled bridge-to-digital converter integrated in a 13mm3 pressure-sensing system. ISSCC 2018: 328-330 - [c294]Qing Dong, Zhehong Wang, Jongyup Lim
, Yiqun Zhang, Yi-Chun Shih, Yu-Der Chih, Tsung-Yung Jonathan Chang, David T. Blaauw, Dennis Sylvester:
A 1Mb 28nm STT-MRAM with 2.8ns read access time at 1.2V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination. ISSCC 2018: 480-482 - [c293]Vivek De, Dennis Sylvester, James Myers, Jun Deguchi, Shinichiro Shiratake, Ingrid Verbauwhede
:
F1: Intelligent energy-efficient systems at the edge of IoT. ISSCC 2018: 502-504 - [c292]Mehdi Saligane, Jeongsup Lee, Qing Dong, Makoto Yasuda, Kazuyuki Kumeno, Fumitaka Ohno, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
An Adaptive Body-Biaslna SoC Using in Situ Slack Monitoring for Runtime Replica Calibration. VLSI Circuits 2018: 63-64 - [c291]Supreet Jeloka, Zhehong Wang, Ruochen Xie, Sudhanshu Khanna, Steven Bartling, Dennis Sylvester, David T. Blaauw:
Energy Efficient Adiabatic FRAM with 0.99 PJ/Bit Write for IoT Applications. VLSI Circuits 2018: 85-86 - [c290]Jongyup Lim
, Tae-Kwang Jang
, Mehdi Saligane, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
A 224 PW 260 PPM/°C Gate-Leakage-Based Timer for Ultra-Low Power Sensor Nodes with Second-Order Temperature Dependency Cancellation. VLSI Circuits 2018: 117-118 - [c289]Ziyun Li, Jingcheng Wang, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
A1920 × 1080 25FPS, 2.4TOPS/W Unified Optical Flow and Depth 6D Vision Processor for Energy-Efficient, Low Power Autonomous Navigation. VLSI Circuits 2018: 135-136 - [c288]Kaiyuan Yang
, Qing Dong, Zhehong Wang, Yi-Chun Shih, Yu-Der Chih, Tsung-Yung Jonathan Chang, David T. Blaauw, Dennis Sylvester:
A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM. VLSI Circuits 2018: 171-172 - [c287]Xiao Wu, Inhee Lee, Qing Dong, Kaiyuan Yang
, Dongkwun Kim, Jingcheng Wang, Yimai Peng
, Yiqun Zhang, Mehdi Saligane, Makoto Yasuda, Kazuyuki Kumeno, Fumitaka Ohno, Satoru Miyoshi, Masaru Kawaminami, Dennis Sylvester, David T. Blaauw:
A 0.04MM316NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement. VLSI Circuits 2018: 191-192 - [c286]Tae-Kwang Jang
, Jongyup Lim
, Kyojin David Choo, Samuel Nason, Jeongsup Lee, Jeongsup Oh, Seokhyeon Jeong, Cynthia A. Chestek, Dennis Sylvester, David T. Blaauw:
A 2.2 NEF Neural-Recording Amplifier Using Discrete-Time Parametric Amplification. VLSI Circuits 2018: 237-238 - [i3]Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi R. Iyer, Dennis Sylvester, David T. Blaauw, Reetuparna Das:
Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks. CoRR abs/1805.03718 (2018) - 2017
- [j126]Kaiyuan Yang
, Matthew Hicks, Qing Dong, Todd M. Austin, Dennis Sylvester:
Exploiting the analog properties of digital circuits for malicious hardware. Commun. ACM 60(9): 83-91 (2017) - [j125]Nathaniel Ross Pinckney
, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw, Lucian Shifren, Brian Cline, Saurabh Sinha:
Impact of FinFET on Near-Threshold Voltage Scalability. IEEE Des. Test 34(2): 31-38 (2017) - [j124]Dennis Sylvester, Dejan Markovic, Roman Genov, Atsushi Kawasumi, Subhasish Mitra:
Introduction to the January Special Issue on the 2016 IEEE International Solid-State Circuits Conference. IEEE J. Solid State Circuits 52(1): 3-7 (2017) - [j123]Xiao Wu
, Yao Shi
, Supreet Jeloka
, Kaiyuan Yang
, Inhee Lee
, Yoonmyung Lee
, Dennis Sylvester, David T. Blaauw:
A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications. IEEE J. Solid State Circuits 52(4): 972-984 (2017) - [j122]Minseob Shim
, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Junhua Shen, Chulwoo Kim
, Dennis Sylvester, David T. Blaauw, Wanyeong Jung
:
Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator With Application in a 74.1 dB SNDR and 20 kS/s 15 b SAR ADC. IEEE J. Solid State Circuits 52(4): 1077-1090 (2017) - [j121]Inhee Lee
, Dennis Sylvester, David T. Blaauw:
A Subthreshold Voltage Reference With Scalable Output Voltage for Low-Power IoT Systems. IEEE J. Solid State Circuits 52(5): 1443-1449 (2017) - [j120]Dongsuk Jeon
, Qing Dong, Yejoong Kim
, Xiaolong Wang, Shuai Chen, Hao Yu
, David T. Blaauw, Dennis Sylvester:
A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS. IEEE J. Solid State Circuits 52(6): 1628-1642 (2017) - [j119]Xiao Wu
, Kyojin David Choo
, Yao Shi
, Li-Xuan Chuo, Dennis Sylvester, David T. Blaauw:
A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery in Small Form-Factor Sensor Systems. IEEE J. Solid State Circuits 52(12): 3155-3167 (2017) - [j118]Kaiyuan Yang
, David T. Blaauw, Dennis Sylvester:
Hardware Designs for Security in Ultra-Low-Power IoT Systems: An Overview and Survey. IEEE Micro 37(6): 72-89 (2017) - [j117]Taekwang Jang
, Gyouho Kim, Benjamin P. Kempke, Michael B. Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim
, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David D. Wentzloff, David T. Blaauw:
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part I - Analog Circuit Techniques. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2237-2249 (2017) - [j116]Tae-Kwang Jang
, Gyouho Kim, Benjamin P. Kempke, Michael B. Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim
, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David D. Wentzloff, David T. Blaauw:
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II - Data Communication, Energy Harvesting, Power Management, and Digital Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2250-2262 (2017) - [j115]Qing Dong
, Kaiyuan Yang
, Laura Fick, David Fick, David T. Blaauw, Dennis Sylvester:
Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices. IEEE Trans. Very Large Scale Integr. Syst. 25(3): 907-918 (2017) - [c285]Arun Subramaniyan, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das:
Cache Automaton: Repurposing Caches for Automata Processing. PACT 2017: 373 - [c284]Inhee Lee, Dennis Sylvester, David T. Blaauw:
Subthreshold voltage reference with nwell/psub diode leakage compensation for low-power high-temperature systems. A-SSCC 2017: 265-268 - [c283]Laura Fick, David T. Blaauw, Dennis Sylvester, Skylar Skrzyniarz, Malav Parikh, David Fick:
Analog in-memory subthreshold deep neural network accelerator. CICC 2017: 1-4 - [c282]Dongkwun Kim, Wanyeong Jung, Sechang Oh, Kyojin David Choo
, Dennis Sylvester, David T. Blaauw:
A start-up boosting circuit with 133× speed gain for 2-transistor voltage reference. CICC 2017: 1-4 - [c281]Wanyeong Jung, Dennis Sylvester, David T. Blaauw:
Low-power switched-capacitor converter design techniques for small IoT systems. ECCTD 2017: 1-4 - [c280]Qing Dong, Inhee Lee, Kaiyuan Yang
, David T. Blaauw, Dennis Sylvester:
A 1.02nW PMOS-only, trim-free current reference with 282ppm/°C from -40°C to 120°C and 1.6% within-wafer inaccuracy. ESSCIRC 2017: 19-22 - [c279]Qing Dong, Kaiyuan Yang
, Laura Fick, David T. Blaauw, Dennis Sylvester:
Rectified-linear and recurrent neural networks built with spin devices. ISCAS 2017: 1-4 - [c278]Laura Fick, Dennis Sylvester, John W. Poulton, John M. Wilson, C. Thomas Gray:
A 25 Gb/s 470 μW active inductor equalizer for ground referenced signaling receivers. ISCAS 2017: 1-4 - [c277]Ziyun Li, Qing Dong, Mehdi Saligane, Benjamin P. Kempke, Shijia Yang, Zhengya Zhang
, Ronald G. Dreslinski, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
3.7 A 1920×1080 30fps 2.3TOPS/W stereo-depth processor for robust autonomous navigation. ISSCC 2017: 62-63 - [c276]Wootaek Lim, Dennis Sylvester, David T. Blaauw:
4.4 A sub-nW 80mlx-to-1.26Mlx self-referencing light-to-digital converter with AlGaAs photodiode. ISSCC 2017: 72-73 - [c275]Kaiyuan Yang
, Qing Dong, David T. Blaauw, Dennis Sylvester:
8.3 A 553F2 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability. ISSCC 2017: 146-147 - [c274]Tae-Kwang Jang
, Seokhyeon Jeong, Dongsuk Jeon, Kyojin David Choo
, Dennis Sylvester, David T. Blaauw:
8.4 A 2.5ps 0.8-to-3.2GHz bang-bang phase- and frequency-detector-based all-digital PLL with noise self-adjustment. ISSCC 2017: 148-149 - [c273]Kaiyuan Yang
, Qing Dong, Wanyeong Jung, Yiqun Zhang, Myungjoon Choi, David T. Blaauw, Dennis Sylvester:
9.2 A 0.6nJ -0.22/+0.19°C inaccuracy temperature sensor using exponential subthreshold oscillation dependence. ISSCC 2017: 160-161 - [c272]Qing Dong, Yejoong Kim
, Inhee Lee, Myungjoon Choi, Ziyun Li, Jingcheng Wang, Kaiyuan Yang
, Yen-Po Chen, Junjie Dong, Minchang Cho, Gyouho Kim, Wei-Keng Chang, Yun-Sheng Chen, Yu-Der Chih, David T. Blaauw, Dennis Sylvester:
11.2 A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes. ISSCC 2017: 198-199 - [c271]Suyoung Bang, Jingcheng Wang, Ziyun Li, Cao Gao, Yejoong Kim
, Qing Dong, Yen-Po Chen, Laura Fick, Xun Sun, Ronald G. Dreslinski, Trevor N. Mudge, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
14.7 A 288µW programmable deep-learning processor with 270KB on-chip weight storage using non-uniform memory hierarchy for mobile intelligence. ISSCC 2017: 250-251 - [c270]Atsuki Inoue, Dennis Sylvester, Edith Beigné:
Session 20 overview: Digital voltage regulators and low-power techniques. ISSCC 2017: 334-335 - [c269]Seokhyeon Jeong, Yu Chen, Tae-Kwang Jang
, Julius Ming-Lin Tsai, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
21.6 A 12nW always-on acoustic sensing and object recognition microsystem using frequency-domain feature extraction and SVM classification. ISSCC 2017: 362-363 - [c268]Xiao Wu, Kyojin David Choo
, Yao Shi, Li-Xuan Chuo, Dennis Sylvester, David T. Blaauw:
22.6 A fully integrated counter-flow energy reservoir for 70%-efficient peak-power delivery in ultra-low-power systems. ISSCC 2017: 380-381 - [c267]Makoto Takamiya, Yogesh K. Ramadass, Keith A. Bowman, Gerard Villar Pique, Shuichi Nagai, Dennis Sylvester:
F1: Integrated voltage regulators for SoC and emerging IoT systems. ISSCC 2017: 500-502 - [c266]Arun Subramaniyan, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das
:
Cache automaton. MICRO 2017: 259-272 - [c265]Li-Xuan Chuo, Zhihong Luo, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
RF-Echo: A Non-Line-of-Sight Indoor Localization System Using a Low-Power Active RF Reflector ASIC Tag. MobiCom 2017: 222-234 - 2016
- [j114]Dongmin Yoon, Tae-Kwang Jang
, Dennis Sylvester, David T. Blaauw:
A 5.58 nW Crystal Oscillator Using Pulsed Driver for Real-Time Clocks. IEEE J. Solid State Circuits 51(2): 509-522 (2016) - [j113]Suyoung Bang, David T. Blaauw, Dennis Sylvester:
A Successive-Approximation Switched-Capacitor DC-DC Converter With Resolution of VIN/2N for a Wide Range of Input and Output Voltages. IEEE J. Solid State Circuits 51(2): 543-556 (2016) - [j112]Inhee Lee, Dennis Sylvester, David T. Blaauw:
A Constant Energy-Per-Cycle Ring Oscillator Over a Wide Frequency Range for Wireless Sensor Nodes. IEEE J. Solid State Circuits 51(3): 697-711 (2016) - [j111]Suyoung Bang, Jae-sun Seo, Leland Chang, David T. Blaauw, Dennis Sylvester:
A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering. IEEE J. Solid State Circuits 51(4): 919-929 (2016) - [j110]Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David T. Blaauw:
A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory. IEEE J. Solid State Circuits 51(4): 1009-1021 (2016) - [j109]Kaiyuan Yang
, David T. Blaauw, Dennis Sylvester:
An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations. IEEE J. Solid State Circuits 51(4): 1022-1031 (2016) - [j108]Myungjoon Choi, Tae-Kwang Jang
, Suyoung Bang, Yao Shi, David T. Blaauw, Dennis Sylvester:
A 110 nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/°C Temperature Stability for System-on-Chip Designs. IEEE J. Solid State Circuits 51(9): 2106-2118 (2016) - [j107]Inhee Lee
, Yoonmyung Lee
, Dennis Sylvester, David T. Blaauw:
Battery Voltage Supervisors for Miniature IoT Systems. IEEE J. Solid State Circuits 51(11): 2743-2756 (2016) - [j106]Myungjoon Choi, Tae-Kwang Jang
, Junwon Jeong, Seokhyeon Jeong
, David T. Blaauw, Dennis Sylvester:
A Resonant Current-Mode Wireless Power Receiver and Battery Charger With -32 dBm Sensitivity for Implantable Systems. IEEE J. Solid State Circuits 51(12): 2880-2892 (2016) - [j105]Yoonmyung Lee
, David T. Blaauw, Dennis Sylvester:
Ultralow Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring. Proc. IEEE 104(8): 1529-1546 (2016) - [j104]Fabio Frustaci, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
Approximate SRAMs With Dynamic Energy-Quality Management. IEEE Trans. Very Large Scale Integr. Syst. 24(6): 2128-2141 (2016) - [c264]Seyed Mohammad Ali Zeinolabedin
, Anh-Tuan Do, Dongsuk Jeon, Dennis Sylvester, Tony Tae-Hyoung Kim:
Live demonstration: A 128-channel spike sorting processor featuring 0.175 μW and 0.0033 mm2 per Channel in 65-nm CMOS. APCCAS 2016: 734-735 - [c263]Nathaniel Ross Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability. DAC 2016: 76:1-76:6 - [c262]Nathaniel Ross Pinckney, Dennis Sylvester, David T. Blaauw:
Supply boosting for high-performance processors in flip-chip packages. ESSCIRC 2016: 473-476 - [c261]Tae-Kwang Jang
, Myungjoon Choi, Seokhyeon Jeong, Suyoung Bang, Dennis Sylvester, David T. Blaauw:
5.8 A 4.7nW 13.8ppm/°C self-biased wakeup timer using a switched-resistor scheme. ISSCC 2016: 102-103 - [c260]Wanyeong Jung
, Junhua Gu, Paul D. Myers, Minseob Shim, Seokhyeon Jeong, Kaiyuan Yang
, Myungjoon Choi, Zhiyoong Foo, Suyoung Bang, Sechang Oh, Dennis Sylvester, David T. Blaauw:
8.5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems. ISSCC 2016: 154-155 - [c259]Yiqun Zhang, Mahmood Khayatzadeh, Kaiyuan Yang
, Mehdi Saligane, Nathaniel Ross Pinckney, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor. ISSCC 2016: 160-162 - [c258]Wanyeong Jung
, Dennis Sylvester, David T. Blaauw:
12.1 A rational-conversion-ratio switched-capacitor DC-DC converter using negative-output feedback. ISSCC 2016: 218-219 - [c257]Mahmood Khayatzadeh, Mehdi Saligane, Jingcheng Wang, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
17.3 A reconfigurable dual-port memory with error detection and correction in 28nm FDSOI. ISSCC 2016: 310-312 - [c256]Inhee Lee, Wootaek Lim, Alan Teran, Jamie Phillips, Dennis Sylvester, David T. Blaauw:
21.4 A >78%-efficient light harvester over 100-to-100klux with reconfigurable PV-cell network and MPPT circuit. ISSCC 2016: 370-371 - [c255]Myungjoon Choi, Tae-Kwang Jang
, Junwon Jeong, Seokhyeon Jeong, David T. Blaauw, Dennis Sylvester:
21.5 A current-mode wireless power receiver with optimal resonant cycle tracking for implantable systems. ISSCC 2016: 372-373 - [c254]Skylar Skrzyniarz, Laura Fick, Jinal Shah, Yejoong Kim
, Dennis Sylvester, David T. Blaauw, David Fick, Michael B. Henry:
24.3 A 36.8 2b-TOPS/W self-calibrating GPS accelerator implemented using analog calculation in 65nm LP CMOS. ISSCC 2016: 420-422 - [c253]Tae-Kwang Jang
, Myungjoon Choi, Yao Shi, Inhee Lee, Dennis Sylvester, David T. Blaauw:
Millimeter-scale computing platform for next generation of Internet of Things. IEEE RFID 2016: 1-4 - [c252]Yu Chen, Minchang Cho, Seokhyeon Jeong
, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim:
A Dual-Stage, Ultra-Low-Power Acoustic Event Detection System. SiPS 2016: 213-218 - [c251]Kaiyuan Yang
, Matthew Hicks, Qing Dong, Todd M. Austin, Dennis Sylvester:
A2: Analog Malicious Hardware. IEEE Symposium on Security and Privacy 2016: 18-37 - [c250]Yiqun Zhang, Kaiyuan Yang
, Mehdi Saligane, David T. Blaauw, Dennis Sylvester:
A compact 446 Gbps/W AES accelerator for mobile SoC and IoT in 40nm. VLSI Circuits 2016: 1-2 - [c249]Qing Dong, Kaiyuan Yang
, David T. Blaauw, Dennis Sylvester:
A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems. VLSI Circuits 2016: 1-2 - [c248]Wootaek Lim, Tae-Kwang Jang
, Inhee Lee, Hun-Seok Kim, Dennis Sylvester, David T. Blaauw:
A 380pW dual mode optical wake-up receiver with ambient noise cancellation. VLSI Circuits 2016: 1-2 - [c247]Sechang Oh
, Ngoc Le Ba, Suyoung Bang, Junwon Jeong, David T. Blaauw, Tony T. Kim, Dennis Sylvester:
A 260µW infrared gesture recognition system-on-chip for smart devices. VLSI Circuits 2016: 1-2 - [c246]Minseob Shim, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Chulwoo Kim, Dennis Sylvester, David T. Blaauw, Wanyeong Jung
:
An oscillator collapse-based comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC. VLSI Circuits 2016: 1-2 - [c245]Xiao Wu, Yao Shi, Supreet Jeloka, Kaiyuan Yang
, Inhee Lee, Dennis Sylvester, David T. Blaauw:
A 66pW discontinuous switch-capacitor energy harvester for self-sustaining sensor applications. VLSI Circuits 2016: 1-2 - [c244]Seyed Mohammad Ali Zeinolabedin
, Anh-Tuan Do, Dongsuk Jeon, Dennis Sylvester, Tony Tae-Hyoung Kim:
A 128-channel spike sorting processor featuring 0.175 µW and 0.0033 mm2 per channel in 65-nm CMOS. VLSI Circuits 2016: 1-2 - 2015
- [j103]Lucas Francisco Wanner
, Liangzhen Lai, Abbas Rahimi
, Mark Gottscho, Pietro Mercati
, Chu-Hsiang Huang, Frederic Sala, Yuvraj Agarwal, Lara Dolecek, Nikil D. Dutt
, Puneet Gupta
, Rajesh K. Gupta, Ranjit Jhala, Rakesh Kumar, Sorin Lerner, Subhasish Mitra
, Alexandru Nicolau, Tajana Simunic Rosing, Mani B. Srivastava, Steven Swanson
, Dennis Sylvester, Yuanyuan Zhou:
NSF expedition on variability-aware software: Recent results and contributions. it Inf. Technol. 57(3): 181-198 (2015) - [j102]Yen-Po Chen, Dongsuk Jeon, Yoonmyung Lee
, Yejoong Kim
, Zhiyoong Foo, Inhee Lee, Nicholas B. Langhals, Grant H. Kruger, Hakan Oral, Omer Berenfeld
, Zhengya Zhang
, David T. Blaauw, Dennis Sylvester:
An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring. IEEE J. Solid State Circuits 50(1): 375-390 (2015) - [j101]Dong-Woo Jee, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
Digitally Controlled Leakage-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform. IEEE J. Solid State Circuits 50(5): 1263-1274 (2015) - [j100]Fabio Frustaci
, Mahmood Khayatzadeh, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
SRAM for Error-Tolerant Applications With Dynamic Energy-Quality Management in 28 nm CMOS. IEEE J. Solid State Circuits 50(5): 1310-1323 (2015) - [j99]Sechang Oh
, Yoonmyung Lee
, Jingcheng Wang, Zhiyoong Foo, Yejoong Kim
, Wanyeong Jung
, Ziyun Li, David T. Blaauw, Dennis Sylvester:
A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System. IEEE J. Solid State Circuits 50(7): 1581-1591 (2015) - [j98]Seokhyeon Jeong
, Inhee Lee, David T. Blaauw, Dennis Sylvester:
A 5.8 nW CMOS Wake-Up Timer for Ultra-Low-Power Wireless Applications. IEEE J. Solid State Circuits 50(8): 1754-1763 (2015) - [j97]Inhee Lee, Gyouho Kim, Suyoung Bang, Adriane Wolfe, Richard Bell, Seokhyeon Jeong
, Yejoong Kim
, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David T. Blaauw, Yoonmyung Lee
:
System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells. IEEE Trans. Circuits Syst. I Regul. Pap. 62-I(4): 1126-1135 (2015) - [c243]Jingcheng Wang, Nathaniel Ross Pinckney, David T. Blaauw, Dennis Sylvester:
Reconfigurable self-timed regenerators for wide-range voltage scaled interconnect. A-SSCC 2015: 1-4 - [c242]Inhee Lee, Wanyeong Jung, Hyunsoo Ha
, Seokhyeon Jeong, Yejoong Kim
, Gyouho Kim, Zhiyoong Foo, Jae-Yoon Sim, Dennis Sylvester, David T. Blaauw:
An ultra-low-power biomedical chip for injectable pressure monitor. BioCAS 2015: 1-4 - [c241]Mehdi Saligane, Mahmood Khayatzadeh, Yiqun Zhang, Seokhyeon Jeong, David T. Blaauw, Dennis Sylvester:
All-digital SoC thermal sensor using on-chip high order temperature curvature correction. CICC 2015: 1-4 - [c240]Tae-Kwang Jang
, Seokhyeon Jeong, Myungjoon Choi, Wanyeong Jung, Gyouho Kim, Yen-Po Chen, Yejoong Kim
, Wootaek Lim, Dennis Sylvester, David T. Blaauw:
FOCUS: Key building blocks and integration strategy of a miniaturized wireless sensor node. ESSCIRC 2015: 257-262 - [c239]Qing Dong, Kaiyuan Yang
, Laura Fick, David Fick, David T. Blaauw, Dennis Sylvester:
Racetrack converter: A low power and compact data converter using racetrack spintronic devices. ISCAS 2015: 585-588 - [c238]Sylvain Clerc, Mehdi Saligane, Fady Abouzeid
, Martin Cochet, Jean-Marc Daveau, Cyril Bottoni, David Bol, Julien De Vos, Dominique Zamora, Benjamin Coeffic, Dimitri Soussan, Damien Croain, Mehdi Naceur, Pierre Schamberger, Philippe Roche, Dennis Sylvester:
8.4 A 0.33V/-40°C process/temperature closed-loop compensation SoC embedding all-digital clock multiplier and DC-DC converter exploiting FDSOI 28nm back-gate biasing. ISSCC 2015: 1-3 - [c237]Wanyeong Jung
, Seokhyeon Jeong, Sechang Oh, Dennis Sylvester, David T. Blaauw:
27.6 A 0.7pF-to-10nF fully digital capacitance-to-digital converter using iterative delay-chain discharge. ISSCC 2015: 1-3 - [c236]Wootaek Lim, Inhee Lee, Dennis Sylvester, David T. Blaauw:
8.2 Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic. ISSCC 2015: 1-3 - [c235]Marian Verhelst, Dennis Sylvester, Makoto Takamiya, Mike Clinton, Kathy Wilcox, Koichi Nose:
F4: Building the Internet of Everything (IoE): Low-power techniques at the circuit and system levels. ISSCC 2015: 1-2 - [c234]Kaiyuan Yang
, Qing Dong, David T. Blaauw, Dennis Sylvester:
14.2 A physically unclonable function with BER-8 for robust chip authentication using oscillator collapse in 40nm CMOS. ISSCC 2015: 1-3 - [c233]Fabio Frustaci, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
Better-than-voltage scaling energy reduction in approximate SRAMs via bit dropping and bit reuse. PATMOS 2015: 132-139 - [c232]Mohit Shah, Jingcheng Wang, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim, Chaitali Chakrabarti:
A fixed-point neural network for keyword detection on resource constrained hardware. SiPS 2015: 1-6 - [c231]Dongsuk Jeon, Qing Dong, Yejoong Kim
, Xiaolong Wang, Shuai Chen, Hao Yu
, David T. Blaauw, Dennis Sylvester:
A 23mW face recognition accelerator in 40nm CMOS with mostly-read 5T memory. VLSIC 2015: 48- - [c230]Seokhyeon Jeong, Wanyeong Jung, Dongsuk Jeon, Omer Berenfeld
, Hakan Oral, Grant H. Kruger, David T. Blaauw, Dennis Sylvester:
A 120nW 8b sub-ranging SAR ADC with signal-dependent charge recycling for biomedical applications. VLSIC 2015: 60- - [c229]Hyeongseok Kim, Gyouho Kim, Yoonmyung Lee
, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw, David D. Wentzloff:
A 10.6mm3 fully-integrated, wireless sensor node with 8GHz UWB transmitter. VLSIC 2015: 202- - [c228]Myungjoon Choi, Suyoung Bang, Tae-Kwang Jang
, David T. Blaauw, Dennis Sylvester:
A 99nW 70.4kHz resistive frequency locking on-chip oscillator with 27.4ppm/ºC temperature stability. VLSIC 2015: 238- - [c227]Kaiyuan Yang
, David T. Blaauw, Dennis Sylvester:
A robust -40 to 120°C all-digital true random number generator in 40nm CMOS. VLSIC 2015: 248- - [c226]Mahmood Khayatzadeh, Fabio Frustaci, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
A reconfigurable sense amplifier with 3X offset reduction in 28nm FDSOI CMOS. VLSIC 2015: 270- - [c225]Supreet Jeloka, Naveen Akesh, Dennis Sylvester, David T. Blaauw:
A configurable TCAM/BCAM/SRAM using 28nm push-rule 6T bit cell. VLSIC 2015: 272- - [c224]Myungjoon Choi, Junhua Gu, David T. Blaauw, Dennis Sylvester:
Wide input range 1.7μW 1.2kS/s resistive sensor interface circuit with 1 cycle/sample logarithmic sub-ranging. VLSIC 2015: 330- - [c223]Suyoung Bang, Jae-sun Seo, Inhee Lee, Seokhyeon Jeong, Nathaniel Ross Pinckney, David T. Blaauw, Dennis Sylvester, Leland Chang:
A fully-integrated 40-phase flying-capacitance-dithered switched-capacitor voltage regulator with 6mV output ripple. VLSIC 2015: 336- - 2014
- [j96]Youn Sung Park, David T. Blaauw, Dennis Sylvester, Zhengya Zhang
:
Low-Power High-Throughput LDPC Decoder Using Non-Refresh Embedded DRAM. IEEE J. Solid State Circuits 49(3): 783-794 (2014) - [j95]Dongsuk Jeon, Michael B. Henry, Yejoong Kim
, Inhee Lee, Zhengya Zhang
, David T. Blaauw, Dennis Sylvester:
An Energy Efficient Full-Frame Feature Extraction Accelerator With Shift-Latch FIFO in 28 nm CMOS. IEEE J. Solid State Circuits 49(5): 1271-1284 (2014) - [j94]Seokhyeon Jeong
, Zhiyoong Foo, Yoonmyung Lee
, Jae-Yoon Sim, David T. Blaauw, Dennis Sylvester:
A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes. IEEE J. Solid State Circuits 49(8): 1682-1693 (2014) - [j93]Inyong Kwon, Seongjong Kim, David Fick, Myungbo Kim, Yen-Po Chen, Dennis Sylvester:
Razor-Lite: A Light-Weight Register for Error Detection by Observing Virtual Supply Rails. IEEE J. Solid State Circuits 49(9): 2054-2066 (2014) - [j92]Laura Fick, David Fick, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
A 346 µm 2 VCO-Based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28 nm CMOS. IEEE J. Solid State Circuits 49(11): 2462-2473 (2014) - [j91]Wanyeong Jung
, Sechang Oh, Suyoung Bang, Yoonmyung Lee
, Zhiyoong Foo, Gyouho Kim, Yiqun Zhang, Dennis Sylvester, David T. Blaauw:
An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler. IEEE J. Solid State Circuits 49(12): 2800-2811 (2014) - [j90]Chia-Hsiang Chen, David T. Blaauw, Dennis Sylvester, Zhengya Zhang
:
Design and Evaluation of Confidence-Driven Error-Resilient Systems. IEEE Trans. Very Large Scale Integr. Syst. 22(8): 1727-1737 (2014) - [c222]David Fick, Gyouho Kim, Allan Wang, David T. Blaauw, Dennis Sylvester:
Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filtering. CICC 2014: 1-4 - [c221]Mohammad Hassan Ghaed, Skylar Skrzyniarz, David T. Blaauw, Dennis Sylvester:
A 1.6nJ/bit, 19.9μA peak current fully integrated 2.5mm2 inductive transceiver for volume-constrained microsystems. CICC 2014: 1-4 - [c220]Seokhyeon Jeong, Inhee Lee, David T. Blaauw, Dennis Sylvester:
A 5.8nW, 45ppm/°C on-chip CMOS wake-up timer using a constant charge subtraction scheme. CICC 2014: 1-4 - [c219]Inhee Lee, Yejoong Kim
, Suyoung Bang, Gyouho Kim, Hyunsoo Ha
, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee
, Jae-Yoon Sim, Dennis Sylvester, David T. Blaauw:
Circuit techniques for miniaturized biomedical sensors. CICC 2014: 1-7 - [c218]Yuhao Wang, Hao Yu, Dennis Sylvester, Pingfan Kong:
Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire. DATE 2014: 1-4 - [c217]Myungjoon Choi, Inhee Lee, Tae-Kwang Jang
, David T. Blaauw, Dennis Sylvester:
A 23pW, 780ppm/°C resistor-less current reference using subthreshold MOSFETs. ESSCIRC 2014: 119-122 - [c216]Sechang Oh
, Yoonmyung Lee
, Jingcheng Wang, Zhiyoong Foo, Yejoong Kim
, David T. Blaauw, Dennis Sylvester:
Dual-slope capacitance to digital converter integrated in an implantable pressure sensing system. ESSCIRC 2014: 295-298 - [c215]Gyouho Kim, Adriane Wolfe, Richard Bell, Suyoung Bang, Yoonmyung Lee
, Inhee Lee, Yejoong Kim
, Lewis Hsu
, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David T. Blaauw:
Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells. ISCAS 2014: 1985-1988 - [c214]Hyunsoo Ha
, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
12.6 A 160nW 63.9fJ/conversion-step capacitance-to-digital converter for ultra-low-power wireless sensor nodes. ISSCC 2014: 220-221 - [c213]Bharan Giridhar, Nathaniel Ross Pinckney, Dennis Sylvester, David T. Blaauw:
13.7 A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS. ISSCC 2014: 242-243 - [c212]Fabio Frustaci
, Mahmood Khayatzadeh, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
13.8 A 32kb SRAM for error-free and error-tolerant applications with dynamic energy-quality management in 28nm CMOS. ISSCC 2014: 244-245 - [c211]Kaiyuan Yang
, David Fick, Michael B. Henry, Yoonmyung Lee
, David T. Blaauw, Dennis Sylvester:
16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS. ISSCC 2014: 280-281 - [c210]Wanyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee
, Dennis Sylvester, David T. Blaauw:
23.3 A 3nW fully integrated energy harvester based on self-oscillating switched-capacitor DC-DC converter. ISSCC 2014: 398-399 - [c209]Dongsuk Jeon, Yen-Po Chen, Yoonmyung Lee
, Yejoong Kim
, Zhiyoong Foo, Grant H. Kruger, Hakan Oral, Omer Berenfeld
, Zhengya Zhang
, David T. Blaauw, Dennis Sylvester:
24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis. ISSCC 2014: 416-417 - [c208]Yejoong Kim
, Wanyeong Jung, Inhee Lee, Qing Dong, Michael B. Henry, Dennis Sylvester, David T. Blaauw:
27.8 A static contention-free single-phase-clocked 24T flip-flop in 45nm for low-power applications. ISSCC 2014: 466-467 - [c207]Yen-Po Chen, David T. Blaauw, Dennis Sylvester:
A 266nW multi-chopper amplifier with 1.38 noise efficiency factor for neural signal recording. VLSIC 2014: 1-2 - [c206]Gyouho Kim, Yoonmyung Lee
, Zhiyoong Foo, Pat Pannuto
, Ye-Sheng Kuo, Benjamin P. Kempke, Mohammad Hassan Ghaed, Suyoung Bang, Inhee Lee, Yejoong Kim
, Seokhyeon Jeong, Prabal Dutta, Dennis Sylvester, David T. Blaauw:
A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting. VLSIC 2014: 1-2 - [c205]Inhee Lee, Yoonmyung Lee
, Dennis Sylvester, David T. Blaauw:
Low power battery supervisory circuit with adaptive battery health monitor. VLSIC 2014: 1-2 - [c204]Sechang Oh
, Wanyeong Jung
, Kaiyuan Yang
, David T. Blaauw, Dennis Sylvester:
15.4b incremental sigma-delta capacitance-to-digital converter with zoom-in 9b asynchronous SAR. VLSIC 2014: 1-2 - 2013
- [j89]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee
, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Centip3De: a many-core prototype exploring 3D integration and near-threshold computing. Commun. ACM 56(11): 97-104 (2013) - [j88]Yoonmyung Lee
, Dongmin Yoon, Yejoong Kim
, David T. Blaauw, Dennis Sylvester:
Circuit and System Design Guidelines for Ultra-low Power Sensor Nodes. IPSJ Trans. Syst. LSI Des. Methodol. 6: 17-26 (2013) - [j87]Matthew Fojtik, David Fick, Yejoong Kim
, Nathaniel Ross Pinckney, David Money Harris, David T. Blaauw, Dennis Sylvester:
Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction. IEEE J. Solid State Circuits 48(1): 66-81 (2013) - [j86]David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee
, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester:
Centip3De: A Cluster-Based NTC Architecture With 64 ARM Cortex-M3 Cores in 3D Stacked 130 nm CMOS. IEEE J. Solid State Circuits 48(1): 104-117 (2013) - [j85]Yoonmyung Lee
, Suyoung Bang, Inhee Lee, Yejoong Kim
, Gyouho Kim, Mohammad Hassan Ghaed, Pat Pannuto
, Prabal Dutta, Dennis Sylvester, David T. Blaauw:
A Modular 1 mm3 Die-Stacked Sensing Platform With Low Power I2C Inter-Die Communication and Multi-Modal Energy Harvesting. IEEE J. Solid State Circuits 48(1): 229-243 (2013) - [j84]Matthew Fojtik, Daeyeon Kim, Gregory K. Chen, Yu-Shiang Lin, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, David T. Blaauw, Dennis Sylvester:
A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells. IEEE J. Solid State Circuits 48(3): 801-813 (2013) - [j83]Yoonmyung Lee
, Bharan Giridhar, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes. IEEE J. Solid State Circuits 48(10): 2511-2521 (2013) - [j82]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee
, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Centip3De: A 64-Core, 3D Stacked Near-Threshold System. IEEE Micro 33(2): 8-16 (2013) - [j81]Nathaniel Ross Pinckney, Ronald G. Dreslinski, Korey Sewell, David Fick, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Limits of Parallelism and Boosting in Dim Silicon. IEEE Micro 33(5): 30-37 (2013) - [j80]Puneet Gupta
, Yuvraj Agarwal, Lara Dolecek, Nikil D. Dutt
, Rajesh K. Gupta, Rakesh Kumar, Subhasish Mitra, Alexandru Nicolau, Tajana Simunic Rosing, Mani B. Srivastava
, Steven Swanson
, Dennis Sylvester:
Underdesigned and Opportunistic Computing in Presence of Hardware Variability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1): 8-23 (2013) - [j79]Cheng Zhuo, Dennis Sylvester, David T. Blaauw:
A Statistical Framework for Post-Fabrication Oxide Breakdown Reliability Prediction and Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(4): 630-643 (2013) - [j78]Dennis Sylvester:
Introduction to the Special Section on Circuits and Systems for Energy-Autonomous Microsystems. IEEE Trans. Circuits Syst. II Express Briefs 60-II(12): 825-826 (2013) - [j77]Yoonmyung Lee
, Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw:
Achieving Ultralow Standby Power With an Efficient SCCMOS Bias Generator. IEEE Trans. Circuits Syst. II Express Briefs 60-II(12): 842-846 (2013) - [j76]Mohammad Hassan Ghaed, Gregory K. Chen, Razi-Ul Haque
, Michael Wieckowski, Yejoong Kim
, Gyouho Kim, Yoonmyung Lee
, Inhee Lee, David Fick, Daeyeon Kim, Mingoo Seok, Kensall D. Wise, David T. Blaauw, Dennis Sylvester:
Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor. IEEE Trans. Circuits Syst. I Regul. Pap. 60-I(12): 3152-3162 (2013) - [j75]Yoonmyung Lee
, Daeyeon Kim, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester
, David T. Blaauw, Dennis Sylvester:
Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs). IEEE Trans. Very Large Scale Integr. Syst. 21(9): 1632-1643 (2013) - [c203]Yen-Po Chen, Yoonmyung Lee
, Jae-Yoon Sim, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
45pW ESD clamp circuit for ultra-low power applications. CICC 2013: 1-4 - [c202]Bharan Giridhar, Matthew Fojtik, David Fick, Dennis Sylvester, David T. Blaauw:
Pulse amplification based dynamic synchronizers with metastability measurement using capacitance de-rating. CICC 2013: 1-4 - [c201]Seokhyeon Jeong, Jae-Yoon Sim, David T. Blaauw, Dennis Sylvester:
65nW CMOS temperature sensor for ultra-low power microsystems. CICC 2013: 1-4 - [c200]Dongsuk Jeon, Yejoong Kim
, Inhee Lee, Zhengya Zhang
, David T. Blaauw, Dennis Sylvester:
A low-power VGA full-frame feature extraction processor. ICASSP 2013: 2726-2730 - [c199]Sami Issa, Willy M. C. Sansen, Dennis Sylvester:
Keynote lectures. ICECS 2013 - [c198]Suyoung Bang, Yoonmyung Lee
, Inhee Lee, Yejoong Kim
, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
A fully integrated switched-capacitor based PMU with adaptive energy harvesting technique for ultra-low power sensing applications. ISCAS 2013: 709-712 - [c197]Dongsuk Jeon, Yejoong Kim
, Inhee Lee, Zhengya Zhang
, David T. Blaauw, Dennis Sylvester:
A 470mV 2.7mW feature extraction-accelerator for micro-autonomous vehicle navigation in 28nm CMOS. ISSCC 2013: 166-167 - [c196]Dong-Woo Jee, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
A 0.45V 423nW 3.2MHz multiplying DLL with leakage-based oscillator for ultra-low-power sensor platforms. ISSCC 2013: 188-189 - [c195]Seon-Kyoo Lee
, Seung-Hun Lee, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
A 95fJ/b current-mode transceiver for 10mm on-chip interconnect. ISSCC 2013: 262-263 - [c194]Seongjong Kim, Inyong Kwon, David Fick, Myungbo Kim, Yen-Po Chen, Dennis Sylvester:
Razor-lite: A side-channel error-detection register for timing-margin recovery in 45nm SOI CMOS. ISSCC 2013: 264-265 - [c193]Suyoung Bang, Allan Wang, Bharan Giridhar, David T. Blaauw, Dennis Sylvester:
A fully integrated successive-approximation switched-capacitor DC-DC converter with 31mV output voltage resolution. ISSCC 2013: 370-371 - [c192]Gyouho Kim, Mahmood Barangi, Zhiyoong Foo, Nathaniel Ross Pinckney, Suyoung Bang, David T. Blaauw, Dennis Sylvester:
A 467nW CMOS visual motion sensor with temporal averaging and pixel aggregation. ISSCC 2013: 480-481 - 2012
- [j74]Korey Sewell, Ronald G. Dreslinski, Thomas Manville, Sudhir Satpathy, Nathaniel Ross Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das
, Thomas F. Wenisch, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Swizzle-Switch Networks for Many-Core Systems. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(2): 278-294 (2012) - [j73]Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
A Super-Pipelined Energy Efficient Subthreshold 240 MS/s FFT Core in 65 nm CMOS. IEEE J. Solid State Circuits 47(1): 23-34 (2012) - [j72]Mingoo Seok, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5 V. IEEE J. Solid State Circuits 47(10): 2534-2545 (2012) - [j71]Andrew DeOrio, David Fick, Valeria Bertacco, Dennis Sylvester, David T. Blaauw, Jin Hu, Gregory K. Chen:
A Reliable Routing Architecture and Algorithm for NoCs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(5): 726-739 (2012) - [j70]Dongsuk Jeon, Mingoo Seok, Zhengya Zhang
, David T. Blaauw, Dennis Sylvester:
Design Methodology for Voltage-Overscaled Ultra-Low-Power Systems. IEEE Trans. Circuits Syst. II Express Briefs 59-II(12): 952-956 (2012) - [j69]Mingoo Seok, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Sleep Mode Analysis and Optimization With Minimal-Sized Power Gating Switch for Ultra-Low ${V}_{\rm dd}$ Operation. IEEE Trans. Very Large Scale Integr. Syst. 20(4): 605-615 (2012) - [j68]Prashant Singh, Eric Karl, David T. Blaauw, Dennis Sylvester:
Compact Degradation Sensors for Monitoring NBTI and Oxide Degradation. IEEE Trans. Very Large Scale Integr. Syst. 20(9): 1645-1655 (2012) - [c191]Ronald G. Dreslinski, Thomas Manville, Korey Sewell, Reetuparna Das
, Nathaniel Ross Pinckney, Sudhir Satpathy, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
XPoint cache: scaling existing bus-based coherence protocols for 2D and 3D many-core systems. PACT 2012: 75-86 - [c190]Yoonmyung Lee
, Dennis Sylvester, David T. Blaauw:
Circuits for ultra-low power millimeter-scale sensor nodes. ACSCC 2012: 752-756 - [c189]Vivek Joshi, Kanak Agarwal, Dennis Sylvester:
Design-patterning co-optimization of SRAM robustness for double patterning lithography. ASP-DAC 2012: 713-718 - [c188]Suyoung Bang, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
Reconfigurable sleep transistor for GIDL reduction in ultra-low standby power systems. CICC 2012: 1-4 - [c187]Gyouho Kim, Yoonmyung Lee
, Suyoung Bang, Inhee Lee, Yejoong Kim
, Dennis Sylvester, David T. Blaauw:
A 695 pW standby power optical wake-up receiver for wireless sensor nodes. CICC 2012: 1-4 - [c186]Sudhir Satpathy, Reetuparna Das
, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service. DAC 2012: 406-411 - [c185]Yoonmyung Lee
, Yejoong Kim
, Dongmin Yoon, David T. Blaauw, Dennis Sylvester:
Circuit and system design guidelines for ultra-low power sensor nodes. DAC 2012: 1037-1042 - [c184]Nathaniel Ross Pinckney, Korey Sewell, Ronald G. Dreslinski, David Fick, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Assessing the performance limits of parallelized near-threshold computing. DAC 2012: 1147-1152 - [c183]Yejoong Kim
, Yoonmyung Lee
, Dennis Sylvester, David T. Blaauw:
SLC: Split-control Level Converter for dense and stable wide-range voltage conversion. ESSCIRC 2012: 478-481 - [c182]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee
, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Centip3De: A 64-core, 3D stacked, near-threshold system. Hot Chips Symposium 2012: 1-30 - [c181]Ronald G. Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Ross Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das
, Thomas F. Wenisch, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Swizzle Switch: A self-arbitrating high-radix crossbar for NoC systems. Hot Chips Symposium 2012: 1-44 - [c180]Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
Extending energy-saving voltage scaling in ultra low voltage integrated circuit designs. ICICDT 2012: 1-4 - [c179]Pat Pannuto
, Yoonmyung Lee
, Benjamin P. Kempke, Dennis Sylvester, David T. Blaauw, Prabal Dutta:
Ultra-constrained sensor platform interfacing. IPSN 2012: 147-148 - [c178]Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester:
An adaptive write word-line pulse width and voltage modulation architecture for bit-interleaved 8T SRAMs. ISLPED 2012: 91-96 - [c177]David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee
, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores. ISSCC 2012: 190-192 - [c176]Dongmin Yoon, Dennis Sylvester, David T. Blaauw:
A 5.58nW 32.768kHz DLL-assisted XO for real-time clocks in wireless sensing applications. ISSCC 2012: 366-368 - [c175]Yoonmyung Lee
, Gyouho Kim, Suyoung Bang, Yejoong Kim
, Inhee Lee, Prabal Dutta, Dennis Sylvester, David T. Blaauw:
A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting. ISSCC 2012: 402-404 - [c174]Sudhir Satpathy, Korey Sewell, Thomas Manville, Yen-Po Chen, Ronald G. Dreslinski, Dennis Sylvester, Trevor N. Mudge, David T. Blaauw:
A 4.5Tb/s 3.4Tb/s/W 64×64 switch fabric with self-updating least-recently-granted priority and quality-of-service arbitration in 45nm CMOS. ISSCC 2012: 478-480 - [c173]Matthew Fojtik, David Fick, Yejoong Kim
, Nathaniel Ross Pinckney, David Money Harris, David T. Blaauw, Dennis Sylvester:
Bubble Razor: An architecture-independent approach to timing-error detection and correction. ISSCC 2012: 488-490 - [c172]Hassan Ghaed, Gregory K. Chen, David T. Blaauw, Dennis Sylvester:
Analysis and measurement of the stability of dual-resonator oscillators. RWS 2012: 219-222 - [c171]Youn Sung Park, David T. Blaauw, Dennis Sylvester, Zhengya Zhang
:
A 1.6-mm2 38-mW 1.5-Gb/s LDPC decoder enabled by refresh-free embedded DRAM. VLSIC 2012: 114-115 - [c170]Sudhir Satpathy, Dennis Sylvester, David T. Blaauw:
A standard cell compatible bidirectional repeater with thyristor assist. VLSIC 2012: 174-175 - [c169]Yen-Po Chen, Matthew Fojtik, David T. Blaauw, Dennis Sylvester:
A 2.98nW bandgap voltage reference using a self-tuning low leakage sample and hold. VLSIC 2012: 200-201 - [c168]Inhee Lee, Suyoung Bang, Yoonmyung Lee
, Yejoong Kim
, Gyouho Kim, Dennis Sylvester, David T. Blaauw:
A 635pW battery voltage supervisory circuit for miniature sensor nodes. VLSIC 2012: 202-203 - 2011
- [j67]Mingoo Seok, Gregory K. Chen, Scott Hanson, Michael Wieckowski, David T. Blaauw, Dennis Sylvester:
CAS-FEST 2010: Mitigating Variability in Near-Threshold Computing. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(1): 42-49 (2011) - [j66]Mingoo Seok, David T. Blaauw, Dennis Sylvester:
Robust Clock Network Design Methodology for Ultra-Low Voltage Operations. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(2): 120-130 (2011) - [j65]Jae-sun Seo, David T. Blaauw, Dennis Sylvester:
Crosstalk-Aware PWM-Based On-Chip Links With Self-Calibration in 65 nm CMOS. IEEE J. Solid State Circuits 46(9): 2041-2052 (2011) - [j64]Vineeth Veetil, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
Fast Statistical Static Timing Analysis Using Smart Monte Carlo Techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(6): 852-865 (2011) - [j63]Cheng Zhuo, Kaviraj Chopra, Dennis Sylvester, David T. Blaauw:
Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(9): 1321-1334 (2011) - [j62]Prashant Singh, Eric Karl, Dennis Sylvester, David T. Blaauw:
Dynamic NBTI Management Using a 45 nm Multi-Degradation Sensor. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(9): 2026-2037 (2011) - [j61]Jae-sun Seo, Himanshu Kaul, Ram Krishnamurthy, Dennis Sylvester, David T. Blaauw:
A Robust Edge Encoding Technique for Energy-Efficient Multi-Cycle Interconnect. IEEE Trans. Very Large Scale Integr. Syst. 19(2): 264-273 (2011) - [c167]Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design. DAC 2011: 990-995 - [c166]Mark Woh, Sudhir Satpathy, Ronald G. Dreslinski, Danny Kershaw, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Low power interconnects for SIMD computers. DATE 2011: 600-605 - [c165]Chia-Hsiang Chen, Yejoong Kim
, Zhengya Zhang, David T. Blaauw, Dennis Sylvester, Helia Naeimi, Sumeet Sandhu:
A confidence-driven model for error-resilient computing. DATE 2011: 1608-1613 - [c164]Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
Energy-optimized high performance FFT processor. ICASSP 2011: 1701-1704 - [c163]Gregory K. Chen, Michael Wieckowski, Daeyeon Kim, David T. Blaauw, Dennis Sylvester:
A dense 45nm half-differential SRAM with lower minimum operating voltage. ISCAS 2011: 57-60 - [c162]Daeyeon Kim, Gregory K. Chen, Matthew Fojtik, Mingoo Seok, David T. Blaauw, Dennis Sylvester:
A 1.85fW/bit ultra low leakage 10T SRAM with speed compensation scheme. ISCAS 2011: 69-72 - [c161]Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester:
Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs. ISLPED 2011: 145-150 - [c160]Michael Wieckowski, Gregory K. Chen, Daeyeon Kim, David T. Blaauw, Dennis Sylvester:
A 128kb high density portless SRAM using hierarchical bitlines and thyristor sense amplifiers. ISQED 2011: 87-90 - [c159]Yoonmyung Lee
, Bharan Giridhar, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
A 660pW multi-stage temperature-compensated timer for ultra-low-power wireless sensor node synchronization. ISSCC 2011: 46-48 - [c158]Gregory K. Chen, Hassan Ghaed, Razi-Ul Haque
, Michael Wieckowski, Yejoong Kim
, Gyouho Kim, David Fick, Daeyeon Kim, Mingoo Seok, Kensall D. Wise, David T. Blaauw, Dennis Sylvester:
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor. ISSCC 2011: 310-312 - [c157]Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
A 0.27V 30MHz 17.7nJ/transform 1024-pt complex FFT core with super-pipelining. ISSCC 2011: 342-344 - 2010
- [j60]Scott Hanson, Zhiyoong Foo, David T. Blaauw, Dennis Sylvester:
A 0.5 V Sub-Microwatt CMOS Image Sensor With Pulse-Width Modulation Read-Out. IEEE J. Solid State Circuits 45(4): 759-767 (2010) - [j59]Ronald G. Dreslinski, Michael Wieckowski, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits. Proc. IEEE 98(2): 253-266 (2010) - [j58]Gregory K. Chen, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Circuit Design Advances for Wireless Sensing Applications. Proc. IEEE 98(11): 1808-1827 (2010) - [j57]Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
Victim Alignment in Crosstalk-Aware Timing Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(2): 261-274 (2010) - [j56]Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal:
Mechanical Stress Aware Optimization for Leakage Power Reduction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(5): 722-736 (2010) - [j55]Harmander Singh, Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Richard B. Brown:
Dynamically Pulsed MTCMOS With Bus Encoding for Reduction of Total Power and Crosstalk Noise. IEEE Trans. Very Large Scale Integr. Syst. 18(1): 166-170 (2010) - [j54]Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Yield-Driven Near-Threshold SRAM Design. IEEE Trans. Very Large Scale Integr. Syst. 18(11): 1590-1598 (2010) - [c156]Cheng Zhuo, Yung-Hsu Chang, Dennis Sylvester, David T. Blaauw:
Design time body bias selection for parametric yield improvement. ASP-DAC 2010: 681-688 - [c155]Vivek Joshi, Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Analyzing electrical effects of RTA-driven local anneal temperature variation. ASP-DAC 2010: 739-744 - [c154]Vivek Joshi, Michael Wieckowski, Gregory K. Chen, David T. Blaauw, Dennis Sylvester:
Analyzing the impact of Double Patterning Lithography on SRAM variability in 45nm CMOS. CICC 2010: 1-4 - [c153]Yoonmyung Lee
, Gregory K. Chen, Scott Hanson, Dennis Sylvester, David T. Blaauw:
Ultra-low power circuit techniques for a new class of sub-mm3 sensor nodes. CICC 2010: 1-8 - [c152]Prashant Singh, Eric Karl, Dennis Sylvester, David T. Blaauw:
Dynamic NBTI management using a 45nm multi-degradation sensor. CICC 2010: 1-4 - [c151]Vivek Joshi, Valeriy Sukharev
, Andres Torres, Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Closed-form modeling of layout-dependent mechanical stress. DAC 2010: 673-678 - [c150]Vineeth Veetil, Yung-Hsu Chang, Dennis Sylvester, David T. Blaauw:
Efficient smart monte carlo based SSTA on graphics processing units with improved resource utilization. DAC 2010: 793-798 - [c149]Cheng Zhuo, Dennis Sylvester, David T. Blaauw:
Process variation and temperature-aware reliability management. DATE 2010: 580-585 - [c148]Michael Wieckowski, Dennis Sylvester, David T. Blaauw, Vikas Chandra, Sachin Idgunji, Cezary Pietrzyk, Robert C. Aitken:
A black box method for stability analysis of arbitrary SRAM cell structures. DATE 2010: 795-800 - [c147]Mingoo Seok, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
Variability analysis of a digitally trimmable ultra-low power voltage reference. ESSCIRC 2010: 110-113 - [c146]Gregory K. Chen, Michael Wieckowski, David T. Blaauw, Dennis Sylvester:
Crosshairs SRAM - An adaptive memory for mitigating parametric failures. ESSCIRC 2010: 366-369 - [c145]Vivek Joshi, Kanak Agarwal, David T. Blaauw, Dennis Sylvester:
Analysis and optimization of SRAM robustness for double patterning lithography. ICCAD 2010: 25-31 - [c144]Cheng Zhuo, Kanak Agarwal, David T. Blaauw, Dennis Sylvester:
Active learning framework for post-silicon variation extraction and test cost reduction. ICCAD 2010: 508-515 - [c143]Vineeth Veetil, Dennis Sylvester, David T. Blaauw:
A lower bound computation method for evaluation of statistical design techniques. ICCAD 2010: 562-569 - [c142]Mingoo Seok, Scott Hanson, Michael Wieckowski, Gregory K. Chen, Yu-Shiang Lin, David T. Blaauw, Dennis Sylvester:
Circuit design advances to enable ubiquitous sensing environments. ISCAS 2010: 285-288 - [c141]Mingoo Seok, David T. Blaauw, Dennis Sylvester:
Clock network design for ultra-low power applications. ISLPED 2010: 271-276 - [c140]Vivek Joshi, Kanak Agarwal, Dennis Sylvester:
Simultaneous extraction of effective gate length and low-field mobility in non-uniform devices. ISQED 2010: 158-162 - [c139]Jae-sun Seo, Ron Ho, Jon K. Lexau, Michael Dayringer, Dennis Sylvester, David T. Blaauw:
High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS. ISSCC 2010: 182-183 - [c138]David Fick, Nurrachman Liu, Zhiyoong Foo, Matthew Fojtik, Jae-sun Seo, Dennis Sylvester, David T. Blaauw:
In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter. ISSCC 2010: 188-189 - [c137]Prashant Singh, Zhiyoong Foo, Michael Wieckowski, Scott Hanson, Matthew Fojtik, David T. Blaauw, Dennis Sylvester:
Early detection of oxide breakdown through in situ degradation sensing. ISSCC 2010: 190-191 - [c136]Gregory K. Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. ISSCC 2010: 288-289
2000 – 2009
- 2009
- [j53]Prashant Singh, Cheng Zhuo, Eric Karl, David T. Blaauw, Dennis Sylvester:
Sensor-Driven Reliability and Wearout Management. IEEE Des. Test Comput. 26(6): 40-49 (2009) - [j52]Scott Hanson, Mingoo Seok, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee
, Nurrachman Liu, Dennis Sylvester, David T. Blaauw:
A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode. IEEE J. Solid State Circuits 44(4): 1145-1155 (2009) - [j51]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
Alignment-Independent Chip-to-Chip Communication for Sensor Applications Using Passive Capacitive Signaling. IEEE J. Solid State Circuits 44(4): 1156-1166 (2009) - [j50]Rajeev R. Rao, Vivek Joshi, David T. Blaauw, Dennis Sylvester:
Circuit optimization techniques to mitigate the effects of soft errors in combinational logic. ACM Trans. Design Autom. Electr. Syst. 15(1): 5:1-5:27 (2009) - [j49]Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Todd M. Austin, Dennis Sylvester, David T. Blaauw:
Energy-Efficient Subthreshold Processor Design. IEEE Trans. Very Large Scale Integr. Syst. 17(8): 1127-1137 (2009) - [j48]Youngmin Kim, Dusan Petranovic, Dennis Sylvester:
Simple and Accurate Models for Capacitance Considering Floating Metal Fill Insertion. IEEE Trans. Very Large Scale Integr. Syst. 17(8): 1166-1170 (2009) - [c135]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
Near-field communication using phase-locking and pulse signaling for millimeter-scale systems. CICC 2009: 563-566 - [c134]Mingoo Seok, Gyouho Kim, Dennis Sylvester, David T. Blaauw:
A 0.5V 2.2pW 2-transistor voltage reference. CICC 2009: 577-580 - [c133]Vineeth Veetil, Dennis Sylvester, David T. Blaauw, Saumil Shah, Steffen Rochel:
Efficient smart sampling based full-chip leakage analysis for intra-die variation considering state dependence. DAC 2009: 154-159 - [c132]David Fick, Andrew DeOrio, Jin Hu, Valeria Bertacco, David T. Blaauw, Dennis Sylvester:
Vicis: a reliable network for unreliable silicon. DAC 2009: 812-817 - [c131]David Fick, Andrew DeOrio, Gregory K. Chen, Valeria Bertacco, Dennis Sylvester, David T. Blaauw:
A highly resilient routing algorithm for fault-tolerant NoCs. DATE 2009: 21-26 - [c130]Cheng Zhuo, David T. Blaauw, Dennis Sylvester:
Post-fabrication measurement-driven oxide breakdown reliability prediction and management. ICCAD 2009: 441-448 - [c129]Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester:
Interconnect performance corners considering crosstalk noise. ICCD 2009: 231-237 - [c128]Daeyeon Kim, Yoonmyung Lee
, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester
, Dennis Sylvester, David T. Blaauw:
Low power circuit design based on heterojunction tunneling transistors (HETTs). ISLPED 2009: 219-224 - [c127]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
A 150pW program-and-hold timer for ultra-low-power sensor platforms. ISSCC 2009: 326-327 - [c126]Ronald G. Dreslinski, David Fick, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reconfigurable Multicore Server Processors for Low Power Operation. SAMOS 2009: 247-254 - 2008
- [j47]Dennis Sylvester, Kanak Agarwal, Saumil Shah:
Variability in nanometer CMOS: Impact, analysis, and minimization. Integr. 41(3): 319-339 (2008) - [j46]Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd M. Austin, Dennis Sylvester, David T. Blaauw:
Exploring Variability and Performance in a Sub-200-mV Processor. IEEE J. Solid State Circuits 43(4): 881-891 (2008) - [j45]Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester:
A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM. IEEE J. Solid State Circuits 43(10): 2338-2348 (2008) - [j44]Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David T. Blaauw:
A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(2): 272-285 (2008) - [j43]Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw:
Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(3): 481-494 (2008) - [j42]Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Multi-Mechanism Reliability Modeling and Management in Dynamic Systems. IEEE Trans. Very Large Scale Integr. Syst. 16(4): 476-487 (2008) - [j41]Prashant Singh, Jae-sun Seo, David T. Blaauw, Dennis Sylvester:
Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect. IEEE Trans. Very Large Scale Integr. Syst. 16(6): 673-677 (2008) - [c125]Puneet Gupta
, Andrew B. Kahng, Youngmin Kim, Saumil Shah, Dennis Sylvester:
Investigation of diffusion rounding for post-lithography analysis. ASP-DAC 2008: 480-485 - [c124]Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown:
Clock tree synthesis with data-path sensitivity matching. ASP-DAC 2008: 498-503 - [c123]Mingoo Seok, Scott Hanson, Jae-sun Seo, Dennis Sylvester, David T. Blaauw:
Robust ultra-low voltage ROM design. CICC 2008: 423-426 - [c122]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
An ultra low power 1V, 220nW temperature sensor for passive wireless applications. CICC 2008: 507-510 - [c121]Michael Wieckowski, Young Min Park, Carlos Tokunaga
, Dong Woon Kim, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
Timing yield enhancement through soft edge flip-flop based design. CICC 2008: 543-546 - [c120]Vineeth Veetil, Dennis Sylvester, David T. Blaauw:
Efficient Monte Carlo based incremental statistical timing analysis. DAC 2008: 676-681 - [c119]Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal:
Leakage power reduction using stress-enhanced layouts. DAC 2008: 912-917 - [c118]Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester:
Modeling crosstalk in statistical static timing analysis. DAC 2008: 974-979 - [c117]Yoonmyung Lee
, Mingoo Seok, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Standby power reduction techniques for ultra-low power processors. ESSCIRC 2008: 186-189 - [c116]Jae-sun Seo, Igor L. Markov, Dennis Sylvester, David T. Blaauw:
On the decreasing significance of large standard cells in technology mapping. ICCAD 2008: 116-121 - [c115]Brian Cline, Vivek Joshi, Dennis Sylvester, David T. Blaauw:
STEEL: a technique for stress-enhanced standard cell library design. ICCAD 2008: 691-697 - [c114]Kaviraj Chopra, Cheng Zhuo, David T. Blaauw, Dennis Sylvester:
A statistical approach for full-chip gate-oxide reliability analysis. ICCAD 2008: 698-705 - [c113]Himanshu Kaul, Jae-sun Seo, Mark A. Anders, Dennis Sylvester, Ram Krishnamurthy:
A robust alternate repeater technique for high performance busses in the multi-core era. ISCAS 2008: 372-375 - [c112]Yu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga
, Razi-Ul Haque
, Kensall D. Wise, Ann Marie Sastry, David T. Blaauw, Dennis Sylvester:
Low-voltage circuit design for widespread sensing applications. ISCAS 2008: 2558-2561 - [c111]Mingoo Seok, Dennis Sylvester, David T. Blaauw:
Optimal technology selection for minimizing energy and variability in low voltage applications. ISLPED 2008: 9-14 - [c110]Cheng Zhuo, David T. Blaauw, Dennis Sylvester:
Variation-aware gate sizing and clustering for post-silicon optimized circuits. ISLPED 2008: 105-110 - [c109]Yu-Shiang Lin, Dennis Sylvester:
Single stage static level shifter design for subthreshold to I/O voltage conversion. ISLPED 2008: 197-200 - [c108]Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal:
Stress aware layout optimization. ISPD 2008: 168-174 - [c107]Vineeth Veetil, Dennis Sylvester, David T. Blaauw:
Fast and Accurate Waveform Analysis with Current Source Models. ISQED 2008: 53-56 - [c106]Eric Karl, Dennis Sylvester, David T. Blaauw:
Analysis of System-Level Reliability Factors and Implications on Real-Time Monitoring Methods for Oxide Breakdown Device Failures. ISQED 2008: 391-395 - [c105]Eric Karl, Prashant Singh, David T. Blaauw, Dennis Sylvester:
Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation. ISSCC 2008: 410-411 - [c104]Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Reconfigurable energy efficient near threshold cache architectures. MICRO 2008: 459-470 - 2007
- [j40]Dennis Sylvester, Ashish Srivastava:
Computer-Aided Design for Low-Power Robust Computing in Nanoscale CMOS. Proc. IEEE 95(3): 507-529 (2007) - [j39]Ashish Srivastava, T. Kachru, Dennis Sylvester:
Low-Power-Design Space Exploration Considering Process Variation Using Robust Optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(1): 67-79 (2007) - [j38]Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3): 468-479 (2007) - [j37]Puneet Gupta
, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester:
Self-Compensating Design for Reduction of Timing and Leakage Sensitivity to Systematic Pattern-Dependent Variation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(9): 1614-1624 (2007) - [j36]Kanak Agarwal, Rahul M. Rao, Dennis Sylvester, Richard B. Brown:
Parametric Yield Analysis and Optimization in Leakage Dominated Technologies. IEEE Trans. Very Large Scale Integr. Syst. 15(6): 613-623 (2007) - [j35]Harmander Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka
:
Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating. IEEE Trans. Very Large Scale Integr. Syst. 15(11): 1215-1224 (2007) - [c103]Ronald G. Dreslinski, Bo Zhai, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester:
An Energy Efficient Parallel Architecture Using Near Threshold Operation. PACT 2007: 175-188 - [c102]Youngmin Kim, Dusan Petranovic, Dennis Sylvester:
Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion. ASP-DAC 2007: 456-461 - [c101]Yu-Shiang Lin, Dennis Sylvester:
Runtime leakage power estimation technique for combinational circuits. ASP-DAC 2007: 660-665 - [c100]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
A sub-pW timer using gate leakage for ultra low-power sub-Hz monitoring systems. CICC 2007: 397-400 - [c99]Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer:
Top-k Aggressors Sets in Delay Noise Analysis. DAC 2007: 174-179 - [c98]Puneet Gupta, Andrew B. Kahng, Youngmin Kim, Saumil Shah, Dennis Sylvester:
Line-End Shortening is Not Always a Failure. DAC 2007: 270-271 - [c97]Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw:
Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design. DAC 2007: 694-699 - [c96]Scott Hanson, Mingoo Seok, Dennis Sylvester, David T. Blaauw:
Nanometer Device Scaling in Subthreshold Circuits. DAC 2007: 700-705 - [c95]Gregory K. Chen, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester, Nam Sung Kim:
Yield-driven near-threshold SRAM design. ICCAD 2007: 660-666 - [c94]Vivek Joshi, David T. Blaauw, Dennis Sylvester:
Soft-edge flip-flops for improved timing yield: design and optimization. ICCAD 2007: 667-673 - [c93]Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer, Joao Geada:
Victim alignment in crosstalk aware timing analysis. ICCAD 2007: 698-704 - [c92]Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester:
Energy efficient near-threshold chip multi-processing. ISLPED 2007: 32-37 - [c91]Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy:
A robust edge encoding technique for energy-efficient multi-cycle interconnect. ISLPED 2007: 68-73 - [c90]Jae-sun Seo, Prashant Singh, Dennis Sylvester, David T. Blaauw:
Self-Time Regenerators for High-Speed and Low-Power Interconnect. ISQED 2007: 621-626 - [c89]Bo Zhai, David T. Blaauw, Dennis Sylvester, Scott Hanson:
A Sub-200mV 6T SRAM in 0.13μm CMOS. ISSCC 2007: 332-606 - [c88]Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester:
Energy-Optimal Circuit Design. SoC 2007: 1-4 - [i2]Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin:
DVS for On-Chip Bus Designs Based on Timing Error Correction. CoRR abs/0710.4679 (2007) - [i1]Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge:
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage. CoRR abs/0710.4794 (2007) - 2006
- [j34]Dennis Sylvester, David T. Blaauw, Eric Karl:
ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. IEEE Des. Test Comput. 23(6): 484-490 (2006) - [j33]Scott Hanson, Bo Zhai, Kerry Bernstein, David T. Blaauw, Andres Bryant, Leland Chang, Koushik K. Das, Wilfried Haensch, Edward J. Nowak, Dennis Sylvester:
Ultralow-voltage, minimum-energy CMOS. IBM J. Res. Dev. 50(4-5): 469-490 (2006) - [j32]Sarvesh H. Kulkarni, Dennis Sylvester:
Power Distribution Techniques for Dual VDD Circuits. J. Low Power Electron. 2(2): 217-229 (2006) - [j31]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(5): 892-901 (2006) - [j30]Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw:
Statistical interconnect metrics for physical-design optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(7): 1273-1288 (2006) - [j29]Puneet Gupta
, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester:
Gate-length biasing for runtime-leakage control. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(8): 1475-1485 (2006) - [j28]Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester:
Analytical yield prediction considering leakage/performance correlation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(9): 1685-1695 (2006) - [j27]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Runtime Leakage Minimization Through Probability-Aware Optimization. IEEE Trans. Very Large Scale Integr. Syst. 14(10): 1075-1088 (2006) - [c87]Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown:
Process-induced skew reduction in nominal zero-skew clock trees. ASP-DAC 2006: 84-89 - [c86]Sarvesh H. Kulkarni, Dennis Sylvester:
Power distribution techniques for dual VDD circuits. ASP-DAC 2006: 838-843 - [c85]Sani R. Nassif, Vijay Pitchumani, Norma Rodriguez, Dennis Sylvester, Clive Bittlestone, Riko Radojcic:
Variation-aware analysis: savior of the nanometer era? DAC 2006: 411-412 - [c84]Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown:
Clock buffer and wire sizing using sequential programming. DAC 2006: 1041-1046 - [c83]Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reliability modeling and management in dynamic microprocessor-based systems. DAC 2006: 1057-1060 - [c82]Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
An efficient static algorithm for computing the soft error rates of combinational circuits. DATE 2006: 164-169 - [c81]Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw:
A statistical framework for post-silicon tuning through body bias clustering. ICCAD 2006: 39-46 - [c80]Kaviraj Chopra, Bo Zhai, David T. Blaauw, Dennis Sylvester:
A new statistical max operation for propagating skewness in statistical timing analysis. ICCAD 2006: 237-243 - [c79]Rajeev R. Rao, David T. Blaauw, Dennis Sylvester:
Soft error reduction in combinational logic using gate resizing and flipflop selection. ICCAD 2006: 502-509 - [c78]Harmander Deogun, Dennis Sylvester, Kevin J. Nowka
:
Fine grained multi-threshold CMOS for enhanced leakage reduction. ISCAS 2006 - [c77]Harmander Deogun, Robert M. Senger, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka
:
A dual-VDD boosted pulsed bus technique for low power and low leakage operation. ISLPED 2006: 73-78 - [c76]Scott Hanson, Dennis Sylvester, David T. Blaauw:
A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. ISLPED 2006: 338-341 - [c75]Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester, Andres Bryant, Xinlin Wang:
Energy optimality and variability in subthreshold design. ISLPED 2006: 363-365 - [c74]Vivek Joshi, Rajeev R. Rao, David T. Blaauw, Dennis Sylvester:
Logic SER Reduction through Flipflop Redesign. ISQED 2006: 611-616 - [c73]Kanak Agarwal, Kevin J. Nowka
, Harmander Deogun, Dennis Sylvester:
Power Gating with Multiple Sleep Modes. ISQED 2006: 633-637 - 2005
- [b1]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Statistical Analysis and Optimization for VLSI: Timing and Power. Series on Integrated Circuits and Systems, Springer 2005, ISBN 978-0-387-25738-9, pp. 1-279 - [j26]Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Anirudh Devgan:
Modeling and Analysis of Parametric Yield under Power and Performance Constraints. IEEE Des. Test Comput. 22(4): 376-385 (2005) - [j25]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Static leakage reduction through simultaneous Vt/Tox and state assignment. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(7): 1014-1029 (2005) - [j24]Yu Cao, Xuejue Huang, Dennis Sylvester, Tsu-Jae King, Chenming Hu:
Impact of on-chip interconnect frequency-dependent R(f)L(f) on digital and RF design. IEEE Trans. Very Large Scale Integr. Syst. 13(1): 158-162 (2005) - [j23]Yu Cao, Xiaodong Yang, Xuejue Huang, Dennis Sylvester:
Switch-factor based loop RLC modeling for efficient timing analysis. IEEE Trans. Very Large Scale Integr. Syst. 13(9): 1072-1078 (2005) - [j22]Himanshu Kaul, Dennis Sylvester, Mark A. Anders, Ram Krishnamurthy:
Design and analysis of spatial encoding circuits for peak power reduction in on-chip buses. IEEE Trans. Very Large Scale Integr. Syst. 13(11): 1225-1238 (2005) - [j21]Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. IEEE Trans. Very Large Scale Integr. Syst. 13(11): 1239-1252 (2005) - [j20]Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar
:
Gate oxide leakage and delay tradeoffs for dual-Tox circuits. IEEE Trans. Very Large Scale Integr. Syst. 13(12): 1362-1375 (2005) - [j19]Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester:
Bus encoding for total power reduction using a leakage-aware buffer configuration. IEEE Trans. Very Large Scale Integr. Syst. 13(12): 1376-1383 (2005) - [c72]Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Anirudh Devgan:
Achieving continuous VT performance in a dual VT process. ASP-DAC 2005: 393-398 - [c71]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment. ASP-DAC 2005: 399-404 - [c70]Mridul Agarwal, Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Statistical modeling of cross-coupling effects in VLSI interconnects. ASP-DAC 2005: 503-506 - [c69]Jie Yang, Luigi Capodieci, Dennis Sylvester:
Advanced timing analysis based on post-OPC extraction of critical dimensions. DAC 2005: 359-364 - [c68]Puneet Gupta, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester:
Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions. DAC 2005: 365-368 - [c67]Ashish Srivastava, Saumil Shah, Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Stephen W. Director:
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance. DAC 2005: 535-540 - [c66]Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin:
DVS for On-Chip Bus Designs Based on Timing Error Correction. DATE 2005: 80-85 - [c65]Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge:
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage. DATE 2005: 650-651 - [c64]Matthew R. Guthaus, Natesan Venkateswaran, Vladimir Zolotov, Dennis Sylvester, Richard B. Brown:
Optimization objectives and models of variation for statistical gate sizing. ACM Great Lakes Symposium on VLSI 2005: 313-316 - [c63]Robert Bai, Nam Sung Kim, Dennis Sylvester, Trevor N. Mudge:
Total leakage optimization strategies for multi-level caches. ACM Great Lakes Symposium on VLSI 2005: 381-384 - [c62]Himanshu Kaul, Dennis Sylvester:
A novel buffer circuit for energy efficient signaling in dual-VDD systems. ACM Great Lakes Symposium on VLSI 2005: 462-467 - [c61]Saumil Shah, Ashish Srivastava, Dushyant Sharma, Dennis Sylvester, David T. Blaauw, Vladimir Zolotov:
Discrete Vt assignment and gate sizing using a self-snapping continuous formulation. ICCAD 2005: 705-712 - [c60]Kaviraj Chopra, Saumil Shah, Ashish Srivastava, David T. Blaauw, Dennis Sylvester:
Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation. ICCAD 2005: 1023-1028 - [c59]Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Himanshu Kaul, Richard B. Brown, Sani R. Nassif:
Power-aware global signaling strategies. ISCAS (1) 2005: 604-607 - [c58]Eric Karl, Dennis Sylvester, David T. Blaauw:
Timing error correction techniques for voltage-scalable on-chip memories. ISCAS (4) 2005: 3563-3566 - [c57]Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Analysis and mitigation of variability in subthreshold design. ISLPED 2005: 20-25 - [c56]Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif:
An efficient surface-based low-power buffer insertion algorithm. ISPD 2005: 86-93 - [c55]Harmander Deogun, Rahul M. Rao, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka
:
Dynamically Pulsed MTCMOS with Bus Encoding for Total Power and Crosstalk Minimization. ISQED 2005: 88-93 - [c54]Harmander Deogun, Dennis Sylvester, David T. Blaauw:
Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate. ISQED 2005: 175-180 - [c53]Puneet Gupta
, Andrew B. Kahng, Dennis Sylvester, Jie Yang:
Performance Driven OPC for Mask Cost Reduction. ISQED 2005: 270-275 - [c52]Rahul M. Rao, Kanak Agarwal, Anirudh Devgan, Kevin J. Nowka
, Dennis Sylvester, Richard B. Brown:
Parametric Yield Analysis and Constrained-Based Supply Voltage Optimization. ISQED 2005: 284-290 - [c51]Harmander Singh Deogun, Dennis Sylvester, Rahul M. Rao, Kevin J. Nowka:
Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength. SoCC 2005: 147-150 - [c50]Yu-Shiang Lin, Dennis Sylvester:
A New Asymmetric Skewed Buffer Design for Runtime Leakage Power Reduction. VLSI Design 2005: 824-827 - 2004
- [j18]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A library compatible driver output model for on-chip RLC transmission lines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(1): 128-136 (2004) - [j17]Ashish Srivastava, Dennis Sylvester:
Minimizing total power by simultaneous Vdd/Vth assignment. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(5): 665-677 (2004) - [j16]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A simple metric for slew rate of RC circuits based on two circuit moments. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(9): 1346-1354 (2004) - [j15]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Performance optimization of critical nets through active shielding. IEEE Trans. Circuits Syst. I Regul. Pap. 51-I(12): 2417-2435 (2004) - [j14]Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester:
Statistical analysis of subthreshold leakage current for VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 131-139 (2004) - [j13]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Gate oxide leakage current analysis and reduction for VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 155-166 (2004) - [j12]Himanshu Kaul, Dennis Sylvester:
Low-power on-chip communication based on transition-aware global signaling (TAGS). IEEE Trans. Very Large Scale Integr. Syst. 12(5): 464-476 (2004) - [j11]Sarvesh H. Kulkarni, Dennis Sylvester:
High performance level conversion for dual VDD design. IEEE Trans. Very Large Scale Integr. Syst. 12(9): 926-936 (2004) - [c49]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. ASP-DAC 2004: 858-864 - [c48]Luigi Capodieci, Puneet Gupta
, Andrew B. Kahng, Dennis Sylvester, Jie Yang:
Toward a methodology for manufacturability-driven design rule exploration. DAC 2004: 311-316 - [c47]Puneet Gupta
, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester:
Selective gate-length biasing for cost-effective runtime leakage control. DAC 2004: 327-330 - [c46]Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Frank Liu, Sani R. Nassif, Sarma B. K. Vrudhula:
Variational delay metrics for interconnect timing analysis. DAC 2004: 381-384 - [c45]Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester:
Parametric yield estimation considering leakage variability. DAC 2004: 442-447 - [c44]Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar:
Tradeoffs between date oxide leakage and delay for dual Tox circuits. DAC 2004: 761-766 - [c43]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Statistical optimization of leakage power considering process variations using dual-Vth and sizing. DAC 2004: 773-778 - [c42]Harmander Deogun, Rajeev R. Rao, Dennis Sylvester, David T. Blaauw:
Leakage-and crosstalk-aware bus encoding for total power reduction. DAC 2004: 779-782 - [c41]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment. DAC 2004: 783-787 - [c40]Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Theoretical and practical limits of dynamic voltage scaling. DAC 2004: 868-873 - [c39]Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester:
Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. DATE 2004: 494-499 - [c38]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design. DATE 2004: 718-719 - [c37]Ashish Srivastava, Dennis Sylvester:
A general framework for probabilistic low-power design space exploration considering process variation. ICCAD 2004: 808-813 - [c36]Saumil Shah, Kanak Agarwal, Dennis Sylvester:
A New Threshold Voltage Assignment Scheme for Runtime Leakage Reduction in On-Chip Repeaters. ICCD 2004: 138-143 - [c35]Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar
:
Transistor and Pin Reordering for Gate Oxide Leakage Reduction in Dual T{ox} Circuits. ICCD 2004: 228-233 - [c34]Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka
, Sani R. Nassif:
Approaches to run-time and standby mode leakage reduction in global buses. ISLPED 2004: 188-193 - [c33]Himanshu Kaul, Dennis Sylvester, Mark A. Anders, Ram Krishnamurthy:
Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses. ISLPED 2004: 194-199 - [c32]Sarvesh H. Kulkarni, Ashish Srivastava, Dennis Sylvester:
A new algorithm for improved VDD assignment in low power dual VDD systems. ISLPED 2004: 200-205 - [c31]Desmond Kirkpatrick, Peter J. Osler, Louis Scheffer, Prashant Saxena, Dennis Sylvester:
The great interconnect buffering debate: are you a chicken or an ostrich? ISPD 2004: 61 - [c30]Puneet Gupta
, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester:
Investigation of performance metrics for interconnect stack architectures. SLIP 2004: 23-29 - [c29]Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Extended dynamic voltage scaling for low power design. SoCC 2004: 389-394 - [p1]Dongwoo Lee, Bo Zhai, David T. Blaauw, Dennis Sylvester:
Static Leakage Reduction through Simulteneous VTT/TOX and State Assignment. Ultra Low-Power Electronics and Design 2004: 56-83 - 2003
- [j10]Takashi Sato
, Yu Cao, Kanak Agarwal, Dennis Sylvester, Chenming Hu:
Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay-change curves. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(5): 560-572 (2003) - [j9]Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Igor L. Markov, Michael Oliver, Dirk Stroobandt, Dennis Sylvester:
Improved a priori interconnect predictions and technology extrapolation in the GTX system. IEEE Trans. Very Large Scale Integr. Syst. 11(1): 3-14 (2003) - [c28]Ashish Srivastava, Dennis Sylvester:
Minimizing total power by simultaneous Vdd/Vth assignment. ASP-DAC 2003: 400-403 - [c27]Jan M. Rabaey, Dennis Sylvester, David T. Blaauw, Kerry Bernstein, Jerry Frenkil, Mark Horowitz, Wolfgang Nebel, Takayasu Sakurai, Andrew Yang:
Reshaping EDA for power. DAC 2003: 15 - [c26]Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, Jie Yang:
A cost-driven lithographic correction methodology based on off-the-shelf sizing tools. DAC 2003: 16-21 - [c25]Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester:
Analysis and minimization techniques for total leakage considering gate oxide leakage. DAC 2003: 175-180 - [c24]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
An effective capacitance based driver output model for on-chip RLC interconnects. DAC 2003: 376-381 - [c23]Ruchir Puri, Leon Stok, John M. Cohn, David S. Kung, David Z. Pan, Dennis Sylvester, Ashish Srivastava, Sarvesh H. Kulkarni:
Pushing ASIC performance in a power envelope. DAC 2003: 788-793 - [c22]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Simple metrics for slew rate of RC circuits based on two circuit moments. DAC 2003: 950-953 - [c21]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Clock net optimization using active shielding. ESSCIRC 2003: 265-268 - [c20]Yu Cao, Xiaodong Yang, Xuejue Huang, Dennis Sylvester:
Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. ICCAD 2003: 848-854 - [c19]Shidhartha Das, Kanak Agarwal, David T. Blaauw, Dennis Sylvester:
Optimal Inductance for On-chip RLC Interconnections. ICCD 2003: 264- - [c18]Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester:
Statistical estimation of leakage current considering inter- and intra-die process variation. ISLPED 2003: 84-89 - [c17]Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester:
Simultaneous Subthreshold and Gate-Oxide Tunneling Leakage Current Analysis in Nanometer CMOS Design. ISQED 2003: 287-292 - [c16]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Dynamic clamping: on-chip dynamic shielding and termination for high-speed RLC buses. SoC 2003: 97-100 - [c15]Robert Bai, Dennis Sylvester:
Analysis and design of level-converting flip-flops for dual-Vdd/Vth integrated circuits. SoC 2003: 151-154 - [c14]Robert Bai, Sarvesh H. Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages. ISVLSI 2003: 149-154 - [e1]Dennis Sylvester, Dirk Stroobandt, Louis Scheffer, Payman Zarkesh-Ha:
The 5th International Workshop on System-Level Interconnect Prediction (SLIP 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings. ACM 2003, ISBN 1-58113-627-7 [contents] - 2002
- [j8]Yu Cao, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu:
Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. IEEE Trans. Very Large Scale Integr. Syst. 10(6): 799-805 (2002) - [c13]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Active shields: a new approach to shielding global wires. ACM Great Lakes Symposium on VLSI 2002: 112-117 - [c12]Ashish Srivastava, Robert Bai, David T. Blaauw, Dennis Sylvester:
Modeling and analysis of leakage power considering within-die process variations. ISLPED 2002: 64-67 - [c11]Himanshu Kaul, Dennis Sylvester:
Transition Aware Global Signaling (TAGS). ISQED 2002: 53-59 - [c10]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A library compatible driving point model for on-chip RLC interconnects. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 63-69 - [c9]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Active shielding of RLC global interconnects. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 98-104 - [c8]Kanak Agarwal, Yu Cao, Takashi Sato
, Dennis Sylvester, Chenming Hu:
Efficient Generation of Delay Change Curves for Noise-Aware Static Timing Analysis. ASP-DAC/VLSI Design 2002: 77- - 2001
- [j7]Dennis Sylvester, Himanshu Kaul:
Power-Driven Challenges in Nanometer Design. IEEE Des. Test Comput. 18(6): 12-22 (2001) - [j6]Takashi Sato
, Dennis Sylvester, Yu Cao, Chenming Hu:
Accurate in situ measurement of peak noise and delay change induced by interconnect coupling. IEEE J. Solid State Circuits 36(10): 1587-1591 (2001) - [j5]Dennis Sylvester, Kurt Keutzer:
Impact of small process geometries on microarchitectures in systems on a chip. Proc. IEEE 89(4): 467-489 (2001) - [j4]Dennis Sylvester, Chenming Wu:
Analytical modeling and characterization of deep-submicrometer interconnect. Proc. IEEE 89(5): 634-664 (2001) - [c7]Dennis Sylvester, Himanshu Kaul:
Future Performance Challenges in Nanometer Design. DAC 2001: 3-8 - 2000
- [j3]Dennis Sylvester, Kurt Keutzer:
A global wiring paradigm for deep submicron design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(2): 242-252 (2000) - [c6]Yu Cao, Takashi Sato
, Michael Orshansky, Dennis Sylvester, Chenming Hu:
New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation. CICC 2000: 201-204 - [c5]Andrew E. Caldwell, Yu Cao, Andrew B. Kahng, Farinaz Koushanfar
, Hua Lu, Igor L. Markov, Michael Oliver, Dirk Stroobandt, Dennis Sylvester:
GTX: the MARCO GSRC technology extrapolation system. DAC 2000: 693-698 - [c4]Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Sudhakar Muddu, Dirk Stroobandt, Dennis Sylvester:
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Submicron Design. ICCAD 2000: 56-61 - [c3]Dennis Sylvester:
Measurement techniques and interconnect estimation. SLIP 2000: 79-81
1990 – 1999
- 1999
- [j2]Dennis Sylvester, Kurt Keutzer:
Rethinking Deep-Submicron Circuit Design. Computer 32(11): 25-33 (1999) - [c2]Dennis Sylvester, Kurt Keutzer:
Getting to the bottom of deep submicron II: a global wiring paradigm. ISPD 1999: 193-200 - 1998
- [j1]Dennis Sylvester, James C. Chen, Chenming Hu:
Investigation of interconnect capacitance characterization using charge-based capacitance measurement (CBCM) technique and three-dimensional simulation. IEEE J. Solid State Circuits 33(3): 449-453 (1998) - [c1]Dennis Sylvester, Kurt Keutzer:
Getting to the bottom of deep submicron. ICCAD 1998: 203-211
Coauthor Index
Hyochan An
aka: Hyochan Ahn
aka: Hyochan Ahn
[j183] [i7] [j175] [j172] [j170] [j169] [j168] [c337] [c336] [i6] [j163] [c335] [c334] [c333] [c332] [c331] [c330] [j156] [j155] [j154] [j153] [j149] [c329] [c328] [c327] [c326] [c325] [i4] [j148] [j147] [j146] [c324] [c322] [c321] [c320] [c319] [j145] [j144] [c318] [c317] [c316] [c315] [c314] [c313] [c312] [c311] [c310] [c309] [j143] [j142] [j141] [j140] [j139] [j138] [j137] [j136] [c308] [c307] [c306] [c305] [c304] [c303] [c302] [c301] [c300] [j133] [j132] [j131] [j130] [j129] [j128] [j127] [c299] [c298] [c297] [c295] [c294] [c292] [c291] [c290] [c289] [c288] [c287] [c286] [i3] [j125] [j123] [j122] [j121] [j120] [j119] [j118] [j117] [j116] [j115] [c285] [c284] [c283] [c282] [c281] [c280] [c279] [c277] [c276] [c275] [c274] [c273] [c272] [c271] [c269] [c268] [c266] [c265] [j114] [j113] [j112] [j111] [j110] [j109] [j108] [j107] [j106] [j105] [j104] [c263] [c262] [c261] [c260] [c259] [c258] [c257] [c256] [c255] [c254] [c253] [c252] [c250] [c249] [c248] [c247] [c246] [c245] [j102] [j101] [j100] [j99] [j98] [j97] [c243] [c242] [c241] [c240] [c239] [c237] [c236] [c234] [c233] [c232] [c231] [c230] [c229] [c228] [c227] [c226] [c225] [c224] [c223] [j96] [j95] [j94] [j92] [j91] [j90] [c222] [c221] [c220] [c219] [c217] [c216] [c215] [c214] [c213] [c212] [c211] [c210] [c209] [c208] [c207] [c206] [c205] [c204] [j89] [j88] [j87] [j86] [j85] [j84] [j83] [j82] [j81] [j79] [j77] [j76] [j75] [c203] [c202] [c201] [c200] [c198] [c197] [c196] [c195] [c193] [c192] [j74] [j73] [j72] [j71] [j70] [j69] [j68] [c191] [c190] [c188] [c187] [c186] [c185] [c184] [c183] [c182] [c181] [c180] [c179] [c178] [c177] [c176] [c175] [c174] [c173] [c172] [c171] [c170] [c169] [c168] [j67] [j66] [j65] [j64] [j63] [j62] [j61] [c167] [c166] [c165] [c164] [c163] [c162] [c161] [c160] [c159] [c158] [c157] [j60] [j59] [j58] [j57] [j56] [j54] [c156] [c155] [c154] [c153] [c152] [c151] [c150] [c149] [c148] [c147] [c146] [c145] [c144] [c143] [c142] [c141] [c139] [c138] [c137] [c136] [j53] [j52] [j51] [j50] [j49] [c135] [c134] [c133] [c132] [c131] [c130] [c129] [c128] [c127] [c126] [j46] [j45] [j44] [j43] [j42] [j41] [c123] [c122] [c121] [c120] [c119] [c118] [c117] [c116] [c115] [c114] [c112] [c111] [c110] [c108] [c107] [c106] [c105] [c104] [j38] [c103] [c100] [c99] [c97] [c96] [c95] [c94] [c93] [c92] [c91] [c90] [c89] [c88] [i2] [j34] [j33] [j31] [j30] [j28] [j27] [c83] [c82] [c81] [c80] [c79] [c76] [c75] [c74] [b1] [j26] [j25] [j21] [j19] [c72] [c71] [c70] [c67] [c66] [c61] [c60] [c58] [c57] [c56] [c54] [j18] [j16] [j15] [j14] [j13] [c49] [c46] [c45] [c43] [c42] [c41] [c40] [c39] [c38] [c29] [p1] [c27] [c25] [c24] [c22] [c21] [c19] [c18] [c17] [c16] [c14] [c13] [c12] [c10] [c9]
Kyojin David Choo
aka: Kyojin Choo
aka: Kyojin Choo
Ronald G. Dreslinski
aka: Ronald Dreslinski Jr.
aka: Ronald Dreslinski Jr.
Tae-Kwang Jang
aka: Taekwang Jang
aka: Taekwang Jang
[j172] [j170] [j163] [c330] [c329] [c326] [c325] [j144] [c318] [j139] [j138] [j137] [c308] [c307] [c304] [c303] [c302] [c300] [j128] [c295] [j120] [j117] [j116] [c272] [c271] [c254] [j102] [j99] [j97] [c242] [c240] [c231] [j95] [c219] [c216] [c215] [c209] [c208] [c206] [j88] [j87] [j85] [j76] [c200] [c198] [c197] [c187] [c185] [c183] [c175] [c173] [c168] [c165] [c158]