
Ali Afzali-Kusha
Refine list

refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2021
- [j88]Roohollah Yarmand, Mehdi Kamal
, Ali Afzali-Kusha
, Pooria Esmaeli, Massoud Pedram
:
OPTIMA: An Approach for Online Management of Cache Approximation Levels in Approximate Processing Systems. IEEE Trans. Very Large Scale Integr. Syst. 29(2): 434-446 (2021) - [i4]Seyed Abolfazl Ghasemzadeh, Erfan Bank-Tavakoli, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
BRDS: An FPGA-based LSTM Accelerator with Row-Balanced Dual-Ratio Sparsification. CoRR abs/2101.02667 (2021) - [i3]Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks. CoRR abs/2101.09693 (2021) - 2020
- [j87]Saba Amanollahi, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing Systemsx. Proc. IEEE 108(12): 2150-2177 (2020) - [j86]Omid Akbari, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
, Muhammad Shafique
:
X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2558-2571 (2020) - [j85]Farhad Ebrahimi-Azandaryani, Omid Akbari
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
Block-Based Carry Speculative Approximate Adder for Energy-Efficient Applications. IEEE Trans. Circuits Syst. II Express Briefs 67-II(1): 137-141 (2020) - [j84]Nasim Samimi
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
Res-DNN: A Residue Number System-Based DNN Accelerator Unit. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(2): 658-671 (2020) - [j83]Pouya Haghi, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
O⁴-DNN: A Hybrid DSP-LUT-Based Processing Unit With Operation Packing and Out-of-Order Execution for Efficient Realization of Convolutional Neural Networks on FPGA Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(9): 3056-3069 (2020) - [j82]Shaghayegh Vahdat
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
Offline Training Improvement of Inverter-Based Memristive Neural Networks Using Inverter Voltage Characteristic Smoothing. IEEE Trans. Circuits Syst. 67-II(12): 3442-3446 (2020) - [j81]Roohollah Yarmand, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
DART: A Framework for Determining Approximation Levels in an Approximable Memory Hierarchy. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 273-286 (2020) - [j80]Morteza Soltani, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
RandShift: An Energy-Efficient Fault-Tolerant Method in Secure Nonvolatile Main Memory. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 287-291 (2020) - [j79]Erfan Bank-Tavakoli, Seyed Abolfazl Ghasemzadeh
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
POLAR: A Pipelined/Overlapped FPGA-Based LSTM Accelerator. IEEE Trans. Very Large Scale Integr. Syst. 28(3): 838-842 (2020) - [j78]Shaghayegh Vahdat
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram
:
Interstice: Inverter-Based Memristive Neural Networks Discretization for Function Approximation Applications. IEEE Trans. Very Large Scale Integr. Syst. 28(7): 1578-1588 (2020)
2010 – 2019
- 2019
- [j77]Ghasem Pasandi
, Kolsoom Mehrabi, Behzad Ebrahimi, Sied Mehdi Fakhraei, Ali Afzali-Kusha, Massoud Pedram:
Low-power data encoding/decoding for energy-efficient static random access memory design. IET Circuits Devices Syst. 13(8): 1152-1159 (2019) - [j76]Shayan Tabatabaei Nikkhah
, Mahdi Zahedi
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
ACHILLES: Accuracy-Aware High-Level Synthesis Considering Online Quality Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(8): 1452-1465 (2019) - [j75]Masoud Pashaeifar
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
A Theoretical Framework for Quality Estimation and Optimization of DSP Applications Using Low-Power Approximate Adders. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(1): 327-340 (2019) - [j74]Mohammad Ansari
, Arash Fayyazi
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
OCTAN: An On-Chip Training Algorithm for Memristive Neuromorphic Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(12): 4687-4698 (2019) - [j73]Shaghayegh Vahdat
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
TOSAM: An Energy-Efficient Truncation- and Rounding-Based Scalable Approximate Multiplier. IEEE Trans. Very Large Scale Integr. Syst. 27(5): 1161-1173 (2019) - [i2]Shayan Tabatabaei Nikkhah
, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Space Expansion of Feature Selection for Designing more Accurate Error Predictors. CoRR abs/1901.00952 (2019) - 2018
- [j72]Farzaneh Nakhaee, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie, Hamed Dorosti
:
Lifetime improvement by exploiting aggressive voltage scaling during runtime of error-resilient applications. Integr. 61: 29-38 (2018) - [j71]Arash Fayyazi
, Mohammad Ansari
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
An Ultra Low-Power Memristive Neuromorphic Circuit for Internet of Things Smart Sensors. IEEE Internet Things J. 5(2): 1011-1022 (2018) - [j70]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique
:
Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures. IEEE Micro 38(6): 63-72 (2018) - [j69]Arman Iranfar
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram, David Atienza
:
TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1532-1545 (2018) - [j68]Mohammad Ansari
, Arash Fayyazi
, Ali BanaGozar, Mohammad Ali Maleki
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
PHAX: Physical Characteristics Aware Ex-Situ Training Framework for Inverter-Based Memristive Neuromorphic Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1602-1613 (2018) - [j67]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
RAP-CLA: A Reconfigurable Approximate Carry Look-Ahead Adder. IEEE Trans. Circuits Syst. II Express Briefs 65-II(8): 1089-1093 (2018) - [j66]Sheis Abolma'ali, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Efficient False Path-Aware Heuristic Critical Path Selection Method with High Coverage of the Process Variation Space. ACM Trans. Design Autom. Electr. Syst. 23(3): 32:1-32:25 (2018) - [j65]Masoud Pashaeifar
, Mehdi Kamal
, Ali Afzali-Kusha
, Massoud Pedram:
Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications. IEEE Trans. Very Large Scale Integr. Syst. 26(11): 2530-2541 (2018) - [c85]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique
:
PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture. DATE 2018: 413-418 - [c84]Marzieh Vaeztourshizi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative Divider. ISLPED 2018: 14:1-14:6 - 2017
- [j64]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An energy and area efficient yet high-speed square-root carry select adder structure. Comput. Electr. Eng. 58: 101-112 (2017) - [j63]Shaghayegh Vahdat
, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
LETAM: A low energy truncation-based approximate multiplier. Comput. Electr. Eng. 63: 1-17 (2017) - [j62]Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Hybrid TFET-MOSFET circuit: A solution to design soft-error resilient ultra-low power digital circuit. Integr. 57: 11-19 (2017) - [j61]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Yasmin Afsharnezhad, Elham Zahraie Salehi:
CL-CPA: A hybrid carry-lookahead/carry-propagate adder for low-power or high-performance operation mode. Integr. 57: 62-68 (2017) - [j60]Reza Zendegani, Mehdi Kamal, Milad Bahadori, Ali Afzali-Kusha
, Massoud Pedram:
RoBA Multiplier: A Rounding-Based Approximate Multiplier for High-Speed yet Energy-Efficient Digital Signal Processing. IEEE Trans. Very Large Scale Integr. Syst. 25(2): 393-401 (2017) - [j59]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Dual-Quality 4: 2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1352-1361 (2017) - [j58]Sheis Abolma'ali, Nika Mansouri-Ghiasi, Mehdi Kamal, Ali Afzali-Kusha
, Massoud Pedram:
Efficient Critical Path Identification Based on Viability Analysis Method Considering Process Variations. IEEE Trans. Very Large Scale Integr. Syst. 25(9): 2668-2672 (2017) - [c83]Ali BanaGozar, Mohammad Ali Maleki, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Robust neuromorphic computing in the presence of process variation. DATE 2017: 440-445 - [c82]Shaghayegh Vahdat
, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Zainalabedin Navabi:
TruncApp: A truncation-based approximate divider for energy efficient DSP applications. DATE 2017: 1635-1638 - 2016
- [j57]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A comparative study on performance and reliability of 32-bit binary adders. Integr. 53: 54-67 (2016) - [j56]Mehdi Kamal, Qing Xie, Massoud Pedram, Ali Afzali-Kusha, Saeed Safari
:
An efficient temperature dependent hot carrier injection reliability simulation flow. Microelectron. Reliab. 57: 10-19 (2016) - [j55]Kolsoom Mehrabi, Behzad Ebrahimi, Roohollah Yarmand, Ali Afzali-Kusha, Hamid Mahmoodi
:
Read static noise margin aging model considering SBD and BTI effects for FinFET SRAMs. Microelectron. Reliab. 65: 20-26 (2016) - [j54]Hadi Ahmadi Balef, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
All-Region Statistical Model for Delay Variation Based on Log-Skew-Normal Distribution. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(9): 1503-1508 (2016) - [j53]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
Yield and Speedup Improvements in Extensible Processors by Allocating Extra Cycles to Some Custom Instructions. ACM Trans. Design Autom. Electr. Syst. 21(2): 28:1-28:25 (2016) - [j52]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
High-Speed and Energy-Efficient Carry Skip Adder Operating Under a Wide Range of Supply Voltage Levels. IEEE Trans. Very Large Scale Integr. Syst. 24(2): 421-433 (2016) - [c81]Reza Zendegani, Mehdi Kamal, Arash Fayyazi, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
SEERAD: A high speed yet energy-efficient rounding-based approximate divider. DATE 2016: 1481-1484 - [c80]Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Hybrid TFET-MOSFET circuits: An approach to design reliable ultra-low power circuits in the presence of process variation. VLSI-SoC 2016: 1-6 - [c79]Seyed Saber Nabavi Larimi, Mehdi Kamal, Ali Afzali-Kusha, Hamid Mahmoodi
:
Power and energy reduction of racetrack-based caches by exploiting shared shift operations. VLSI-SoC 2016: 1-6 - [c78]Maedeh Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Robust Hybrid TFET-MOSFET Circuits in Presence of Process Variations and Soft Errors. VLSI-SoC (Selected Papers) 2016: 41-59 - 2015
- [j51]Vahideh Akhlaghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An efficient network on-chip architecture based on isolating local and non-local communications. Comput. Electr. Eng. 45: 430-444 (2015) - [j50]Mohsen Nickray, Ali Afzali-Kusha, Riku Jäntti
:
Simultaneous power control and power management algorithm with sector-shaped topology for wireless sensor networks. EURASIP J. Wirel. Commun. Netw. 2015: 118 (2015) - [j49]Behzad Ebrahimi, Reza Asadpour, Ali Afzali-Kusha, Massoud Pedram:
A FinFET SRAM cell design with BTI robustness at high supply voltages and high yield at low supply voltages. Int. J. Circuit Theory Appl. 43(12): 2011-2024 (2015) - [j48]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
Design of NBTI-resilient extensible processors. Integr. 49: 22-34 (2015) - [j47]Mohammad Ansari, Hassan Afzali-Kusha, Behzad Ebrahimi, Zainalabedin Navabi, Ali Afzali-Kusha, Massoud Pedram:
A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. Integr. 50: 91-106 (2015) - [j46]Behzad Eghbalkhah, Mehdi Kamal, Ali Afzali-Kusha, Mohammad Bagher Ghaznavi Ghoushchi
, Massoud Pedram:
CSAM: A clock skew-aware aging mitigation technique. Microelectron. Reliab. 55(1): 282-290 (2015) - [j45]Behzad Eghbalkhah, Mehdi Kamal, Hassan Afzali-Kusha, Ali Afzali-Kusha, M. B. Ghaznavi-Ghoushchi
, Massoud Pedram:
Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits. Microelectron. Reliab. 55(8): 1152-1162 (2015) - [j44]Nima Jafarzadeh, Maurizio Palesi, Saeedeh Eskandari, Shaahin Hessabi, Ali Afzali-Kusha:
Low Energy yet Reliable Data Communication Scheme for Network-on-Chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(12): 1892-1904 (2015) - [j43]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
OPLE: A Heuristic Custom Instruction Selection Algorithm Based on Partitioning and Local Exploration of Application Dataflow Graphs. ACM Trans. Embed. Comput. Syst. 14(4): 72:1-72:23 (2015) - [j42]Mehrzad Nejat, Bijan Alizadeh
, Ali Afzali-Kusha:
Dynamic Flip-Flop Conversion: A Time-Borrowing Method for Performance Improvement of Low-Power Digital Circuits Prone to Variations. IEEE Trans. Very Large Scale Integr. Syst. 23(11): 2724-2727 (2015) - [c77]Mehdi Kamal, Arman Iranfar, Ali Afzali-Kusha, Massoud Pedram:
A thermal stress-aware algorithm for power and temperature management of MPSoCs. DATE 2015: 954-959 - [c76]Arman Iranfar, Soheil Nazar Shahsavani, Mehdi Kamal, Ali Afzali-Kusha:
A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs. ISLPED 2015: 291-296 - [c75]Roohollah Yarmand, Behzad Ebrahimi, Hassan Afzali-Kusha, Ali Afzali-Kusha, Massoud Pedram:
High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors. ISQED 2015: 10-17 - 2014
- [j41]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
Impact of Process Variations on Speedup and Maximum Achievable Frequency of Extensible Processors. ACM J. Emerg. Technol. Comput. Syst. 10(3): 19:1-19:25 (2014) - [j40]Amir Yazdanbakhsh, Mehdi Kamal, Sied Mehdi Fakhraie, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
Implementation-aware selection of the custom instruction set for extensible processors. Microprocess. Microsystems 38(7): 681-691 (2014) - [j39]Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi
:
Robust FinFET SRAM design based on dynamic back-gate voltage adjustment. Microelectron. Reliab. 54(11): 2604-2612 (2014) - [j38]Nima Jafarzadeh, Maurizio Palesi, Ahmad Khademzadeh, Ali Afzali-Kusha:
Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip. IEEE Trans. Very Large Scale Integr. Syst. 22(3): 675-685 (2014) - [c74]Mehdi Kamal, Amin Ghasemazar, Ali Afzali-Kusha, Massoud Pedram:
Improving efficiency of extensible processors by using approximate custom instructions. DATE 2014: 1-4 - [c73]Mehrzad Nejat, Bijan Alizadeh, Ali Afzali-Kusha:
Dynamic Flip-Flop conversion to tolerate process variation in low power circuits. DATE 2014: 1-4 - [c72]Bardia Bozorgzadeh, Shahab Shahdoost, Ali Afzali-Kusha:
Delay variation analysis in the presence of power supply noise in nano-scale digital VLSI circuits. MWSCAS 2014: 117-120 - [c71]Amin Ghasemazar, Mehran Goli, Ali Afzali-Kusha:
Embedded Complex Floating Point Hardware Accelerator. VLSI Design 2014: 318-323 - 2013
- [j37]Mehdi Kamal, Amir Yazdanbakhsh, Hamid Noori
, Ali Afzali-Kusha, Massoud Pedram:
A new merit function for custom instruction selection under an area budget constraint. Des. Autom. Embed. Syst. 17(1): 1-25 (2013) - [j36]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
Considering the effect of process variations during the ISA extension design flow. Microprocess. Microsystems 37(6-7): 713-724 (2013) - [j35]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi
:
An analytical model for read static noise margin including soft oxide breakdown, negative and positive bias temperature instabilities. Microelectron. Reliab. 53(5): 670-675 (2013) - [c70]Vahideh Akhlaghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An efficient network on-chip architecture based on isolating local and non-local communications. DATE 2013: 350-353 - [c69]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram, Behzad Eghbalkhah:
Capturing and mitigating the NBTI effect during the design flow for extensible processors. DTIS 2013: 94-97 - [c68]Behzad Eghbalkhah, S. A. K. Gharavi, Ali Afzali-Kusha, M. B. Ghaznavi-Ghoushchi
:
Self-impact of NBTI effect on the degradation rate of threshold voltage in PMOS transistors. DTIS 2013: 151-154 - [c67]Behzad Ebrahimi, Ali Afzali-Kusha, Nader Sehatbakhsh:
Robust polysilicon gate FinFET SRAM design using dynamic back-gate bias. DTIS 2013: 171-172 - [c66]Mohammad Yousef Zarei, Reza Asadpour, Siamak Mohammadi
, Ali Afzali-Kusha, Razi Seyyedi:
Modeling symmetrical independent gate FinFET using predictive technology model. ACM Great Lakes Symposium on VLSI 2013: 299-304 - 2012
- [j34]Melika Tinati, Ahmad Khademzadeh, Ali Afzali-Kusha, Majid Janidarmian:
HACS: A novel cost aware paradigm promising fault tolerance on mesh-based network on chip architecture. Comput. Electr. Eng. 38(4): 963-974 (2012) - [j33]Mohsen Nickray, Ali Afzali-Kusha, Riku Jäntti
:
MEA: an energy efficient algorithm for dense sector-based wireless sensor networks. EURASIP J. Wirel. Commun. Netw. 2012: 85 (2012) - [j32]Hossein Aghababa, Alireza Khosropour, Ali Afzali-Kusha, Behjat Forouzandeh, Massoud Pedram:
Statistical estimation of leakage power dissipation in nano-scale complementary metal oxide semiconductor digital circuits using generalised extreme value distribution. IET Circuits Devices Syst. 6(5): 273-278 (2012) - [j31]Masoud Daneshtalab, Masoumeh Kamali, Masoumeh Ebrahimi, Siamak Mohammadi
, Ali Afzali-Kusha, Juha Plosila
:
Adaptive Input-Output Selection Based On-Chip Router Architecture. J. Low Power Electron. 8(1): 11-29 (2012) - [j30]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Massoud Pedram:
An accurate analytical I-V model for sub-90-nm MOSFETs and its application to read static noise margin modeling. J. Zhejiang Univ. Sci. C 13(1): 58-70 (2012) - [j29]Hossein Aghababa, Behjat Forouzandeh, Ali Afzali-Kusha:
High-performance low-leakage regions of nano-scaled CMOS digital gates under variations of threshold voltage and mobility. J. Zhejiang Univ. Sci. C 13(6): 460-471 (2012) - [j28]Hossein Aghababa, Behzad Ebrahimi, Ali Afzali-Kusha, Massoud Pedram:
Probability calculation of read failures in nano-scaled SRAM cells under process variations. Microelectron. Reliab. 52(11): 2805-2811 (2012) - [j27]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi
:
Modeling read SNM considering both soft oxide breakdown and negative bias temperature instability. Microelectron. Reliab. 52(12): 2948-2954 (2012) - [c65]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
An architecture-level approach for mitigating the impact of process variations on extensible processors. DATE 2012: 467-472 - [c64]Mehdi Kamal, Qing Xie, Massoud Pedram, Ali Afzali-Kusha, Saeed Safari
:
An efficient reliability simulation flow for evaluating the hot carrier injection effect in CMOS VLSI circuits. ICCD 2012: 352-357 - [c63]M. Saffari, S. Lotfi, Nima Jafarzadeh, Ali Afzali-Kusha:
Mapping of cores on to diagonal mesh-based network-on-chip. MECO 2012: 233-238 - 2011
- [j26]Hossein Aghababa, Reza Asadpour, Ali Afzali-Kusha, Behjat Forouzandeh:
Finding optimum value of numerical aperture for the best aerial image quality. IEICE Electron. Express 8(11): 879-883 (2011) - [j25]Saeed Mohammadi, Ali Afzali-Kusha, Saeed Mohammadi:
Compact modeling of short-channel effects in symmetric and asymmetric 3-T/4-T double gate MOSFETs. Microelectron. Reliab. 51(3): 543-549 (2011) - [j24]Mehdi Saremi
, Behzad Ebrahimi, Ali Afzali-Kusha, Saeed Mohammadi:
A partial-SOI LDMOSFET with triangular buried-oxide for breakdown voltage improvement. Microelectron. Reliab. 51(12): 2069-2076 (2011) - [j23]Behzad Ebrahimi, Masoud Rostami, Ali Afzali-Kusha, Massoud Pedram:
Statistical Design Optimization of FinFET SRAM Using Back-Gate Voltage. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1911-1916 (2011) - [j22]Mostafa E. Salehi
, Mehrzad Samadi, Mehrdad Najibi, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie:
Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1931-1935 (2011) - [c62]Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Timing variation-aware custom instruction extension technique. DATE 2011: 1517-1520 - [c61]Alireza Khosropour, Hossein Aghababa, Ali Afzali-Kusha, Behjat Forouzandeh:
Chip Level Statistical Leakage Power Estimation Using Generalized Extreme Value Distribution. PATMOS 2011: 173-179 - 2010
- [j21]Hamid Reza Ahmadi, Ali Afzali-Kusha, Massoud Pedram:
A power-optimized low-energy elliptic-curve crypto-processor. IEICE Electron. Express 7(23): 1752-1759 (2010) - [j20]Pejman Lotfi-Kamran, Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Zainalabedin Navabi:
EDXY - A low cost congestion-aware routing algorithm for network-on-chips. J. Syst. Archit. 56(7): 256-264 (2010) - [j19]Hamid Reza Ahmadi, Ali Afzali-Kusha:
A low-power and low-energy flexible GF(p) elliptic-curve cryptography processor. J. Zhejiang Univ. Sci. C 11(9): 724-736 (2010) - [j18]Saeed Mohammadi
, Ali Afzali-Kusha:
Modeling of drain current, capacitance and transconductance in thin film undoped symmetric DG MOSFETs including quantum effects. Microelectron. Reliab. 50(3): 338-345 (2010) - [c60]Hossein Aghababa, Mahmoud Zangeneh, Ali Afzali-Kusha, Behjat Forouzandeh:
Statistical delay modeling of read operation of SRAMs due to channel length variation. ISCAS 2010: 2502-2505 - [c59]Mohsen Nickray, Ali Afzali-Kusha:
ATC - An Asymmetric Topology Control Algorithm for Heterogeneous Wireless Sensor Networks. WINSYS 2010: 75-81
2000 – 2009
- 2009
- [j17]Masoud Daneshtalab, Masoumeh Ebrahimi, Siamak Mohammadi
, Ali Afzali-Kusha:
Low-distance path-based multicast routing algorithm for network-on-chips. IET Comput. Digit. Tech. 3(5): 430-442 (2009) - [j16]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channel Management for Power Reduction in Network-on-Chips. J. Low Power Electron. 5(3): 385-395 (2009) - [j15]Amir-Mohammad Rahmani, Ali Afzali-Kusha, Massoud Pedram:
A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution. J. Low Power Electron. 5(3): 396-405 (2009) - [j14]M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Massoud Pedram:
BZ-FAD: A Low-Power Low-Area Multiplier Based on Shift-and-Add Architecture. IEEE Trans. Very Large Scale Integr. Syst. 17(2): 302-306 (2009) - [j13]G. Razavipour, Ali Afzali-Kusha, Massoud Pedram:
Design and Analysis of Two Low-Power SRAM Cell Structures. IEEE Trans. Very Large Scale Integr. Syst. 17(10): 1551-1555 (2009) - [j12]Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Sign Bit Reduction Encoding For Low Power Applications. J. Signal Process. Syst. 57(3): 321-329 (2009) - [c58]Masoumeh Ebrahimi, Masoud Daneshtalab, Mohammad Hossein Neishaburi, Siamak Mohammadi, Ali Afzali-Kusha, Juha Plosila, Hannu Tenhunen:
An efficent dynamic multicast routing protocol for distributing traffic in NOCs. DATE 2009: 1064-1069 - [c57]Hamid Reza Ahmadi, Ali Afzali-Kusha:
Low-Power Low-Energy Prime-Field ECC Processor Based on Montgomery Modular Inverse Algorithm. DSD 2009: 817-822 - [c56]Hamid Reza Ahmadi, Ali Afzali-Kusha:
Very Low-power Flexible GF(p) Elliptic-curve Crypto-processor for Non-time-critical Applications. ISCAS 2009: 904-907 - [c55]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Saeed Safari
, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips. VLSI Design 2009: 151-156 - [c54]Amir-Mohammad Rahmani, I. Kamali, Pejman Lotfi-Kamran, Ali Afzali-Kusha, Saeed Safari
:
Negative Exponential Distribution Traffic Pattern for Power/Performance Analysis of Network on Chips. VLSI Design 2009: 157-162 - [c53]Bardia Bozorgzadeh, Ali Afzali-Kusha:
Novel MOS Decoupling Capacitor Optimization Technique for Nanotechnologies. VLSI Design 2009: 175-180 - 2008
- [j11]Mehrzad Samadi, Ali Afzali-Kusha:
Dynamic power management with fuzzy decision support system. IEICE Electron. Express 5(19): 789-795 (2008) - [j10]Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Massoud Pedram:
Wavelet-based dynamic power management for nonstationary service requests. ACM Trans. Design Autom. Electr. Syst. 13(1): 13:1-13:41 (2008) - [c52]Mohammadreza Binesh Marvasti, Masoud Daneshtalab, Ali Afzali-Kusha, Siamak Mohammadi
:
PAMPR: Power-aware and minimum path routing algorithm for NoCs. ICECS 2008: 418-421 - [c51]