Search dblp for Publications

export results for "toc:db/conf/vts/vts2009.bht:"

 download as .bib file

@inproceedings{DBLP:conf/vts/AppelloBGGPRR09,
  author       = {Davide Appello and
                  Paolo Bernardi and
                  Simone Gerardin and
                  Michelangelo Grosso and
                  Alessandro Paccagnella and
                  Paolo Rech and
                  Matteo Sonza Reorda},
  title        = {DfT Reuse for Low-Cost Radiation Testing of SoCs: {A} Case Study},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {276--281},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.26},
  doi          = {10.1109/VTS.2009.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AppelloBGGPRR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AraiSINSHA09,
  author       = {Masayuki Arai and
                  Akifumi Suto and
                  Kazuhiko Iwasaki and
                  Katsuyuki Nakano and
                  Michihiro Shintani and
                  Kazumi Hatayama and
                  Takashi Aikyo},
  title        = {Small Delay Fault Model for Intra-Gate Resistive Open Defects},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {27--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.25},
  doi          = {10.1109/VTS.2009.25},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AraiSINSHA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BabaM09,
  author       = {A. Hakan Baba and
                  Subhasish Mitra},
  title        = {Testing for Transistor Aging},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {215--220},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.56},
  doi          = {10.1109/VTS.2009.56},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BabaM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BiswasB09,
  author       = {Sounil Biswas and
                  Ronald D. Blanton},
  title        = {Maintaining Accuracy of Test Compaction through Adaptive Re-learning},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {257--263},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.59},
  doi          = {10.1109/VTS.2009.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BiswasB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BlakkanS09,
  author       = {Kenneth Blakkan and
                  Mani Soma},
  title        = {A Time Domain Method to Measure Oscillator Phase Noise},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.54},
  doi          = {10.1109/VTS.2009.54},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BlakkanS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/CanivetLCVR09,
  author       = {Gaetan Canivet and
                  R{\'{e}}gis Leveugle and
                  Jessy Cl{\'{e}}di{\`{e}}re and
                  Fr{\'{e}}d{\'{e}}ric Valette and
                  Marc Renaudin},
  title        = {Characterization of Effective Laser Spots during Attacks in the Configuration
                  of a Virtex-II {FPGA}},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {327--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.19},
  doi          = {10.1109/VTS.2009.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/CanivetLCVR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChandranZ09,
  author       = {Unni Chandran and
                  Dan Zhao},
  title        = {{SS-KTC:} {A} High-Testability Low-Overhead Scan Architecture with
                  Multi-level Security Integration},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {321--326},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.20},
  doi          = {10.1109/VTS.2009.20},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChandranZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChangCLC09,
  author       = {Hsiu{-}Ming Chang and
                  Chin{-}Hsuan Chen and
                  Kuan{-}Yu Lin and
                  Kwang{-}Ting Cheng},
  title        = {Calibration and Testing Time Reduction Techniques for a Digitally-Calibrated
                  Pipelined {ADC}},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {291--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.48},
  doi          = {10.1109/VTS.2009.48},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChangCLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenHHW09,
  author       = {Te{-}Hsuan Chen and
                  Yu{-}Ying Hsiao and
                  Yu{-}Tsao Hsing and
                  Cheng{-}Wen Wu},
  title        = {An Adaptive-Rate Error Correction Scheme for {NAND} Flash Memory},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {53--58},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.24},
  doi          = {10.1109/VTS.2009.24},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ChenHHW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenXY09,
  author       = {Zhen Chen and
                  Dong Xiang and
                  Boxue Yin},
  title        = {The {ATPG} Conflict-Driven Scheme for High Transition Fault Coverage
                  and Low Test Cost},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {146--151},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.15},
  doi          = {10.1109/VTS.2009.15},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChenXY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChunKKK09,
  author       = {Sunghoon Chun and
                  YongJoon Kim and
                  Taejin Kim and
                  Sungho Kang},
  title        = {A High-Level Signal Integrity Fault Model and Test Methodology for
                  Long On-Chip Interconnections},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {152--157},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.38},
  doi          = {10.1109/VTS.2009.38},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChunKKK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChungA09,
  author       = {Jaeyong Chung and
                  Jacob A. Abraham},
  title        = {Recursive Path Selection for Delay Fault Testing},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {65--70},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.50},
  doi          = {10.1109/VTS.2009.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChungA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChungG09,
  author       = {Kun Young Chung and
                  Sandeep K. Gupta},
  title        = {Efficient Scheduling of Path Delay Tests for Latch-Based Circuits},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {103--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.41},
  doi          = {10.1109/VTS.2009.41},
  timestamp    = {Fri, 22 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ChungG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/CourtoisS09,
  author       = {Bernard Courtois and
                  Ali Shakouri},
  title        = {Microscale and Nanoscale Thermal Characterization of Integrated Circuit
                  Chips},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {241},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.73},
  doi          = {10.1109/VTS.2009.73},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/CourtoisS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/CourtoisV09,
  author       = {Bernard Courtois and
                  Chandu Visweswariah},
  title        = {Special Session 8: New Topics: At-Speed Testing in the Face of Process
                  Variations},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {237},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.72},
  doi          = {10.1109/VTS.2009.72},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/CourtoisV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DattaT09,
  author       = {Rudrajit Datta and
                  Nur A. Touba},
  title        = {Exploiting Unused Spare Columns to Improve Memory {ECC}},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {47--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.52},
  doi          = {10.1109/VTS.2009.52},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DattaT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ErdoganO09,
  author       = {Erdem Serkan Erdogan and
                  Sule Ozev},
  title        = {A Packet Based 2x-Site Test Solution for {GSM} Transceivers with Limited
                  Tester Resources},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {303--308},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.44},
  doi          = {10.1109/VTS.2009.44},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ErdoganO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/FangCJPT09,
  author       = {Hongxia Fang and
                  Krishnendu Chakrabarty and
                  Abhijit Jas and
                  Srinivas Patil and
                  Chandra Tirumurti},
  title        = {RT-Level Deviation-Based Grading of Functional Test Sequences},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {264--269},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.12},
  doi          = {10.1109/VTS.2009.12},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/FangCJPT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/FlaniganTA09,
  author       = {Edward Flanigan and
                  Spyros Tragoudas and
                  Arkan Abdulrahman},
  title        = {Scalable Compact Test Pattern Generation for Path Delay Faults Based
                  on Functions},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {140--145},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.22},
  doi          = {10.1109/VTS.2009.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/FlaniganTA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GaoHL09,
  author       = {Jianliang Gao and
                  Yinhe Han and
                  Xiaowei Li},
  title        = {A New Post-Silicon Debug Approach Based on Suspect Window},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {85--90},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.35},
  doi          = {10.1109/VTS.2009.35},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/GaoHL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GoelDT09,
  author       = {Sandeep Kumar Goel and
                  Narendra Devta{-}Prasanna and
                  Ritesh P. Turakhia},
  title        = {Effective and Efficient Test Pattern Generation for Small Delay Defect},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {111--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.28},
  doi          = {10.1109/VTS.2009.28},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GoelDT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HakmiHWSHG09,
  author       = {Abdul Wahid Hakmi and
                  Stefan Holst and
                  Hans{-}Joachim Wunderlich and
                  J{\"{u}}rgen Schl{\"{o}}ffel and
                  Friedrich Hapke and
                  Andreas Glowatz},
  title        = {Restrict Encoding for Mixed-Mode {BIST}},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {179--184},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.43},
  doi          = {10.1109/VTS.2009.43},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HakmiHWSHG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HouarcheCRCEPB09,
  author       = {Nicolas Houarche and
                  Mariane Comte and
                  Michel Renovell and
                  Alejandro Czutro and
                  Piet Engelke and
                  Ilia Polian and
                  Bernd Becker},
  title        = {An Electrical Model for the Fault Simulation of Small Delay Faults
                  Caused by Crosstalk Aggravated Resistive Short Defects},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {21--26},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.57},
  doi          = {10.1109/VTS.2009.57},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HouarcheCRCEPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HuHL09,
  author       = {Yong{-}Jyun Hu and
                  Yu{-}Jen Huang and
                  Jin{-}Fu Li},
  title        = {Modeling and Testing Comparison Faults of TCAMs with Asymmetric Cells},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {15--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.18},
  doi          = {10.1109/VTS.2009.18},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/HuHL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/JenkinsL09,
  author       = {Keith A. Jenkins and
                  Lionel Li},
  title        = {A Scalable, Digital {BIST} Circuit for Measurement and Compensation
                  of Static Phase Offset},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {185--188},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.36},
  doi          = {10.1109/VTS.2009.36},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/JenkinsL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Kapoor09,
  author       = {Bhanu Kapoor},
  title        = {Special Session 11C: Embedded Tutorial: System-on-a-Chip Power Management
                  Implications on Validation and Testing},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {333},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.64},
  doi          = {10.1109/VTS.2009.64},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Kapoor09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KhocheKLLAPZLRZ09,
  author       = {Ajay Khoche and
                  Jay Katz and
                  Sauro Landini and
                  Kochen Liao and
                  Neetu Agrawal and
                  Glenn Plowman and
                  Songlin Zuo and
                  Liyang Lai and
                  John Rowe and
                  Thomas Zanon},
  title        = {{STDF} Memory Fail Datalog Standard},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {209--214},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.29},
  doi          = {10.1109/VTS.2009.29},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KhocheKLLAPZLRZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Kim09,
  author       = {Kee Sup Kim},
  title        = {Panel: Apprentice - {VTS} Edition: Season 2},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {119},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.62},
  doi          = {10.1109/VTS.2009.62},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Kim09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Konuk09,
  author       = {Haluk Konuk},
  title        = {Defect Detection Differences between Launch-Off-Shift and Launch-Off-Capture
                  in Sense-Amplifier-Based Flip-Flop Testing},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {33--38},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.39},
  doi          = {10.1109/VTS.2009.39},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Konuk09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Konuk09a,
  author       = {Haluk Konuk},
  title        = {{DFT} and Test Problems from the Trenches},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {120},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.63},
  doi          = {10.1109/VTS.2009.63},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Konuk09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LinEB09,
  author       = {Yen{-}Tzu Lin and
                  Chukwuemeka U. Ezekwe and
                  Ronald D. Blanton},
  title        = {Physically-Aware N-Detect Test Relaxation},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {197--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.47},
  doi          = {10.1109/VTS.2009.47},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LinEB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LinKL09,
  author       = {Sheng Lin and
                  Yong{-}Bin Kim and
                  Fabrizio Lombardi},
  title        = {Soft-Error Hardening Designs of Nanoscale {CMOS} Latches},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {41--46},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.10},
  doi          = {10.1109/VTS.2009.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LinKL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LizarragaMS09,
  author       = {Livier Lizarraga and
                  Salvador Mir and
                  Gilles Sicard},
  title        = {Experimental Validation of a {BIST} Techcnique for {CMOS} Active Pixel
                  Sensors},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {189--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.30},
  doi          = {10.1109/VTS.2009.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LizarragaMS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LvLL09,
  author       = {Tao Lv and
                  Huawei Li and
                  Xiaowei Li},
  title        = {Automatic Selection of Internal Observation Signals for Design Verification},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {203--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.21},
  doi          = {10.1109/VTS.2009.21},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/LvLL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MaLT09,
  author       = {Junxia Ma and
                  Jeremy Lee and
                  Mohammad Tehranipoor},
  title        = {Layout-Aware Pattern Generation for Maximizing Supply Noise Effects
                  on Critical Paths},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {221--226},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.45},
  doi          = {10.1109/VTS.2009.45},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MaLT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MakrisS09,
  author       = {Yiorgos Makris and
                  Haralampos{-}G. D. Stratigopoulos},
  title        = {Special Session 7C: {TTTC} 2009 Best Doctoral Thesis Contest},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {233},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.71},
  doi          = {10.1109/VTS.2009.71},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MakrisS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ManiatakosKTJM09,
  author       = {Michail Maniatakos and
                  Naghmeh Karimi and
                  Chandra Tirumurti and
                  Abhijit Jas and
                  Yiorgos Makris},
  title        = {Instruction-Level Impact Comparison of {RT-} vs. Gate-Level Faults
                  in a Modern Microprocessor Controller},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {9--14},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.32},
  doi          = {10.1109/VTS.2009.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ManiatakosKTJM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/McLaughlinVL09,
  author       = {Richard McLaughlin and
                  Srikanth Venkataraman and
                  Carlston Lim},
  title        = {Automated Debug of Speed Path Failures Using Functional Tests},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {91--96},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.53},
  doi          = {10.1109/VTS.2009.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/McLaughlinVL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MenonSA09,
  author       = {Sreekumar Menon and
                  Adit D. Singh and
                  Vishwani D. Agrawal},
  title        = {Output Hazard-Free Transition Delay Fault Test Generation},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {97--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.40},
  doi          = {10.1109/VTS.2009.40},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MenonSA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MrugalskiMRCT09,
  author       = {Grzegorz Mrugalski and
                  Nilanjan Mukherjee and
                  Janusz Rajski and
                  Dariusz Czysz and
                  Jerzy Tyszer},
  title        = {Highly X-Tolerant Selective Compaction of Test Responses},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {245--250},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.11},
  doi          = {10.1109/VTS.2009.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MrugalskiMRCT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PantZ09,
  author       = {Pankaj Pant and
                  Joshua Zelman},
  title        = {Understanding Power Supply Droop during At-Speed Scan Testing},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {227--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.46},
  doi          = {10.1109/VTS.2009.46},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PantZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Piziali09,
  author       = {Andrew Piziali},
  title        = {Panel: Functional Verification Planning and Management - Are Good
                  Intentions Good Enough?},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {338},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.66},
  doi          = {10.1109/VTS.2009.66},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/Piziali09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ShamshiriC09,
  author       = {Saeed Shamshiri and
                  Kwang{-}Ting Cheng},
  title        = {Yield and Cost Analysis of a Reliable NoC},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {173--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.34},
  doi          = {10.1109/VTS.2009.34},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ShamshiriC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SinhaMG09,
  author       = {Arani Sinha and
                  Amitava Majumdar and
                  Vasu Ganti},
  title        = {Panel: Analog Characterization and Test: The Long Road to Realization},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {337},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.65},
  doi          = {10.1109/VTS.2009.65},
  timestamp    = {Thu, 18 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/SinhaMG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SuenagaBPIRG09,
  author       = {Kay Suenaga and
                  Sebasti{\`{a}} A. Bota and
                  Rodrigo Picos and
                  Eugeni Isern and
                  Miquel Roca and
                  Eugenio Garc{\'{\i}}a{-}Moreno},
  title        = {Predictive Test Technique for Diagnosis of {RF} {CMOS} Receivers},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {129--133},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.13},
  doi          = {10.1109/VTS.2009.13},
  timestamp    = {Wed, 20 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/SuenagaBPIRG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TasiCJW09,
  author       = {Meng{-}Jai Tasi and
                  Mango Chia{-}Tso Chao and
                  Jing{-}Yang Jou and
                  Meng{-}Chen Wu},
  title        = {Multiple-Fault Diagnosis Using Faulty-Region Identification},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {123--128},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.31},
  doi          = {10.1109/VTS.2009.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TasiCJW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TurakhiaWGB09,
  author       = {Ritesh P. Turakhia and
                  Mark Ward and
                  Sandeep Kumar Goel and
                  Brady Benware},
  title        = {Bridging {DFM} Analysis and Volume Diagnostics for Yield Learning
                  - {A} Case Study},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {167--172},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.37},
  doi          = {10.1109/VTS.2009.37},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TurakhiaWGB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/VazquezCHS09,
  author       = {Julio C{\'{e}}sar V{\'{a}}zquez and
                  V{\'{\i}}ctor H. Champac and
                  Chuck Hawkins and
                  Jaume Segura},
  title        = {Stuck-Open Fault Leakage and Testing in Nanometer Technologies},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {315--320},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.33},
  doi          = {10.1109/VTS.2009.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/VazquezCHS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/VelamatiD09,
  author       = {Naveen Velamati and
                  Robert Daasch},
  title        = {Analytical Model for Multi-site Efficiency with Parallel to Serial
                  Test Times, Yield and Clustering},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {270--275},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.42},
  doi          = {10.1109/VTS.2009.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/VelamatiD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WangW09,
  author       = {Zheng Wang and
                  Duncan M. Hank Walker},
  title        = {Compact Delay Test Generation with a Realistic Low Cost Fault Coverage
                  Metric},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {59--64},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.55},
  doi          = {10.1109/VTS.2009.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/WangW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/XiangYC09,
  author       = {Dong Xiang and
                  Boxue Yin and
                  Kwang{-}Ting Cheng},
  title        = {Dynamic Test Compaction for Transition Faults in Broadside Scan Testing
                  Based on an Influence Cone Measure},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {251--256},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.14},
  doi          = {10.1109/VTS.2009.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/XiangYC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/XieDSS09,
  author       = {Lin Xie and
                  Azadeh Davoodi and
                  Kewal K. Saluja and
                  Abhishek A. Sinkar},
  title        = {False Path Aware Timing Yield Estimation under Variability},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {161--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.17},
  doi          = {10.1109/VTS.2009.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/XieDSS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/XuC09,
  author       = {Tao Xu and
                  Krishnendu Chakrabarty},
  title        = {Design-for-Testability for Digital Microfluidic Biochips},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {309--314},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.16},
  doi          = {10.1109/VTS.2009.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/XuC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YangT09,
  author       = {Joon{-}Sung Yang and
                  Nur A. Touba},
  title        = {Automated Selection of Signals to Observe for Efficient Silicon Debug},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {79--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.51},
  doi          = {10.1109/VTS.2009.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YangT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YoshikawaOIF09,
  author       = {Yuki Yoshikawa and
                  Satoshi Ohtake and
                  Tomoo Inoue and
                  Hideo Fujiwara},
  title        = {A Synthesis Method to Alleviate Over-Testing of Delay Faults Based
                  on {RTL} Don't Care Path Identification},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {71--76},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.27},
  doi          = {10.1109/VTS.2009.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YoshikawaOIF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YuLTPB09,
  author       = {Xiaochun Yu and
                  Yen{-}Tzu Lin and
                  Wing Chiu Tam and
                  Osei Poku and
                  Ronald D. Blanton},
  title        = {Controlling {DPPM} through Volume Diagnosis},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {134--139},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.49},
  doi          = {10.1109/VTS.2009.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YuLTPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZhangGA09,
  author       = {Chaoming Zhang and
                  Ranjit Gharpurey and
                  Jacob A. Abraham},
  title        = {On-Line Calibration and Power Optimization of {RF} Systems Using a
                  Built-In Detector},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {285--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.23},
  doi          = {10.1109/VTS.2009.23},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZhangGA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZiajaT09,
  author       = {Thomas A. Ziaja and
                  Poh J. Tan},
  title        = {Efficient Array Characterization in the UltraSPARC {T2}},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {3--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.58},
  doi          = {10.1109/VTS.2009.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZiajaT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/vts/2009,
  title        = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5116585/proceeding},
  isbn         = {978-0-7695-3598-2},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics