Search dblp for Publications

export results for "toc:db/conf/ats/ats2011.bht:"

 download as .bib file

@inproceedings{DBLP:conf/ats/AlmuribKL11,
  author       = {Haider A. F. Almurib and
                  T. Nandha Kumar and
                  Fabrizio Lombardi},
  title        = {A Single-Configuration Method for Application-Dependent Testing of
                  SRAM-based {FPGA} Interconnects},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {444--450},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.12},
  doi          = {10.1109/ATS.2011.12},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/AlmuribKL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ArslanO11,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Adaptive Test Framework for Achieving Target Test Quality at Minimal
                  Cost},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {323--328},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.91},
  doi          = {10.1109/ATS.2011.91},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ArslanO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/AsianFLRH11,
  author       = {Manuel J. Barragan Asian and
                  Rafaella Fiorelli and
                  Gildas L{\'{e}}ger and
                  Adoraci{\'{o}}n Rueda and
                  Jos{\'{e}} L. Huertas},
  title        = {Improving the Accuracy of {RF} Alternate Test Using Multi-VDD Conditions:
                  Application to Envelope-Based Test of LNAs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {359--364},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.15},
  doi          = {10.1109/ATS.2011.15},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/AsianFLRH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BaoPCT11,
  author       = {Fang Bao and
                  Ke Peng and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {On Generation of 1-Detect {TDF} Pattern Set with Significantly Increased
                  {SDD} Coverage},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {120--125},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.10},
  doi          = {10.1109/ATS.2011.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BaoPCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BernardiR11,
  author       = {Paolo Bernardi and
                  Matteo Sonza Reorda},
  title        = {A New Architecture to Cross-Fertilize On-Line and Manufacturing Testing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {142--147},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.42},
  doi          = {10.1109/ATS.2011.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BernardiR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BhattaWC11,
  author       = {Debesh Bhatta and
                  Joshua W. Wells and
                  Abhijit Chatterjee},
  title        = {Time Domain Characterization and Test of High Speed Signals Using
                  Incoherent Sub-sampling},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {21--26},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.77},
  doi          = {10.1109/ATS.2011.77},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BhattaWC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BhattacharyaMSD11,
  author       = {Gunjan Bhattacharya and
                  Ilora Maity and
                  Biplab K. Sikdar and
                  Baisakhi Das},
  title        = {Exploring Impact of Faults on Branch Predictors' Power for Diagnosis
                  of Faulty Module},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {226--231},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.41},
  doi          = {10.1109/ATS.2011.41},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BhattacharyaMSD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BosioDGTVMW11,
  author       = {Alberto Bosio and
                  Luigi Dilillo and
                  Patrick Girard and
                  Aida Todri and
                  Arnaud Virazel and
                  Kohei Miyase and
                  Xiaoqing Wen},
  title        = {Power-Aware Test Pattern Generation for At-Speed {LOS} Testing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {506--510},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.50},
  doi          = {10.1109/ATS.2011.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BosioDGTVMW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/CarloGIRP11,
  author       = {Stefano Di Carlo and
                  Giulio Gambardella and
                  Marco Indaco and
                  Daniele Rolfo and
                  Paolo Prinetto},
  title        = {MarciaTesta: An Automatic Generator of Test Programs for Microprocessors'
                  Data Caches},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {401--406},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.78},
  doi          = {10.1109/ATS.2011.78},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/CarloGIRP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChaG11,
  author       = {Jae Chul Cha and
                  Sandeep K. Gupta},
  title        = {Yield-per-Area Optimization for 6T-SRAMs Using an Integrated Approach
                  to Exploit Spares and {ECC} to Efficiently Combat High Defect and
                  Soft-Error Rates},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {126--135},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.71},
  doi          = {10.1109/ATS.2011.71},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/ChaG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChaKK11,
  author       = {Jaewon Cha and
                  Ilwoong Kim and
                  Sungho Kang},
  title        = {New Fault Detection Algorithm for Multi-level Cell Flash Memroies},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {341--346},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.13},
  doi          = {10.1109/ATS.2011.13},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChaKK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Chakravarty11,
  author       = {Sreejit Chakravarty},
  title        = {A Process Monitor Based Speed Binning and Die Matching Algorithm},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {311--316},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.96},
  doi          = {10.1109/ATS.2011.96},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/Chakravarty11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChandraSK11,
  author       = {Anshuman Chandra and
                  Jyotirmoy Saikia and
                  Rohit Kapur},
  title        = {Breaking the Test Application Time Barriers in Compression: Adaptive
                  Scan-Cyclical {(AS-C)}},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {432--437},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.70},
  doi          = {10.1109/ATS.2011.70},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChandraSK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChenHLTCCL11,
  author       = {Po{-}Juei Chen and
                  Wei{-}Li Hsu and
                  James Chien{-}Mo Li and
                  Nan{-}Hsin Tseng and
                  Kuo{-}Yin Chen and
                  Wei{-}pin Changchien and
                  Charles C. C. Liu},
  title        = {An Accurate Timing-Aware Diagnosis Algorithm for Multiple Small Delay
                  Defects},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {291--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.23},
  doi          = {10.1109/ATS.2011.23},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChenHLTCCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChenLXH11,
  author       = {Zhen Chen and
                  Jia Li and
                  Dong Xiang and
                  Yu Huang},
  title        = {Virtual Circuit Model for Low Power Scan Testing in Linear Decompressor-Based
                  Compression Environment},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {96--101},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.62},
  doi          = {10.1109/ATS.2011.62},
  timestamp    = {Thu, 25 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/ChenLXH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChenSXB11,
  author       = {Zhen Chen and
                  Sharad C. Seth and
                  Dong Xiang and
                  Bhargab B. Bhattacharya},
  title        = {Diagnosis of Multiple Scan-Chain Faults in the Presence of System
                  Logic Defects},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.61},
  doi          = {10.1109/ATS.2011.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChenSXB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChengZHLL11,
  author       = {Yuanqing Cheng and
                  Lei Zhang and
                  Yinhe Han and
                  Jun Liu and
                  Xiaowei Li},
  title        = {Wrapper Chain Design for Testing TSVs Minimization in Circuit-Partitioned
                  3D SoC},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {181--186},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.40},
  doi          = {10.1109/ATS.2011.40},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/ChengZHLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChiMGW11,
  author       = {Chun{-}Chuan Chi and
                  Erik Jan Marinissen and
                  Sandeep Kumar Goel and
                  Cheng{-}Wen Wu},
  title        = {Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs
                  with a Passive Silicon Interposer Base},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {451--456},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.36},
  doi          = {10.1109/ATS.2011.36},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChiMGW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/CookHIW11,
  author       = {Alejandro Cook and
                  Sybille Hellebrand and
                  Thomas Indlekofer and
                  Hans{-}Joachim Wunderlich},
  title        = {Diagnostic Test of Robust Circuits},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {285--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.55},
  doi          = {10.1109/ATS.2011.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/CookHIW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DarbinyanHSVZ11,
  author       = {K. Darbinyan and
                  Gurgen Harutyunyan and
                  Samvel K. Shoukourian and
                  Valery A. Vardanian and
                  Yervant Zorian},
  title        = {A Robust Solution for Embedded Memory Test and Repair},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {461--462},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.98},
  doi          = {10.1109/ATS.2011.98},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/DarbinyanHSVZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DasG11,
  author       = {Prasanjeet Das and
                  Sandeep K. Gupta},
  title        = {On Generating Vectors for Accurate Post-Silicon Delay Characterization},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {251--260},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.39},
  doi          = {10.1109/ATS.2011.39},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/DasG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DeutschCKMKMG11,
  author       = {Sergej Deutsch and
                  Vivek Chickermane and
                  Brion L. Keller and
                  Subhasish Mukherjee and
                  Mario Konijnenburg and
                  Erik Jan Marinissen and
                  Sandeep Kumar Goel},
  title        = {Automation of 3D-DfT Insertion},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {395--400},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.58},
  doi          = {10.1109/ATS.2011.58},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/DeutschCKMKMG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DevanathanBM11,
  author       = {V. R. Devanathan and
                  Sunil Bhavsar and
                  Rajat Mehrotra},
  title        = {Physical-Aware Memory {BIST} Datapath Synthesis: Architecture and
                  Case-Studies on Complex SoCs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {457--458},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.102},
  doi          = {10.1109/ATS.2011.102},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/DevanathanBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/FanTRCB11,
  author       = {Xiaoxin Fan and
                  Huaxing Tang and
                  Sudhakar M. Reddy and
                  Wu{-}Tung Cheng and
                  Brady Benware},
  title        = {On Using Design Partitioning to Reduce Diagnosis Memory Footprint},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {219--225},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.45},
  doi          = {10.1109/ATS.2011.45},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/FanTRCB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/FangLL11,
  author       = {Yuntan Fang and
                  Huawei Li and
                  Xiaowei Li},
  title        = {A Fault Criticality Evaluation Framework of Digital Systems for Error
                  Tolerant Video Applications},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {329--334},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.72},
  doi          = {10.1109/ATS.2011.72},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/FangLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/FilipekFIMRTT11,
  author       = {Michal Filipek and
                  Yoshiaki Fukui and
                  Hiroyuki Iwata and
                  Grzegorz Mrugalski and
                  Janusz Rajski and
                  Masahiro Takakura and
                  Jerzy Tyszer},
  title        = {Low Power Decompressor and {PRPG} with Constant Value Broadcast},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {84--89},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.47},
  doi          = {10.1109/ATS.2011.47},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/FilipekFIMRTT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/FranzonDTM11,
  author       = {Paul D. Franzon and
                  W. Rhett Davis and
                  Thorlindur Thorolfsson and
                  Samson Melamed},
  title        = {3D Specific Systems: Design and {CAD}},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {470--473},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.99},
  doi          = {10.1109/ATS.2011.99},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/FranzonDTM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Fujita11,
  author       = {Masahiro Fujita},
  title        = {High Level Verification and Its Use at Pos-Silicon Debugging and Patching},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {464--469},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.51},
  doi          = {10.1109/ATS.2011.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/Fujita11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GoelGMPR11,
  author       = {Ashish Goel and
                  Swaroop Ghosh and
                  Mesut Meterelliyoz and
                  Jeff Parkhurst and
                  Kaushik Roy},
  title        = {Integrated Design {\&} Test: Conquering the Conflicting Requirements
                  of Low-Power, Variation-Tolerance and Test Cost},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {486--491},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.100},
  doi          = {10.1109/ATS.2011.100},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GoelGMPR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GrayKWB11,
  author       = {Carl Gray and
                  David C. Keezer and
                  Howard Wang and
                  Keren Bergman},
  title        = {Burst-Mode Transmission and Data Recovery for Multi-GHz Optical Packet
                  Switching Network Testing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {545--551},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.81},
  doi          = {10.1109/ATS.2011.81},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GrayKWB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GuerreiroS11,
  author       = {Nuno Guerreiro and
                  Marcelino B. Santos},
  title        = {Mixed-Signal Fault Equivalence: Search and Evaluation},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {377--382},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.19},
  doi          = {10.1109/ATS.2011.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GuerreiroS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GuptaG11,
  author       = {Puneet Gupta and
                  Rajesh K. Gupta},
  title        = {Underdesigned and Opportunistic Computing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {498--499},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.101},
  doi          = {10.1109/ATS.2011.101},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GuptaG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GuptaKC11,
  author       = {Atul Gupta and
                  Ajay Kumar and
                  Manas Chhabra},
  title        = {Characterizing Pattern Dependent Delay Effects in {DDR} Memory Interfaces},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {425--431},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.14},
  doi          = {10.1109/ATS.2011.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GuptaKC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HamdiouiKIA11,
  author       = {Said Hamdioui and
                  Venkataraman Krishnaswami and
                  Ijeoma Sandra Irobi and
                  Zaid Al{-}Ars},
  title        = {A New Test Paradigm for Semiconductor Memories in the Nano-Era},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {347--352},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.87},
  doi          = {10.1109/ATS.2011.87},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HamdiouiKIA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HamdiouiT11,
  author       = {Said Hamdioui and
                  Mottaqiallah Taouil},
  title        = {Yield Improvement and Test Cost Optimization for 3D Stacked ICs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {480--485},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.88},
  doi          = {10.1109/ATS.2011.88},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HamdiouiT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HaronHH11,
  author       = {Nor Zaidi Haron and
                  Said Hamdioui},
  title        = {On Defect Oriented Testing for Hybrid CMOS/Memristor Memory},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {353--358},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.66},
  doi          = {10.1109/ATS.2011.66},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HaronHH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HigamiFSKT11,
  author       = {Yoshinobu Higami and
                  Hiroshi Furutani and
                  Takao Sakai and
                  Shuichi Kameyama and
                  Hiroshi Takahashi},
  title        = {Test Pattern Selection for Defect-Aware Test},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {102--107},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.24},
  doi          = {10.1109/ATS.2011.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HigamiFSKT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HigamiTKS11,
  author       = {Yoshinobu Higami and
                  Hiroshi Takahashi and
                  Shin{-}ya Kobayashi and
                  Kewal K. Saluja},
  title        = {On Detecting Transition Faults in the Presence of Clock Delay Faults},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.33},
  doi          = {10.1109/ATS.2011.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HigamiTKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HuangHLHLL11,
  author       = {Keheng Huang and
                  Yu Hu and
                  Xiaowei Li and
                  Gengxin Hua and
                  Hongjin Liu and
                  Bo Liu},
  title        = {Exploiting Free {LUT} Entries to Mitigate Soft Errors in SRAM-based
                  FPGAs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {438--443},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.25},
  doi          = {10.1109/ATS.2011.25},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HuangHLHLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/IchiharaIYI11,
  author       = {Hideyuki Ichihara and
                  Yuka Iwamoto and
                  Yuki Yoshikawa and
                  Tomoo Inoue},
  title        = {Test Compression Based on Lossy Image Encoding},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {273--278},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.59},
  doi          = {10.1109/ATS.2011.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/IchiharaIYI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/IrobiAHT11,
  author       = {Sandra Irobi and
                  Zaid Al{-}Ars and
                  Said Hamdioui and
                  Claude Thibeault},
  title        = {Testing for Parasitic Memory Effect in SRAMs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {407--412},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.76},
  doi          = {10.1109/ATS.2011.76},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/IrobiAHT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/IshaqJSP11,
  author       = {Umair Ishaq and
                  Jihun Jung and
                  Jaehoon Song and
                  Sungju Park},
  title        = {Efficient Use of Unused Spare Columns to Improve Memory Error Correcting
                  Rate},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {335--340},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.28},
  doi          = {10.1109/ATS.2011.28},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/IshaqJSP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/JangCGNA11,
  author       = {Eun Jung Jang and
                  Jaeyong Chung and
                  Anne E. Gattiker and
                  Sani R. Nassif and
                  Jacob A. Abraham},
  title        = {Post-Silicon Timing Validation Method Using Path Delay Measurements},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {232--237},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.32},
  doi          = {10.1109/ATS.2011.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/JangCGNA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/JavaheriNKN11,
  author       = {Fatemeh Javaheri and
                  Majid Namaki{-}Shoushtari and
                  Parastoo Kamranfar and
                  Zainalabedin Navabi},
  title        = {Mapping Transaction Level Faults to Stuck-At Faults in Communication
                  Hardware},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {114--119},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.94},
  doi          = {10.1109/ATS.2011.94},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/JavaheriNKN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KarimiKCGP11,
  author       = {Naghmeh Karimi and
                  Zhiqiu Kong and
                  Krishnendu Chakrabarty and
                  Pallav Gupta and
                  Srinivas Patil},
  title        = {Testing of Clock-Domain Crossing Faults in Multi-core System-on-Chip},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {7--14},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.68},
  doi          = {10.1109/ATS.2011.68},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KarimiKCGP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KavousianosCJP11,
  author       = {Xrysovalantis Kavousianos and
                  Krishnendu Chakrabarty and
                  Arvind Jain and
                  Rubin A. Parekhji},
  title        = {Test Scheduling for Multicore SoCs with Dynamic Voltage Scaling and
                  Multiple Voltage Islands},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {33--39},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.53},
  doi          = {10.1109/ATS.2011.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KavousianosCJP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KhodabandelooHTHBN11,
  author       = {Behnam Khodabandeloo and
                  Seyyed Alireza Hoseini and
                  Sajjad Taheri and
                  Mohammad Hashem Haghbayan and
                  Mahmood Reza Babaei and
                  Zainalabedin Navabi},
  title        = {Online Test Macro Scheduling and Assignment in MPSoC Design},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {148--153},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.95},
  doi          = {10.1109/ATS.2011.95},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KhodabandelooHTHBN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KhullarB11,
  author       = {Shray Khullar and
                  Swapnil Bahl},
  title        = {Power Aware Shift and Capture {ATPG} Methodology for Low Power Designs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {500--505},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.65},
  doi          = {10.1109/ATS.2011.65},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KhullarB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KimA11,
  author       = {Hyunjin Kim and
                  Jacob A. Abraham},
  title        = {On-Chip Programmable Dual-Capture for Double Data Rate Interface Timing
                  Test},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {15--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.31},
  doi          = {10.1109/ATS.2011.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KimA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KirmsePP11,
  author       = {Matthias Kirmse and
                  Uwe Petersohn and
                  Elief Paffrath},
  title        = {Optimized Test Error Detection by Probabilistic Retest Recommendation
                  Models},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {317--322},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.11},
  doi          = {10.1109/ATS.2011.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KirmsePP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KochteKMWW11,
  author       = {Michael A. Kochte and
                  Sandip Kundu and
                  Kohei Miyase and
                  Xiaoqing Wen and
                  Hans{-}Joachim Wunderlich},
  title        = {Efficient BDD-based Fault Simulation in Presence of Unknown Values},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {383--388},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.52},
  doi          = {10.1109/ATS.2011.52},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KochteKMWW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KomuravelliMBD11,
  author       = {Anvesh Komuravelli and
                  Srobona Mitra and
                  Ansuman Banerjee and
                  Pallab Dasgupta},
  title        = {Backward Reasoning with Formal Properties: {A} Methodology for Bug
                  Isolation on Simulation Traces},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {238--243},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.54},
  doi          = {10.1109/ATS.2011.54},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KomuravelliMBD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/LegatBN11,
  author       = {Uros Legat and
                  Anton Biasizzo and
                  Franc Novak},
  title        = {Soft Error Recovery Technique for Multiprocessor {SOPC}},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {175--180},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.22},
  doi          = {10.1109/ATS.2011.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/LegatBN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/LinMMNRT11,
  author       = {Xijiang Lin and
                  Elham K. Moghaddam and
                  Nilanjan Mukherjee and
                  Benoit Nadeau{-}Dostie and
                  Janusz Rajski and
                  Jerzy Tyszer},
  title        = {Power Aware Embedded Test},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {511--516},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.49},
  doi          = {10.1109/ATS.2011.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/LinMMNRT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MiyaseUEYWKWDBGV11,
  author       = {Kohei Miyase and
                  Y. Uchinodan and
                  Kazunari Enokimoto and
                  Yuta Yamato and
                  Xiaoqing Wen and
                  Seiji Kajihara and
                  Fangmei Wu and
                  Luigi Dilillo and
                  Alberto Bosio and
                  Patrick Girard and
                  Arnaud Virazel},
  title        = {Effective Launch-to-Capture Power Reduction for {LOS} Scheme with
                  Adjacent-Probability-Based X-Filling},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {90--95},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.35},
  doi          = {10.1109/ATS.2011.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MiyaseUEYWKWDBGV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MoghaddamRRJ11,
  author       = {Elham K. Moghaddam and
                  Janusz Rajski and
                  Sudhakar M. Reddy and
                  Jakub Janicki},
  title        = {Low Test Data Volume Low Power At-Speed Delay Tests Using Clock-Gating},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {267--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.46},
  doi          = {10.1109/ATS.2011.46},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MoghaddamRRJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MrugalskiPMRTU11,
  author       = {Grzegorz Mrugalski and
                  Artur Pogiel and
                  Nilanjan Mukherjee and
                  Janusz Rajski and
                  Jerzy Tyszer and
                  Pawel Urbanek},
  title        = {Fault Diagnosis in Memory {BIST} Environment with Non-march Tests},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {419--424},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.48},
  doi          = {10.1109/ATS.2011.48},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MrugalskiPMRTU11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MukhopadhyayC11,
  author       = {Debdeep Mukhopadhyay and
                  Rajat Subhra Chakraborty},
  title        = {Testability of Cryptographic Hardware and Detection of Hardware Trojans},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {517--524},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.27},
  doi          = {10.1109/ATS.2011.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MukhopadhyayC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MumtazIHW11,
  author       = {Abdullah Mumtaz and
                  Michael E. Imhof and
                  Stefan Holst and
                  Hans{-}Joachim Wunderlich},
  title        = {Embedded Test for Highly Accurate Defect Localization},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {213--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.60},
  doi          = {10.1109/ATS.2011.60},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MumtazIHW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/NatarajanWCS11,
  author       = {Jayaram Natarajan and
                  Joshua W. Wells and
                  Abhijit Chatterjee and
                  Adit D. Singh},
  title        = {Distributed Comparison Test Driven Multiprocessor Speed-Tuning: Targeting
                  Performance Gains under Extreme Process Variations},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {154--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.84},
  doi          = {10.1109/ATS.2011.84},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/NatarajanWCS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/NematiN11,
  author       = {Nastaran Nemati and
                  Zainalabedin Navabi},
  title        = {Adaptation of Standard {RT} Level {BIST} Architectures for System
                  Level Communication Testing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {72--77},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.103},
  doi          = {10.1109/ATS.2011.103},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/NematiN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/NoiaC11,
  author       = {Brandon Noia and
                  Krishnendu Chakrabarty},
  title        = {Identification of Defective TSVs in Pre-Bond Testing of 3D ICs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {187--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.57},
  doi          = {10.1109/ATS.2011.57},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/NoiaC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/NoiaC11a,
  author       = {Brandon Noia and
                  Krishnendu Chakrabarty},
  title        = {Testing and Design-for-Testability Techniques for 3D Integrated Circuits},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {474--479},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.67},
  doi          = {10.1109/ATS.2011.67},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/NoiaC11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Onodera11,
  author       = {Hidetoshi Onodera},
  title        = {Dependable {VLSI} Program in Japan: Program Overview and the Current
                  Status of Dependable {VLSI} Platform Project},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {492--495},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.56},
  doi          = {10.1109/ATS.2011.56},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/Onodera11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/PaiGCCL11,
  author       = {Chih{-}Yun Pai and
                  Ruei{-}Ting Gu and
                  Bo{-}Chuan Cheng and
                  Liang{-}Bi Chen and
                  Katherine Shu{-}Min Li},
  title        = {A Unified Interconnects Testing Scheme for 3D Integrated Circuits},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {195--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.38},
  doi          = {10.1109/ATS.2011.38},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/PaiGCCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/PrabhuHKLGG11,
  author       = {Sarvesh Prabhu and
                  Michael S. Hsiao and
                  Saparya Krishnamoorthy and
                  Loganathan Lingappan and
                  Vijay Gangaram and
                  Jim Grundy},
  title        = {An Efficient 2-Phase Strategy to Achieve High Branch Coverage},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {167--174},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.83},
  doi          = {10.1109/ATS.2011.83},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/PrabhuHKLGG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/QianSC11,
  author       = {Xi Qian and
                  Adit D. Singh and
                  Abhijit Chatterjee},
  title        = {Diagnosing Multiple Slow Gates for Performance Tuning in the Face
                  of Extreme Process Variations},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {303--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.73},
  doi          = {10.1109/ATS.2011.73},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/QianSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/RazzaqSS11,
  author       = {Mohammed Abdul Razzaq and
                  Virendra Singh and
                  Adit D. Singh},
  title        = {{SSTKR:} Secure and Testable Scan Design through Test Key Randomization},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {60--65},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.85},
  doi          = {10.1109/ATS.2011.85},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/RazzaqSS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/RodriguesK11,
  author       = {Rance Rodrigues and
                  Sandip Kundu},
  title        = {An Online Mechanism to Verify Datapath Execution Using Existing Resources
                  in Chip Multiprocessors},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {161--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.82},
  doi          = {10.1109/ATS.2011.82},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/RodriguesK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SaikiaNKAUFBK11,
  author       = {Jyotirmoy Saikia and
                  Pramod Notiyath and
                  Santosh Kulkarni and
                  Ashok Anbalan and
                  Rajesh Uppuluri and
                  Tammy Fernandes and
                  Parthajit Bhattacharya and
                  Rohit Kapur},
  title        = {Predicting Scan Compression {IP} Configurations for Better QoR},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {261--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.30},
  doi          = {10.1109/ATS.2011.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SaikiaNKAUFBK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SatoYMK11,
  author       = {Yasuo Sato and
                  Hisato Yamaguchi and
                  Makoto Matsuzono and
                  Seiji Kajihara},
  title        = {Multi-cycle Test with Partial Observation on Scan-Based {BIST} Structure},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {54--59},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.34},
  doi          = {10.1109/ATS.2011.34},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SatoYMK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SauerJCPB11,
  author       = {Matthias Sauer and
                  Jie Jiang and
                  Alejandro Czutro and
                  Ilia Polian and
                  Bernd Becker},
  title        = {Efficient SAT-Based Search for Longest Sensitisable Paths},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {108--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.43},
  doi          = {10.1109/ATS.2011.43},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/SauerJCPB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Sinanoglu11,
  author       = {Ozgur Sinanoglu},
  title        = {Rewind-Support for Peak Capture Power Reduction in Launch-Off-Shift
                  Testing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {78--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.18},
  doi          = {10.1109/ATS.2011.18},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/Sinanoglu11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SindiaAS11,
  author       = {Suraj Sindia and
                  Vishwani D. Agrawal and
                  Virendra Singh},
  title        = {Test and Diagnosis of Analog Circuits Using Moment Generating Functions},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {371--376},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.86},
  doi          = {10.1109/ATS.2011.86},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SindiaAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SpyronasiosASM11,
  author       = {Alexios Spyronasios and
                  Louay Abdallah and
                  Haralampos{-}G. D. Stratigopoulos and
                  Salvador Mir},
  title        = {On Replacing an {RF} Test with an Alternative Measurement: Theory
                  and a Case Study},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {365--370},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.44},
  doi          = {10.1109/ATS.2011.44},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SpyronasiosASM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/TenentesK11,
  author       = {Vasileios Tenentes and
                  Xrysovalantis Kavousianos},
  title        = {Low Power Test-Compression for High Test-Quality and Low Test-Data
                  Volume},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {46--53},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.75},
  doi          = {10.1109/ATS.2011.75},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/TenentesK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/TranVBDGPW11,
  author       = {D. A. Tran and
                  Arnaud Virazel and
                  Alberto Bosio and
                  Luigi Dilillo and
                  Patrick Girard and
                  Serge Pravossoudovitch and
                  Hans{-}Joachim Wunderlich},
  title        = {A Hybrid Fault Tolerant Architecture for Robustness Improvement of
                  Digital Circuits},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {136--141},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.89},
  doi          = {10.1109/ATS.2011.89},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/TranVBDGPW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/TsertovUJD11,
  author       = {Anton Tsertov and
                  Raimund Ubar and
                  Artur Jutman and
                  Sergei Devadze},
  title        = {Automatic SoC Level Test Path Synthesis Based on Partial Functional
                  Models},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {532--538},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.79},
  doi          = {10.1109/ATS.2011.79},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/TsertovUJD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/VatajeluGRF11,
  author       = {Elena I. Vatajelu and
                  {\'{A}}lvaro G{\'{o}}mez{-}Pau and
                  Michel Renovell and
                  Joan Figueras},
  title        = {Transient Noise Failures in {SRAM} Cells: Dynamic Noise Margin Metric},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {413--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.64},
  doi          = {10.1109/ATS.2011.64},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/VatajeluGRF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Wehn11,
  author       = {Norbert Wehn},
  title        = {Reliability: {A} Cross-Disciplinary and Cross-Layer Approach},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {496--497},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.21},
  doi          = {10.1109/ATS.2011.21},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/Wehn11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/XiangC11,
  author       = {Dong Xiang and
                  Zhen Chen},
  title        = {Selective Test Response Collection for Low-Power Scan Testing with
                  Well-Compressed Test Data},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {40--45},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.74},
  doi          = {10.1109/ATS.2011.74},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/XiangC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/YaoSR11,
  author       = {Chunhua Yao and
                  Kewal K. Saluja and
                  Parameswaran Ramanathan},
  title        = {Temperature Dependent Test Scheduling for Multi-core System-on-Chip},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {27--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.26},
  doi          = {10.1109/ATS.2011.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/YaoSR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/YotsuyanagiMH11,
  author       = {Hiroyuki Yotsuyanagi and
                  Hiroyuki Makimoto and
                  Masaki Hashizume},
  title        = {A Boundary Scan Circuit with Time-to-Digital Converter for Delay Testing},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {539--544},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.63},
  doi          = {10.1109/ATS.2011.63},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/YotsuyanagiMH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/YuXQ11,
  author       = {Yang Yu and
                  Gang Xi and
                  Liyan Qiao},
  title        = {Multiscan-based Test Data Compression Using {UBI} Dictionary and Bitmask},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {279--284},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.69},
  doi          = {10.1109/ATS.2011.69},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/YuXQ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZadeganIACL11,
  author       = {Farrokh Ghani Zadegan and
                  Urban Ingelsson and
                  Golnaz Asani and
                  Gunnar Carlsson and
                  Erik Larsson},
  title        = {Test Scheduling in an {IEEE} {P1687} Environment with Resource and
                  Power Constraints},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {525--531},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.80},
  doi          = {10.1109/ATS.2011.80},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZadeganIACL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZaourarKA11,
  author       = {Lilia Zaourar and
                  Yann Kieffer and
                  Chouki Aktouf},
  title        = {An Innovative Methodology for Scan Chain Insertion and Analysis at
                  {RTL}},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {66--71},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.20},
  doi          = {10.1109/ATS.2011.20},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZaourarKA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZeidlerWKVK11,
  author       = {Steffen Zeidler and
                  Christoph Wolf and
                  Milos Krstic and
                  Frank Vater and
                  Rolf Kraemer},
  title        = {Design of a Test Processor for Asynchronous Chip Test},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {244--250},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.17},
  doi          = {10.1109/ATS.2011.17},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZeidlerWKVK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZhangWD11,
  author       = {Hongyan Zhang and
                  Robert Wille and
                  Rolf Drechsler},
  title        = {Improved Fault Diagnosis for Reversible Circuits},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {207--212},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.29},
  doi          = {10.1109/ATS.2011.29},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZhangWD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZhaoKA11,
  author       = {Yi Zhao and
                  S. Saqib Khursheed and
                  Bashir M. Al{-}Hashimi},
  title        = {Cost-Effective {TSV} Grouping for Yield Improvement of 3D-ICs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {201--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.37},
  doi          = {10.1109/ATS.2011.37},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZhaoKA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZhongKARC11,
  author       = {Shida Zhong and
                  S. Saqib Khursheed and
                  Bashir M. Al{-}Hashimi and
                  Sudhakar M. Reddy and
                  Krishnendu Chakrabarty},
  title        = {Analysis of Resistive Bridge Defect Delay Behavior in the Presence
                  of Process Variation},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {389--394},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.16},
  doi          = {10.1109/ATS.2011.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZhongKARC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZordanBDGPTVB11,
  author       = {Leonardo Bonet Zordan and
                  Alberto Bosio and
                  Luigi Dilillo and
                  Patrick Girard and
                  Serge Pravossoudovitch and
                  Aida Todri and
                  Arnaud Virazel and
                  Nabil Badereddine},
  title        = {Failure Analysis and Test Solutions for Low-Power SRAMs},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {459--460},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.97},
  doi          = {10.1109/ATS.2011.97},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZordanBDGPTVB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/dAbreu11,
  author       = {Manuel A. d'Abreu},
  title        = {Nand Flash Memory - Product Trends, Technology Overview, and Technical
                  Challenges},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {463},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.90},
  doi          = {10.1109/ATS.2011.90},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/dAbreu11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ats/2011,
  title        = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6114262/proceeding},
  isbn         = {978-1-4577-1984-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics