default search action
BibTeX records: Ali Afzali-Kusha
@article{DBLP:journals/tbcas/ShareiKAP24, author = {Mohaddeseh Sharei and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{GEMA:} {A} Genome Exact Mapping Accelerator Based on Learned Indexes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {18}, number = {3}, pages = {523--538}, year = {2024}, url = {https://doi.org/10.1109/TBCAS.2023.3348152}, doi = {10.1109/TBCAS.2023.3348152}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ShareiKAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/TanghatariKAP23, author = {Ehsan Tanghatari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Federated learning by employing knowledge distillation on edge devices with limited hardware resources}, journal = {Neurocomputing}, volume = {531}, pages = {87--99}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.02.011}, doi = {10.1016/J.NEUCOM.2023.02.011}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/TanghatariKAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/AhmadzadehKAP23, author = {Mohsen Ahmadzadeh and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A\({}^{\mbox{2}}\)P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {34}, number = {11}, pages = {8284--8296}, year = {2023}, url = {https://doi.org/10.1109/TNNLS.2022.3148818}, doi = {10.1109/TNNLS.2022.3148818}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/AhmadzadehKAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/EbrahimiAzandaryaniAKAP23, author = {Farhad Ebrahimi{-}Azandaryani and Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Accuracy Configurable Adders with Negligible Delay Overhead in Exact Operating Mode}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {1}, pages = {13:1--13:14}, year = {2023}, url = {https://doi.org/10.1145/3549936}, doi = {10.1145/3549936}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/EbrahimiAzandaryaniAKAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KazerooniZandKAP23, author = {Reza Kazerooni{-}Zand and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Memristive-based Mixed-signal {CGRA} for Accelerating Deep Neural Network Inference}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {4}, pages = {66:1--66:25}, year = {2023}, url = {https://doi.org/10.1145/3595638}, doi = {10.1145/3595638}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/KazerooniZandKAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BanaGozarSSKAC23, author = {Ali BanaGozar and Seyed Hossein Hashemi Shadmehri and Sander Stuijk and Mehdi Kamal and Ali Afzali{-}Kusha and Henk Corporaal}, editor = {Atsushi Takahashi}, title = {ReMeCo: Reliable Memristor-Based in-Memory Neuromorphic Computation}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {396--401}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567889}, doi = {10.1145/3566097.3567889}, timestamp = {Mon, 26 Jun 2023 20:46:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BanaGozarSSKAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/TanghatariKAP22, author = {Ehsan Tanghatari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Distributing {DNN} training over IoT edge devices based on transfer learning}, journal = {Neurocomputing}, volume = {467}, pages = {56--65}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2021.09.045}, doi = {10.1016/J.NEUCOM.2021.09.045}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/TanghatariKAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SoltaniKAP22, author = {Morteza Soltani and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An Adaptive Memory-Side Encryption Method for Improving Security and Lifetime of PCM-Based Main Memory}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {6}, pages = {1744--1756}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3093832}, doi = {10.1109/TCAD.2021.3093832}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SoltaniKAP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/Zolfagharinejad22, author = {Mohamadreza Zolfagharinejad and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Posit Process Element for Using in Energy-Efficient {DNN} Accelerators}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {6}, pages = {844--848}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3165510}, doi = {10.1109/TVLSI.2022.3165510}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/Zolfagharinejad22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShadmehriBKSAPC22, author = {Seyed Hossein Hashemi Shadmehri and Ali BanaGozar and Mehdi Kamal and Sander Stuijk and Ali Afzali{-}Kusha and Massoud Pedram and Henk Corporaal}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1467--1472}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774749}, doi = {10.23919/DATE54114.2022.9774749}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShadmehriBKSAPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12605, author = {Mohammad Ali Maleki and Mehdi Kamal and Ali Afzali{-}Kusha}, title = {Heterogeneous Multi-core Array-based {DNN} Accelerator}, journal = {CoRR}, volume = {abs/2206.12605}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12605}, doi = {10.48550/ARXIV.2206.12605}, eprinttype = {arXiv}, eprint = {2206.12605}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12605.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13850, author = {Saba Amanollahi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{AMR-MUL:} An Approximate Maximally Redundant Signed Digit Multiplier}, journal = {CoRR}, volume = {abs/2208.13850}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13850}, doi = {10.48550/ARXIV.2208.13850}, eprinttype = {arXiv}, eprint = {2208.13850}, timestamp = {Thu, 01 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13850.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/VahdatKAP21, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Loading-Aware Reliability Improvement of Ultra-Low Power Memristive Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {8}, pages = {3411--3421}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3084867}, doi = {10.1109/TCSI.2021.3084867}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/VahdatKAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/VahdatKAP21a, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Reliability Enhancement of Inverter-Based Memristor Crossbar Neural Networks Using Mathematical Analysis of Circuit Non-Idealities}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {10}, pages = {4310--4323}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3105043}, doi = {10.1109/TCSI.2021.3105043}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/VahdatKAP21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/VahdatKAP21, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{LATIM:} Loading-Aware Offline Training Method for Inverter-Based Memristive Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {10}, pages = {3346--3350}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3072289}, doi = {10.1109/TCSII.2021.3072289}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/VahdatKAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MalekiNKAP21, author = {Mohammad Ali Maleki and Alireza Nabipour{-}Meybodi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An Energy-Efficient Inference Method in Convolutional Neural Networks Based on Dynamic Adjustment of the Pruning Level}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {26}, number = {6}, pages = {49:1--49:20}, year = {2021}, url = {https://doi.org/10.1145/3460972}, doi = {10.1145/3460972}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/MalekiNKAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YarmandKAEP21, author = {Roohollah Yarmand and Mehdi Kamal and Ali Afzali{-}Kusha and Pooria Esmaeli and Massoud Pedram}, title = {{OPTIMA:} An Approach for Online Management of Cache Approximation Levels in Approximate Processing Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {2}, pages = {434--446}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2020.3043953}, doi = {10.1109/TVLSI.2020.3043953}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YarmandKAEP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-02667, author = {Seyed Abolfazl Ghasemzadeh and Erfan Bank Tavakoli and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{BRDS:} An FPGA-based {LSTM} Accelerator with Row-Balanced Dual-Ratio Sparsification}, journal = {CoRR}, volume = {abs/2101.02667}, year = {2021}, url = {https://arxiv.org/abs/2101.02667}, eprinttype = {arXiv}, eprint = {2101.02667}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-02667.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09693, author = {Mohsen Ahmadzadeh and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{A2P-MANN:} Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks}, journal = {CoRR}, volume = {abs/2101.09693}, year = {2021}, url = {https://arxiv.org/abs/2101.09693}, eprinttype = {arXiv}, eprint = {2101.09693}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09693.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/AmanollahiKAP20, author = {Saba Amanollahi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing Systemsx}, journal = {Proc. {IEEE}}, volume = {108}, number = {12}, pages = {2150--2177}, year = {2020}, url = {https://doi.org/10.1109/JPROC.2020.3020792}, doi = {10.1109/JPROC.2020.3020792}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/AmanollahiKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AkbariKAPS20, author = {Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and Muhammad Shafique}, title = {{X-CGRA:} An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2558--2571}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2937738}, doi = {10.1109/TCAD.2019.2937738}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/AkbariKAPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/Ebrahimi-Azandaryani20, author = {Farhad Ebrahimi{-}Azandaryani and Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Block-Based Carry Speculative Approximate Adder for Energy-Efficient Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {1}, pages = {137--141}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2901060}, doi = {10.1109/TCSII.2019.2901060}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/Ebrahimi-Azandaryani20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SamimiKAP20, author = {Nasim Samimi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Res-DNN: {A} Residue Number System-Based {DNN} Accelerator Unit}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {67-I}, number = {2}, pages = {658--671}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2019.2951083}, doi = {10.1109/TCSI.2019.2951083}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SamimiKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HaghiKAP20, author = {Pouya Haghi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {O{\unicode{8308}}-DNN: {A} Hybrid DSP-LUT-Based Processing Unit With Operation Packing and Out-of-Order Execution for Efficient Realization of Convolutional Neural Networks on {FPGA} Devices}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {67-I}, number = {9}, pages = {3056--3069}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2986350}, doi = {10.1109/TCSI.2020.2986350}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HaghiKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/VahdatKAP20, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Offline Training Improvement of Inverter-Based Memristive Neural Networks Using Inverter Voltage Characteristic Smoothing}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-II}, number = {12}, pages = {3442--3446}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2020.2997384}, doi = {10.1109/TCSII.2020.2997384}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/VahdatKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YarmandKAP20, author = {Roohollah Yarmand and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{DART:} {A} Framework for Determining Approximation Levels in an Approximable Memory Hierarchy}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {1}, pages = {273--286}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2019.2935832}, doi = {10.1109/TVLSI.2019.2935832}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YarmandKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SoltaniKAP20, author = {Morteza Soltani and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {RandShift: An Energy-Efficient Fault-Tolerant Method in Secure Nonvolatile Main Memory}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {1}, pages = {287--291}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2019.2943073}, doi = {10.1109/TVLSI.2019.2943073}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SoltaniKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/Bank-TavakoliGK20, author = {Erfan Bank{-}Tavakoli and Seyed Abolfazl Ghasemzadeh and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{POLAR:} {A} Pipelined/Overlapped FPGA-Based {LSTM} Accelerator}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {3}, pages = {838--842}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2019.2947639}, doi = {10.1109/TVLSI.2019.2947639}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/Bank-TavakoliGK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/VahdatKAP20, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Interstice: Inverter-Based Memristive Neural Networks Discretization for Function Approximation Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {7}, pages = {1578--1588}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2020.2991795}, doi = {10.1109/TVLSI.2020.2991795}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/VahdatKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/PasandiMEFAP19, author = {Ghasem Pasandi and Kolsoom Mehrabi and Behzad Ebrahimi and Sied Mehdi Fakhraei and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Low-power data encoding/decoding for energy-efficient static random access memory design}, journal = {{IET} Circuits Devices Syst.}, volume = {13}, number = {8}, pages = {1152--1159}, year = {2019}, url = {https://doi.org/10.1049/iet-cds.2018.5564}, doi = {10.1049/IET-CDS.2018.5564}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cds/PasandiMEFAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NikkhahZKAP19, author = {Shayan Tabatabaei Nikkhah and Mahdi Zahedi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{ACHILLES:} Accuracy-Aware High-Level Synthesis Considering Online Quality Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {8}, pages = {1452--1465}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2846625}, doi = {10.1109/TCAD.2018.2846625}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NikkhahZKAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/PashaeifarKAP19, author = {Masoud Pashaeifar and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A Theoretical Framework for Quality Estimation and Optimization of {DSP} Applications Using Low-Power Approximate Adders}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {1}, pages = {327--340}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2018.2856757}, doi = {10.1109/TCSI.2018.2856757}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/PashaeifarKAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/AnsariFKAP19, author = {Mohammad Ansari and Arash Fayyazi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{OCTAN:} An On-Chip Training Algorithm for Memristive Neuromorphic Circuits}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {12}, pages = {4687--4698}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2934560}, doi = {10.1109/TCSI.2019.2934560}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/AnsariFKAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/VahdatKAP19, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{TOSAM:} An Energy-Efficient Truncation- and Rounding-Based Scalable Approximate Multiplier}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {5}, pages = {1161--1173}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2890712}, doi = {10.1109/TVLSI.2018.2890712}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/VahdatKAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-00952, author = {Shayan Tabatabaei Nikkhah and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Space Expansion of Feature Selection for Designing more Accurate Error Predictors}, journal = {CoRR}, volume = {abs/1901.00952}, year = {2019}, url = {http://arxiv.org/abs/1901.00952}, eprinttype = {arXiv}, eprint = {1901.00952}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-00952.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/NakhaeeKAPFD18, author = {Farzaneh Nakhaee and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and Sied Mehdi Fakhraie and Hamed Dorosti}, title = {Lifetime improvement by exploiting aggressive voltage scaling during runtime of error-resilient applications}, journal = {Integr.}, volume = {61}, pages = {29--38}, year = {2018}, url = {https://doi.org/10.1016/j.vlsi.2017.10.013}, doi = {10.1016/J.VLSI.2017.10.013}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/NakhaeeKAPFD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/FayyaziAKAP18, author = {Arash Fayyazi and Mohammad Ansari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An Ultra Low-Power Memristive Neuromorphic Circuit for Internet of Things Smart Sensors}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {2}, pages = {1011--1022}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2018.2799948}, doi = {10.1109/JIOT.2018.2799948}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/FayyaziAKAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/AkbariKAPS18, author = {Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and Muhammad Shafique}, title = {Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures}, journal = {{IEEE} Micro}, volume = {38}, number = {6}, pages = {63--72}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.2873951}, doi = {10.1109/MM.2018.2873951}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/AkbariKAPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/IranfarKAPA18, author = {Arman Iranfar and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and David Atienza}, title = {TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {8}, pages = {1532--1545}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2768417}, doi = {10.1109/TCAD.2017.2768417}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/IranfarKAPA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AnsariFBMKAP18, author = {Mohammad Ansari and Arash Fayyazi and Ali BanaGozar and Mohammad Ali Maleki and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{PHAX:} Physical Characteristics Aware Ex-Situ Training Framework for Inverter-Based Memristive Neuromorphic Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {8}, pages = {1602--1613}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2764070}, doi = {10.1109/TCAD.2017.2764070}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AnsariFBMKAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/AkbariKAP18, author = {Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{RAP-CLA:} {A} Reconfigurable Approximate Carry Look-Ahead Adder}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {8}, pages = {1089--1093}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2016.2633307}, doi = {10.1109/TCSII.2016.2633307}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/AkbariKAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AbolmaaliKAP18, author = {Sheis Abolma'ali and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An Efficient False Path-Aware Heuristic Critical Path Selection Method with High Coverage of the Process Variation Space}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {3}, pages = {32:1--32:25}, year = {2018}, url = {https://doi.org/10.1145/3177866}, doi = {10.1145/3177866}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AbolmaaliKAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PashaeifarKAP18, author = {Masoud Pashaeifar and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Approximate Reverse Carry Propagate Adder for Energy-Efficient {DSP} Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {11}, pages = {2530--2541}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2859939}, doi = {10.1109/TVLSI.2018.2859939}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/PashaeifarKAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkbariKAPS18, author = {Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{PX-CGRA:} Polymorphic approximate coarse-grained reconfigurable architecture}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {413--418}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342045}, doi = {10.23919/DATE.2018.8342045}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkbariKAPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VaeztourshiziKA18, author = {Marzieh Vaeztourshizi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative Divider}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {14:1--14:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3218650}, doi = {10.1145/3218603.3218650}, timestamp = {Fri, 30 Nov 2018 02:24:56 +0100}, biburl = {https://dblp.org/rec/conf/islped/VaeztourshiziKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/BahadoriKAP17, author = {Milad Bahadori and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An energy and area efficient yet high-speed square-root carry select adder structure}, journal = {Comput. Electr. Eng.}, volume = {58}, pages = {101--112}, year = {2017}, url = {https://doi.org/10.1016/j.compeleceng.2017.01.021}, doi = {10.1016/J.COMPELECENG.2017.01.021}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/BahadoriKAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/VahdatKAP17, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{LETAM:} {A} low energy truncation-based approximate multiplier}, journal = {Comput. Electr. Eng.}, volume = {63}, pages = {1--17}, year = {2017}, url = {https://doi.org/10.1016/j.compeleceng.2017.08.019}, doi = {10.1016/J.COMPELECENG.2017.08.019}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/VahdatKAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HemmatKAP17, author = {Maede Hemmat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Hybrid {TFET-MOSFET} circuit: {A} solution to design soft-error resilient ultra-low power digital circuit}, journal = {Integr.}, volume = {57}, pages = {11--19}, year = {2017}, url = {https://doi.org/10.1016/j.vlsi.2016.11.001}, doi = {10.1016/J.VLSI.2016.11.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HemmatKAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/BahadoriKAAS17, author = {Milad Bahadori and Mehdi Kamal and Ali Afzali{-}Kusha and Yasmin Afsharnezhad and Elham Zahraie Salehi}, title = {{CL-CPA:} {A} hybrid carry-lookahead/carry-propagate adder for low-power or high-performance operation mode}, journal = {Integr.}, volume = {57}, pages = {62--68}, year = {2017}, url = {https://doi.org/10.1016/j.vlsi.2016.11.009}, doi = {10.1016/J.VLSI.2016.11.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/BahadoriKAAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZendeganiKBAP17, author = {Reza Zendegani and Mehdi Kamal and Milad Bahadori and Ali Afzali{-}Kusha and Massoud Pedram}, title = {RoBA Multiplier: {A} Rounding-Based Approximate Multiplier for High-Speed yet Energy-Efficient Digital Signal Processing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {2}, pages = {393--401}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2587696}, doi = {10.1109/TVLSI.2016.2587696}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZendeganiKBAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AkbariKAP17, author = {Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Dual-Quality 4: 2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {4}, pages = {1352--1361}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2643003}, doi = {10.1109/TVLSI.2016.2643003}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AkbariKAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AbolmaaliMKAP17, author = {Sheis Abolma'ali and Nika Mansouri{-}Ghiasi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Efficient Critical Path Identification Based on Viability Analysis Method Considering Process Variations}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {9}, pages = {2668--2672}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2703623}, doi = {10.1109/TVLSI.2017.2703623}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AbolmaaliMKAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanaGozarMKAP17, author = {Ali BanaGozar and Mohammad Ali Maleki and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {David Atienza and Giorgio Di Natale}, title = {Robust neuromorphic computing in the presence of process variation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {440--445}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927030}, doi = {10.23919/DATE.2017.7927030}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BanaGozarMKAP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VahdatKAPN17, author = {Shaghayegh Vahdat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and Zainalabedin Navabi}, editor = {David Atienza and Giorgio Di Natale}, title = {TruncApp: {A} truncation-based approximate divider for energy efficient {DSP} applications}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1635--1638}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927254}, doi = {10.23919/DATE.2017.7927254}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VahdatKAPN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/BahadoriKAP16, author = {Milad Bahadori and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A comparative study on performance and reliability of 32-bit binary adders}, journal = {Integr.}, volume = {53}, pages = {54--67}, year = {2016}, url = {https://doi.org/10.1016/j.vlsi.2015.12.002}, doi = {10.1016/J.VLSI.2015.12.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/BahadoriKAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KamalXPAS16, author = {Mehdi Kamal and Qing Xie and Massoud Pedram and Ali Afzali{-}Kusha and Saeed Safari}, title = {An efficient temperature dependent hot carrier injection reliability simulation flow}, journal = {Microelectron. Reliab.}, volume = {57}, pages = {10--19}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2015.12.008}, doi = {10.1016/J.MICROREL.2015.12.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KamalXPAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/MehrabiEYAM16, author = {Kolsoom Mehrabi and Behzad Ebrahimi and Roohollah Yarmand and Ali Afzali{-}Kusha and Hamid Mahmoodi}, title = {Read static noise margin aging model considering {SBD} and {BTI} effects for FinFET SRAMs}, journal = {Microelectron. Reliab.}, volume = {65}, pages = {20--26}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.07.003}, doi = {10.1016/J.MICROREL.2016.07.003}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/MehrabiEYAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BalefKAP16, author = {Hadi Ahmadi Balef and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {All-Region Statistical Model for Delay Variation Based on Log-Skew-Normal Distribution}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {9}, pages = {1503--1508}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2511148}, doi = {10.1109/TCAD.2015.2511148}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BalefKAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KamalASP16, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {Yield and Speedup Improvements in Extensible Processors by Allocating Extra Cycles to Some Custom Instructions}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {21}, number = {2}, pages = {28:1--28:25}, year = {2016}, url = {https://doi.org/10.1145/2830566}, doi = {10.1145/2830566}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KamalASP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BahadoriKAP16, author = {Milad Bahadori and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {High-Speed and Energy-Efficient Carry Skip Adder Operating Under a Wide Range of Supply Voltage Levels}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {2}, pages = {421--433}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2405133}, doi = {10.1109/TVLSI.2015.2405133}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/BahadoriKAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZendeganiKFASP16, author = {Reza Zendegani and Mehdi Kamal and Arash Fayyazi and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {{SEERAD:} {A} high speed yet energy-efficient rounding-based approximate divider}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1481--1484}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459545/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZendeganiKFASP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/HemmatKAP16, author = {Maede Hemmat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Hybrid {TFET-MOSFET} circuits: An approach to design reliable ultra-low power circuits in the presence of process variation}, booktitle = {2016 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSI-SoC.2016.7753578}, doi = {10.1109/VLSI-SOC.2016.7753578}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/HemmatKAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/LarimiKAM16, author = {Seyed Saber Nabavi Larimi and Mehdi Kamal and Ali Afzali{-}Kusha and Hamid Mahmoodi}, title = {Power and energy reduction of racetrack-based caches by exploiting shared shift operations}, booktitle = {2016 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSI-SoC.2016.7753563}, doi = {10.1109/VLSI-SOC.2016.7753563}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/LarimiKAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/HemmatKAP16a, author = {Maedeh Hemmat and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {Thomas Hollstein and Jaan Raik and Sergei Kostin and Anton Tsertov and Ian O'Connor and Ricardo Reis}, title = {Robust Hybrid {TFET-MOSFET} Circuits in Presence of Process Variations and Soft Errors}, booktitle = {VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability - 24th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {508}, pages = {41--59}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-67104-8\_3}, doi = {10.1007/978-3-319-67104-8\_3}, timestamp = {Tue, 22 Oct 2019 15:21:19 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/HemmatKAP16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/AkhlaghiKAP15, author = {Vahideh Akhlaghi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An efficient network on-chip architecture based on isolating local and non-local communications}, journal = {Comput. Electr. Eng.}, volume = {45}, pages = {430--444}, year = {2015}, url = {https://doi.org/10.1016/j.compeleceng.2014.12.002}, doi = {10.1016/J.COMPELECENG.2014.12.002}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/AkhlaghiKAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NickrayAJ15, author = {Mohsen Nickray and Ali Afzali{-}Kusha and Riku J{\"{a}}ntti}, title = {Simultaneous power control and power management algorithm with sector-shaped topology for wireless sensor networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2015}, pages = {118}, year = {2015}, url = {https://doi.org/10.1186/s13638-015-0355-9}, doi = {10.1186/S13638-015-0355-9}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejwcn/NickrayAJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/EbrahimiAAP15, author = {Behzad Ebrahimi and Reza Asadpour and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A FinFET {SRAM} cell design with {BTI} robustness at high supply voltages and high yield at low supply voltages}, journal = {Int. J. Circuit Theory Appl.}, volume = {43}, number = {12}, pages = {2011--2024}, year = {2015}, url = {https://doi.org/10.1002/cta.2057}, doi = {10.1002/CTA.2057}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/EbrahimiAAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/KamalASP15, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {Design of NBTI-resilient extensible processors}, journal = {Integr.}, volume = {49}, pages = {22--34}, year = {2015}, url = {https://doi.org/10.1016/j.vlsi.2014.12.001}, doi = {10.1016/J.VLSI.2014.12.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/KamalASP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/AnsariAENAP15, author = {Mohammad Ansari and Hassan Afzali{-}Kusha and Behzad Ebrahimi and Zainalabedin Navabi and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A near-threshold 7T {SRAM} cell with high write and read margins and low write time for sub-20 nm FinFET technologies}, journal = {Integr.}, volume = {50}, pages = {91--106}, year = {2015}, url = {https://doi.org/10.1016/j.vlsi.2015.02.002}, doi = {10.1016/J.VLSI.2015.02.002}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/AnsariAENAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/EghbalkhahKAGP15, author = {Behzad Eghbalkhah and Mehdi Kamal and Ali Afzali{-}Kusha and Mohammad Bagher Ghaznavi Ghoushchi and Massoud Pedram}, title = {{CSAM:} {A} clock skew-aware aging mitigation technique}, journal = {Microelectron. Reliab.}, volume = {55}, number = {1}, pages = {282--290}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2014.09.033}, doi = {10.1016/J.MICROREL.2014.09.033}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/EghbalkhahKAGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/EghbalkhahKAAGP15, author = {Behzad Eghbalkhah and Mehdi Kamal and Hassan Afzali{-}Kusha and Ali Afzali{-}Kusha and M. B. Ghaznavi{-}Ghoushchi and Massoud Pedram}, title = {Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits}, journal = {Microelectron. Reliab.}, volume = {55}, number = {8}, pages = {1152--1162}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.06.004}, doi = {10.1016/J.MICROREL.2015.06.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/EghbalkhahKAAGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JafarzadehPEHA15, author = {Nima Jafarzadeh and Maurizio Palesi and Saeedeh Eskandari and Shaahin Hessabi and Ali Afzali{-}Kusha}, title = {Low Energy yet Reliable Data Communication Scheme for Network-on-Chip}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {12}, pages = {1892--1904}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2440311}, doi = {10.1109/TCAD.2015.2440311}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JafarzadehPEHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KamalASP15, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {{OPLE:} {A} Heuristic Custom Instruction Selection Algorithm Based on Partitioning and Local Exploration of Application Dataflow Graphs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {14}, number = {4}, pages = {72:1--72:23}, year = {2015}, url = {https://doi.org/10.1145/2764458}, doi = {10.1145/2764458}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/KamalASP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/NejatAA15, author = {Mehrzad Nejat and Bijan Alizadeh and Ali Afzali{-}Kusha}, title = {Dynamic Flip-Flop Conversion: {A} Time-Borrowing Method for Performance Improvement of Low-Power Digital Circuits Prone to Variations}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {11}, pages = {2724--2727}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2366918}, doi = {10.1109/TVLSI.2014.2366918}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/NejatAA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalIAP15, author = {Mehdi Kamal and Arman Iranfar and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {Wolfgang Nebel and David Atienza}, title = {A thermal stress-aware algorithm for power and temperature management of MPSoCs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {954--959}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757035}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KamalIAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/IranfarSKA15, author = {Arman Iranfar and Soheil Nazar Shahsavani and Mehdi Kamal and Ali Afzali{-}Kusha}, title = {A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {291--296}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273529}, doi = {10.1109/ISLPED.2015.7273529}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/IranfarSKA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YarmandEAAP15, author = {Roohollah Yarmand and Behzad Ebrahimi and Hassan Afzali{-}Kusha and Ali Afzali{-}Kusha and Massoud Pedram}, title = {High-performance and high-yield 5 nm underlapped FinFET {SRAM} design using P-type access transistors}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {10--17}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085371}, doi = {10.1109/ISQED.2015.7085371}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/YarmandEAAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/KamalASP14, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {Impact of Process Variations on Speedup and Maximum Achievable Frequency of Extensible Processors}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {10}, number = {3}, pages = {19:1--19:25}, year = {2014}, url = {https://doi.org/10.1145/2567665}, doi = {10.1145/2567665}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/KamalASP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/YazdanbakhshKFASP14, author = {Amir Yazdanbakhsh and Mehdi Kamal and Sied Mehdi Fakhraie and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {Implementation-aware selection of the custom instruction set for extensible processors}, journal = {Microprocess. Microsystems}, volume = {38}, number = {7}, pages = {681--691}, year = {2014}, url = {https://doi.org/10.1016/j.micpro.2014.05.007}, doi = {10.1016/J.MICPRO.2014.05.007}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/YazdanbakhshKFASP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/EbrahimiAM14, author = {Behzad Ebrahimi and Ali Afzali{-}Kusha and Hamid Mahmoodi}, title = {Robust FinFET {SRAM} design based on dynamic back-gate voltage adjustment}, journal = {Microelectron. Reliab.}, volume = {54}, number = {11}, pages = {2604--2612}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2014.04.015}, doi = {10.1016/J.MICROREL.2014.04.015}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/EbrahimiAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JafarzadehPKA14, author = {Nima Jafarzadeh and Maurizio Palesi and Ahmad Khademzadeh and Ali Afzali{-}Kusha}, title = {Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {3}, pages = {675--685}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2251020}, doi = {10.1109/TVLSI.2013.2251020}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JafarzadehPKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalGAP14, author = {Mehdi Kamal and Amin Ghasemazar and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Improving efficiency of extensible processors by using approximate custom instructions}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.238}, doi = {10.7873/DATE.2014.238}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/KamalGAP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NejatAA14, author = {Mehrzad Nejat and Bijan Alizadeh and Ali Afzali{-}Kusha}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Dynamic Flip-Flop conversion to tolerate process variation in low power circuits}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.124}, doi = {10.7873/DATE.2014.124}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NejatAA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BozorgzadehSA14, author = {Bardia Bozorgzadeh and Shahab Shahdoost and Ali Afzali{-}Kusha}, title = {Delay variation analysis in the presence of power supply noise in nano-scale digital {VLSI} circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {117--120}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908366}, doi = {10.1109/MWSCAS.2014.6908366}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/BozorgzadehSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/GhasemazarGA14, author = {Amin Ghasemazar and Mehran Goli and Ali Afzali{-}Kusha}, title = {Embedded Complex Floating Point Hardware Accelerator}, booktitle = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014, and 2014 13th International Conference on Embedded Systems, Mumbai, India, January 5-9, 2014}, pages = {318--323}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/VLSID.2014.61}, doi = {10.1109/VLSID.2014.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/GhasemazarGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/KamalYNAP13, author = {Mehdi Kamal and Amir Yazdanbakhsh and Hamid Noori and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A new merit function for custom instruction selection under an area budget constraint}, journal = {Des. Autom. Embed. Syst.}, volume = {17}, number = {1}, pages = {1--25}, year = {2013}, url = {https://doi.org/10.1007/s10617-013-9117-2}, doi = {10.1007/S10617-013-9117-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/KamalYNAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KamalASP13, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {Considering the effect of process variations during the {ISA} extension design flow}, journal = {Microprocess. Microsystems}, volume = {37}, number = {6-7}, pages = {713--724}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2012.09.014}, doi = {10.1016/J.MICPRO.2012.09.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/KamalASP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/AfzalEAM13, author = {Behrouz Afzal and Behzad Ebrahimi and Ali Afzali{-}Kusha and Hamid Mahmoodi}, title = {An analytical model for read static noise margin including soft oxide breakdown, negative and positive bias temperature instabilities}, journal = {Microelectron. Reliab.}, volume = {53}, number = {5}, pages = {670--675}, year = {2013}, url = {https://doi.org/10.1016/j.microrel.2013.01.009}, doi = {10.1016/J.MICROREL.2013.01.009}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/AfzalEAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkhlaghiKAP13, author = {Vahideh Akhlaghi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {Enrico Macii}, title = {An efficient network on-chip architecture based on isolating local and non-local communications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {350--353}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.082}, doi = {10.7873/DATE.2013.082}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/AkhlaghiKAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/KamalASPE13, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram and Behzad Eghbalkhah}, title = {Capturing and mitigating the {NBTI} effect during the design flow for extensible processors}, booktitle = {Proceedings of the 8th International Conference on Design {\&} Technology of Integrated Systems in Nanoscale Era, {DTIS} 2013, 26-28 March, 2013, Abu Dhabi, {UAE}}, pages = {94--97}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DTIS.2013.6527785}, doi = {10.1109/DTIS.2013.6527785}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/dtis/KamalASPE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/EghbalkhahGAG13, author = {Behzad Eghbalkhah and S. A. K. Gharavi and Ali Afzali{-}Kusha and M. B. Ghaznavi{-}Ghoushchi}, title = {Self-impact of {NBTI} effect on the degradation rate of threshold voltage in {PMOS} transistors}, booktitle = {Proceedings of the 8th International Conference on Design {\&} Technology of Integrated Systems in Nanoscale Era, {DTIS} 2013, 26-28 March, 2013, Abu Dhabi, {UAE}}, pages = {151--154}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DTIS.2013.6527796}, doi = {10.1109/DTIS.2013.6527796}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dtis/EghbalkhahGAG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/EbrahimiAS13, author = {Behzad Ebrahimi and Ali Afzali{-}Kusha and Nader Sehatbakhsh}, title = {Robust polysilicon gate FinFET {SRAM} design using dynamic back-gate bias}, booktitle = {Proceedings of the 8th International Conference on Design {\&} Technology of Integrated Systems in Nanoscale Era, {DTIS} 2013, 26-28 March, 2013, Abu Dhabi, {UAE}}, pages = {171--172}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DTIS.2013.6527801}, doi = {10.1109/DTIS.2013.6527801}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dtis/EbrahimiAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZareiAMAS13, author = {Mohammad Yousef Zarei and Reza Asadpour and Siamak Mohammadi and Ali Afzali{-}Kusha and Razi Seyyedi}, editor = {Jos{\'{e}} Luis Ayala and Alex K. Jones and Patrick H. Madden and Ayse K. Coskun}, title = {Modeling symmetrical independent gate FinFET using predictive technology model}, booktitle = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}, pages = {299--304}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483028.2483114}, doi = {10.1145/2483028.2483114}, timestamp = {Tue, 23 Jul 2019 15:03:09 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ZareiAMAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/TinatiKAJ12, author = {Melika Tinati and Ahmad Khademzadeh and Ali Afzali{-}Kusha and Majid Janidarmian}, title = {{HACS:} {A} novel cost aware paradigm promising fault tolerance on mesh-based network on chip architecture}, journal = {Comput. Electr. Eng.}, volume = {38}, number = {4}, pages = {963--974}, year = {2012}, url = {https://doi.org/10.1016/j.compeleceng.2012.02.004}, doi = {10.1016/J.COMPELECENG.2012.02.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/TinatiKAJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NickrayAJ12, author = {Mohsen Nickray and Ali Afzali{-}Kusha and Riku J{\"{a}}ntti}, title = {{MEA:} an energy efficient algorithm for dense sector-based wireless sensor networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {85}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-85}, doi = {10.1186/1687-1499-2012-85}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejwcn/NickrayAJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/AghababaKAFP12, author = {Hossein Aghababa and Alireza Khosropour and Ali Afzali{-}Kusha and Behjat Forouzandeh and Massoud Pedram}, title = {Statistical estimation of leakage power dissipation in nano-scale complementary metal oxide semiconductor digital circuits using generalised extreme value distribution}, journal = {{IET} Circuits Devices Syst.}, volume = {6}, number = {5}, pages = {273--278}, year = {2012}, url = {https://doi.org/10.1049/iet-cds.2011.0348}, doi = {10.1049/IET-CDS.2011.0348}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/AghababaKAFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/DaneshtalabKEMAP12, author = {Masoud Daneshtalab and Masoumeh Kamali and Masoumeh Ebrahimi and Siamak Mohammadi and Ali Afzali{-}Kusha and Juha Plosila}, title = {Adaptive Input-Output Selection Based On-Chip Router Architecture}, journal = {J. Low Power Electron.}, volume = {8}, number = {1}, pages = {11--29}, year = {2012}, url = {https://doi.org/10.1166/jolpe.2012.1165}, doi = {10.1166/JOLPE.2012.1165}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/DaneshtalabKEMAP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/AfzalEAP12, author = {Behrouz Afzal and Behzad Ebrahimi and Ali Afzali{-}Kusha and Massoud Pedram}, title = {An accurate analytical {I-V} model for sub-90-nm MOSFETs and its application to read static noise margin modeling}, journal = {J. Zhejiang Univ. Sci. {C}}, volume = {13}, number = {1}, pages = {58--70}, year = {2012}, url = {https://doi.org/10.1631/jzus.C1100090}, doi = {10.1631/JZUS.C1100090}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jzusc/AfzalEAP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/AghababaFA12, author = {Hossein Aghababa and Behjat Forouzandeh and Ali Afzali{-}Kusha}, title = {High-performance low-leakage regions of nano-scaled {CMOS} digital gates under variations of threshold voltage and mobility}, journal = {J. Zhejiang Univ. Sci. {C}}, volume = {13}, number = {6}, pages = {460--471}, year = {2012}, url = {https://doi.org/10.1631/jzus.C1100273}, doi = {10.1631/JZUS.C1100273}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/AghababaFA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/AghababaEAP12, author = {Hossein Aghababa and Behzad Ebrahimi and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Probability calculation of read failures in nano-scaled {SRAM} cells under process variations}, journal = {Microelectron. Reliab.}, volume = {52}, number = {11}, pages = {2805--2811}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2012.04.022}, doi = {10.1016/J.MICROREL.2012.04.022}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/AghababaEAP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/AfzalEAM12, author = {Behrouz Afzal and Behzad Ebrahimi and Ali Afzali{-}Kusha and Hamid Mahmoodi}, title = {Modeling read {SNM} considering both soft oxide breakdown and negative bias temperature instability}, journal = {Microelectron. Reliab.}, volume = {52}, number = {12}, pages = {2948--2954}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2012.07.026}, doi = {10.1016/J.MICROREL.2012.07.026}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/AfzalEAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalASP12, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An architecture-level approach for mitigating the impact of process variations on extensible processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {467--472}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176516}, doi = {10.1109/DATE.2012.6176516}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KamalASP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KamalXPAS12, author = {Mehdi Kamal and Qing Xie and Massoud Pedram and Ali Afzali{-}Kusha and Saeed Safari}, title = {An efficient reliability simulation flow for evaluating the hot carrier injection effect in {CMOS} {VLSI} circuits}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {352--357}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378663}, doi = {10.1109/ICCD.2012.6378663}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KamalXPAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/SaffariLJA12, author = {Marzie Saffari and Sadeq Lotfi and Nima Jafarzadeh and Ali Afzali{-}Kusha}, title = {Mapping of cores on to diagonal mesh-based network-on-chip}, booktitle = {1st Mediterranean Conference on Embedded Computing, {MECO} 2012, Bar, Montenegro, June 19-21, 2012}, pages = {233--238}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6268967}, timestamp = {Mon, 24 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/meco/SaffariLJA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AghababaAAF11, author = {Hossein Aghababa and Reza Asadpour and Ali Afzali{-}Kusha and Behjat Forouzandeh}, title = {Finding optimum value of numerical aperture for the best aerial image quality}, journal = {{IEICE} Electron. Express}, volume = {8}, number = {11}, pages = {879--883}, year = {2011}, url = {https://doi.org/10.1587/elex.8.879}, doi = {10.1587/ELEX.8.879}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AghababaAAF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/MohammadiAM11, author = {Saeed Mohammadi and Ali Afzali{-}Kusha and Saeed Mohammadi}, title = {Compact modeling of short-channel effects in symmetric and asymmetric 3-T/4-T double gate MOSFETs}, journal = {Microelectron. Reliab.}, volume = {51}, number = {3}, pages = {543--549}, year = {2011}, url = {https://doi.org/10.1016/j.microrel.2010.10.014}, doi = {10.1016/J.MICROREL.2010.10.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/MohammadiAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/SaremiEAM11, author = {Mehdi Saremi and Behzad Ebrahimi and Ali Afzali{-}Kusha and Saeed Mohammadi}, title = {A partial-SOI {LDMOSFET} with triangular buried-oxide for breakdown voltage improvement}, journal = {Microelectron. Reliab.}, volume = {51}, number = {12}, pages = {2069--2076}, year = {2011}, url = {https://doi.org/10.1016/j.microrel.2011.07.084}, doi = {10.1016/J.MICROREL.2011.07.084}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/SaremiEAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/EbrahimiRAP11, author = {Behzad Ebrahimi and Masoud Rostami and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Statistical Design Optimization of FinFET {SRAM} Using Back-Gate Voltage}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {10}, pages = {1911--1916}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2059054}, doi = {10.1109/TVLSI.2010.2059054}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/EbrahimiRAP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SalehiSNAPF11, author = {Mostafa E. Salehi and Mehrzad Samadi and Mehrdad Najibi and Ali Afzali{-}Kusha and Massoud Pedram and Sied Mehdi Fakhraie}, title = {Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {10}, pages = {1931--1935}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2057520}, doi = {10.1109/TVLSI.2010.2057520}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SalehiSNAPF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalAP11, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Timing variation-aware custom instruction extension technique}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1517--1520}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763324}, doi = {10.1109/DATE.2011.5763324}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KamalAP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/KhosropourAAF11, author = {Alireza Khosropour and Hossein Aghababa and Ali Afzali{-}Kusha and Behjat Forouzandeh}, editor = {Jos{\'{e}} L. Ayala and Braulio Garc{\'{\i}}a{-}C{\'{a}}mara and Manuel Prieto and Martino Ruggiero and Gilles Sicard}, title = {Chip Level Statistical Leakage Power Estimation Using Generalized Extreme Value Distribution}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, {PATMOS} 2011, Madrid, Spain, September 26-29, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6951}, pages = {173--179}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24154-3\_18}, doi = {10.1007/978-3-642-24154-3\_18}, timestamp = {Sun, 02 Oct 2022 16:13:24 +0200}, biburl = {https://dblp.org/rec/conf/patmos/KhosropourAAF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhmadiAP10, author = {Hamid Reza Ahmadi and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A power-optimized low-energy elliptic-curve crypto-processor}, journal = {{IEICE} Electron. Express}, volume = {7}, number = {23}, pages = {1752--1759}, year = {2010}, url = {https://doi.org/10.1587/elex.7.1752}, doi = {10.1587/ELEX.7.1752}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhmadiAP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Lotfi-KamranRDAN10, author = {Pejman Lotfi{-}Kamran and Amir{-}Mohammad Rahmani and Masoud Daneshtalab and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {{EDXY} - {A} low cost congestion-aware routing algorithm for network-on-chips}, journal = {J. Syst. Archit.}, volume = {56}, number = {7}, pages = {256--264}, year = {2010}, url = {https://doi.org/10.1016/j.sysarc.2010.05.002}, doi = {10.1016/J.SYSARC.2010.05.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/Lotfi-KamranRDAN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/AhmadiA10, author = {Hamid Reza Ahmadi and Ali Afzali{-}Kusha}, title = {A low-power and low-energy flexible GF(\emph{p}) elliptic-curve cryptography processor}, journal = {J. Zhejiang Univ. Sci. {C}}, volume = {11}, number = {9}, pages = {724--736}, year = {2010}, url = {https://doi.org/10.1631/jzus.C0910660}, doi = {10.1631/JZUS.C0910660}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/AhmadiA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/MohammadiA10, author = {Saeed Mohammadi and Ali Afzali{-}Kusha}, title = {Modeling of drain current, capacitance and transconductance in thin film undoped symmetric {DG} MOSFETs including quantum effects}, journal = {Microelectron. Reliab.}, volume = {50}, number = {3}, pages = {338--345}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2009.12.002}, doi = {10.1016/J.MICROREL.2009.12.002}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/MohammadiA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AghababaZAF10, author = {Hossein Aghababa and Mahmoud Zangeneh and Ali Afzali{-}Kusha and Behjat Forouzandeh}, title = {Statistical delay modeling of read operation of SRAMs due to channel length variation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2502--2505}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537134}, doi = {10.1109/ISCAS.2010.5537134}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AghababaZAF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/winsys/NickrayA10, author = {Mohsen Nickray and Ali Afzali{-}Kusha}, editor = {Rafael F. S. Caldeirinha and Mohammad S. Obaidat}, title = {{ATC} - An Asymmetric Topology Control Algorithm for Heterogeneous Wireless Sensor Networks}, booktitle = {{WINSYS} 2010 - Proceedings of the International Conference on Wireless Information Networks and Systems, Athens, Greece, July 26 - 28, 2010, {WINSYS} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {75--81}, publisher = {SciTePress}, year = {2010}, timestamp = {Fri, 07 Jan 2011 13:16:05 +0100}, biburl = {https://dblp.org/rec/conf/winsys/NickrayA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/DaneshtalabEMA09, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Siamak Mohammadi and Ali Afzali{-}Kusha}, title = {Low-distance path-based multicast routing algorithm for network-on-chips}, journal = {{IET} Comput. Digit. Tech.}, volume = {3}, number = {5}, pages = {430--442}, year = {2009}, url = {https://doi.org/10.1049/iet-cdt.2008.0086}, doi = {10.1049/IET-CDT.2008.0086}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/DaneshtalabEMA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/RahmaniDAP09, author = {Amir{-}Mohammad Rahmani and Masoud Daneshtalab and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Forecasting-Based Dynamic Virtual Channel Management for Power Reduction in Network-on-Chips}, journal = {J. Low Power Electron.}, volume = {5}, number = {3}, pages = {385--395}, year = {2009}, url = {https://doi.org/10.1166/jolpe.2009.1038}, doi = {10.1166/JOLPE.2009.1038}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/RahmaniDAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/RahmaniAP09, author = {Amir{-}Mohammad Rahmani and Ali Afzali{-}Kusha and Massoud Pedram}, title = {A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution}, journal = {J. Low Power Electron.}, volume = {5}, number = {3}, pages = {396--405}, year = {2009}, url = {https://doi.org/10.1166/jolpe.2009.1039}, doi = {10.1166/JOLPE.2009.1039}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/RahmaniAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/Mottaghi-DastjerdiAP09, author = {M. Mottaghi{-}Dastjerdi and Ali Afzali{-}Kusha and Massoud Pedram}, title = {{BZ-FAD:} {A} Low-Power Low-Area Multiplier Based on Shift-and-Add Architecture}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {2}, pages = {302--306}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2004544}, doi = {10.1109/TVLSI.2008.2004544}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/Mottaghi-DastjerdiAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RazavipourAP09, author = {G. Razavipour and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Design and Analysis of Two Low-Power {SRAM} Cell Structures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {10}, pages = {1551--1555}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2004590}, doi = {10.1109/TVLSI.2008.2004590}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RazavipourAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SaneeiAN09, author = {Mohsen Saneei and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Sign Bit Reduction Encoding For Low Power Applications}, journal = {J. Signal Process. Syst.}, volume = {57}, number = {3}, pages = {321--329}, year = {2009}, url = {https://doi.org/10.1007/s11265-008-0317-0}, doi = {10.1007/S11265-008-0317-0}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/SaneeiAN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiDNMAPT09, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Mohammad Hossein Neishaburi and Siamak Mohammadi and Ali Afzali{-}Kusha and Juha Plosila and Hannu Tenhunen}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {An efficent dynamic multicast routing protocol for distributing traffic in NOCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1064--1069}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090822}, doi = {10.1109/DATE.2009.5090822}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/EbrahimiDNMAPT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AhmadiA09, author = {Hamid Reza Ahmadi and Ali Afzali{-}Kusha}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {Low-Power Low-Energy Prime-Field {ECC} Processor Based on Montgomery Modular Inverse Algorithm}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {817--822}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.140}, doi = {10.1109/DSD.2009.140}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AhmadiA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadiA09, author = {Hamid Reza Ahmadi and Ali Afzali{-}Kusha}, title = {Very Low-power Flexible GF(p) Elliptic-curve Crypto-processor for Non-time-critical Applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {904--907}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117903}, doi = {10.1109/ISCAS.2009.5117903}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmadiA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RahmaniDASP09, author = {Amir{-}Mohammad Rahmani and Masoud Daneshtalab and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, title = {Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips}, booktitle = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on {VLSI} Design, New Delhi, India, 5-9 January 2009}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VLSI.Design.2009.87}, doi = {10.1109/VLSI.DESIGN.2009.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RahmaniDASP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RahmaniKLAS09, author = {Amir{-}Mohammad Rahmani and I. Kamali and Pejman Lotfi{-}Kamran and Ali Afzali{-}Kusha and Saeed Safari}, title = {Negative Exponential Distribution Traffic Pattern for Power/Performance Analysis of Network on Chips}, booktitle = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on {VLSI} Design, New Delhi, India, 5-9 January 2009}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VLSI.Design.2009.86}, doi = {10.1109/VLSI.DESIGN.2009.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RahmaniKLAS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/BozorgzadehA09, author = {Bardia Bozorgzadeh and Ali Afzali{-}Kusha}, title = {Novel {MOS} Decoupling Capacitor Optimization Technique for Nanotechnologies}, booktitle = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on {VLSI} Design, New Delhi, India, 5-9 January 2009}, pages = {175--180}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VLSI.Design.2009.37}, doi = {10.1109/VLSI.DESIGN.2009.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/BozorgzadehA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SamadiA08, author = {Mehrzad Samadi and Ali Afzali{-}Kusha}, title = {Dynamic power management with fuzzy decision support system}, journal = {{IEICE} Electron. Express}, volume = {5}, number = {19}, pages = {789--795}, year = {2008}, url = {https://doi.org/10.1587/elex.5.789}, doi = {10.1587/ELEX.5.789}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SamadiA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AbbasianHAP08, author = {Ali Abbasian and Safar Hatami and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Wavelet-based dynamic power management for nonstationary service requests}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {1}, pages = {13:1--13:41}, year = {2008}, url = {https://doi.org/10.1145/1297666.1297679}, doi = {10.1145/1297666.1297679}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AbbasianHAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/MarvastiDAM08, author = {Mohammadreza Binesh Marvasti and Masoud Daneshtalab and Ali Afzali{-}Kusha and Siamak Mohammadi}, title = {{PAMPR:} Power-aware and minimum path routing algorithm for NoCs}, booktitle = {15th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2008, St. Julien's, Malta, August 31 2008-September 3, 2008}, pages = {418--421}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICECS.2008.4674879}, doi = {10.1109/ICECS.2008.4674879}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/MarvastiDAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/EbrahimiZA08, author = {Behzad Ebrahimi and Saeed Zeinolabedinzadeh and Ali Afzali{-}Kusha}, title = {Low Standby Power and Robust FinFET Based {SRAM} Design}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2008, 7-9 April 2008, Montpellier, France}, pages = {185--190}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISVLSI.2008.8}, doi = {10.1109/ISVLSI.2008.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/EbrahimiZA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/Lotfi-KamranRSAN08, author = {Pejman Lotfi{-}Kamran and Amir{-}Mohammad Rahmani and Ali{-}Asghar Salehpour and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Stall Power Reduction in Pipelined Architecture Processors}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {541--546}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.34}, doi = {10.1109/VLSI.2008.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/Lotfi-KamranRSAN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AeziniaA07, author = {Fatemeh Aezinia and Ali Afzali{-}Kusha}, title = {Low power high performance level converter for dual supply voltage systems}, journal = {{IEICE} Electron. Express}, volume = {4}, number = {9}, pages = {306--311}, year = {2007}, url = {https://doi.org/10.1587/elex.4.306}, doi = {10.1587/ELEX.4.306}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AeziniaA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MehranSKA07, author = {Armin Mehran and Samira Saeidi and Ahmad Khademzadeh and Ali Afzali{-}Kusha}, title = {Spiral: {A} heuristic mapping algorithm for network on chip}, journal = {{IEICE} Electron. Express}, volume = {4}, number = {15}, pages = {478--484}, year = {2007}, url = {https://doi.org/10.1587/elex.4.478}, doi = {10.1587/ELEX.4.478}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MehranSKA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/Parandeh-AfsharSAP07, author = {Hadi Parandeh{-}Afshar and Mohsen Saneei and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Fast {INC-XOR} codec for low-power address buses}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {5}, pages = {625--626}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20070056}, doi = {10.1049/IET-CDT:20070056}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/Parandeh-AfsharSAP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AmirabadiAMN07, author = {Amir Amirabadi and Ali Afzali{-}Kusha and Y. Mortazavi and Mehrdad Nourani}, title = {Clock Delayed Domino Logic With Efficient Variable Threshold Voltage Keeper}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {2}, pages = {125--134}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.891097}, doi = {10.1109/TVLSI.2007.891097}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AmirabadiAMN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OskooeiAA07, author = {Mostafa Savadi Oskooei and Ali Afzali{-}Kusha and Seyed Mojtaba Atarodi}, title = {A High-Speed and Low-Power Voltage Controlled Oscillator in 0.18-{\(\mathrm{\mu}\)}m {CMOS} Process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {933--936}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378079}, doi = {10.1109/ISCAS.2007.378079}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OskooeiAA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/KaramiAFR07, author = {Mohammad Azim Karami and Ali Afzali{-}Kusha and Reza Faraji{-}Dana and Masoud Rostami}, title = {Quantitative Comparison of Optical and Electrical H, X, and {Y} clock Distribution Networks}, booktitle = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2007), May 9-11, 2007, Porto Alegre, Brazil}, pages = {488--489}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISVLSI.2007.87}, doi = {10.1109/ISVLSI.2007.87}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/KaramiAFR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/MoalemiA07, author = {Vahid Moalemi and Ali Afzali{-}Kusha}, title = {Subthreshold Pass Transistor Logic for Ultra-Low Power Operation}, booktitle = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2007), May 9-11, 2007, Porto Alegre, Brazil}, pages = {490--491}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISVLSI.2007.94}, doi = {10.1109/ISVLSI.2007.94}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/MoalemiA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/MoalemiA07a, author = {Vahid Moalemi and Ali Afzali{-}Kusha}, title = {Subthreshold 1-Bit Full Adder Cells in sub-100 nm Technologies}, booktitle = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2007), May 9-11, 2007, Porto Alegre, Brazil}, pages = {514--515}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISVLSI.2007.93}, doi = {10.1109/ISVLSI.2007.93}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/MoalemiA07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanonet/KaramiAAF07, author = {Mohammad Azim Karami and Mehdi Ahmadi{-}Boroujeni and Ali Afzali{-}Kusha and Reza Faraji{-}Dana}, editor = {Salvatore Coffa}, title = {Semi-analytic model for dispersion relation of nanowire lasers}, booktitle = {2nd Internationa {ICST} Conference on Nano-Networks, Nano-Net 2007, Catania, Italy, September 24-26, 2007}, pages = {6}, publisher = {{ICST/ACM}}, year = {2007}, url = {https://doi.org/10.4108/ICST.NANONET2007.2026}, doi = {10.4108/ICST.NANONET2007.2026}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nanonet/KaramiAAF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/DaneshtalabPNRAM07, author = {Masoud Daneshtalab and A. Pedram and Mohammad Hossein Neishaburi and Mohammad Riazati and Ali Afzali{-}Kusha and Siamak Mohammadi}, title = {Distributing Congestions in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {546--550}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.67}, doi = {10.1109/VLSID.2007.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/DaneshtalabPNRAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4653, author = {Shervin Sharifi and Javid Jaffari and Mohammad Hosseinabady and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Simultaneous Reduction of Dynamic and Static Power in Scan Structures}, journal = {CoRR}, volume = {abs/0710.4653}, year = {2007}, url = {http://arxiv.org/abs/0710.4653}, eprinttype = {arXiv}, eprint = {0710.4653}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShojaeeGAN06, author = {Kambiz Shojaee and Morteza Gholipour and Ali Afzali{-}Kusha and Mehrdad Nourani}, title = {Comparative study of asynchronous pipeline design methods}, journal = {{IEICE} Electron. Express}, volume = {3}, number = {8}, pages = {163--171}, year = {2006}, url = {https://doi.org/10.1587/elex.3.163}, doi = {10.1587/ELEX.3.163}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShojaeeGAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/SharifiJHAN06, author = {Shervin Sharifi and Javid Jaffari and Mohammad Hosseinabady and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Scan-Based Structure with Reduced Static and Dynamic Power Consumption}, journal = {J. Low Power Electron.}, volume = {2}, number = {3}, pages = {477--487}, year = {2006}, url = {http://www.ingentaconnect.com/content/asp/jolpe/2006/00000002/00000003/art00015}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/SharifiJHAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/Afzali-KushaNVA06, author = {Ali Afzali{-}Kusha and Makoto Nagata and Nishath K. Verghese and David J. Allstot}, title = {Substrate Noise Coupling in SoC Design: Modeling, Avoidance, and Validation}, journal = {Proc. {IEEE}}, volume = {94}, number = {12}, pages = {2109--2138}, year = {2006}, url = {https://doi.org/10.1109/JPROC.2006.886029}, doi = {10.1109/JPROC.2006.886029}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/Afzali-KushaNVA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/BojnordiMSA06, author = {Mahdi Nazm Bojnordi and Nariman Moezzi Madani and Mehdi Semsarzadeh and Ali Afzali{-}Kusha}, title = {An Efficient Clocking Scheme for On-Chip Communications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {119--122}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342328}, doi = {10.1109/APCCAS.2006.342328}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/BojnordiMSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AeziniaNA06, author = {Fatemeh Aezinia and S. Najafzadeh and Ali Afzali{-}Kusha}, title = {Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {1383--1386}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342458}, doi = {10.1109/APCCAS.2006.342458}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/AeziniaNA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HonarmandA06, author = {Nima Honarmand and Ali Afzali{-}Kusha}, title = {Low Power Combinational Multipliers using Data-driven Signal Gating}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {1430--1433}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342470}, doi = {10.1109/APCCAS.2006.342470}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HonarmandA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/AeziniaAL06, author = {Fatemeh Aezinia and Ali Afzali{-}Kusha and Caro Lucas}, title = {Optimizing High Speed Flip-Flop Using Genetic Algorithm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {1787--1790}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342165}, doi = {10.1109/APCCAS.2006.342165}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/AeziniaAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/DaneshtalabSAFN06, author = {Masoud Daneshtalab and Ashkan Sobhani and Ali Afzali{-}Kusha and Omid Fatemi and Zainalabedin Navabi}, title = {NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm}, booktitle = {2006 {IEEE} International Conference on Application-Specific Systems, Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat Springs, Colorado, {USA}}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ASAP.2006.49}, doi = {10.1109/ASAP.2006.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/DaneshtalabSAFN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RasouliASA06, author = {S. H. Rasouli and Amir Amirabadi and A. Seyedi and Ali Afzali{-}Kusha}, editor = {Fumiyasu Hirose}, title = {Double edge triggered Feedback Flip-Flop in sub 100NM technology}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {297--302}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594698}, doi = {10.1109/ASPDAC.2006.1594698}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RasouliASA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NajibiSAPFP06, author = {Mehrdad Najibi and Mostafa E. Salehi and Ali Afzali{-}Kusha and Massoud Pedram and Seid Mehdi Fakhraie and Hossein Pedram}, editor = {Soha Hassoun}, title = {Dynamic voltage and frequency management based on variable update intervals for frequency setting}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {755--760}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233657}, doi = {10.1145/1233501.1233657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NajibiSAPFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmirabadiCRSA06, author = {Amir Amirabadi and A. Chehelcheraghi and S. H. Rasouli and A. Seyedi and Ali Afzali{-}Kusha}, title = {Low power and high performance clock delayed domino logic using saturated keeper}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693299}, doi = {10.1109/ISCAS.2006.1693299}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmirabadiCRSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HonarmandJSA06, author = {Nima Honarmand and M. Reza Javaheri and Naser Sedaghati{-}Mokhtari and Ali Afzali{-}Kusha}, title = {Power efficient sequential multiplication using pre-computation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693183}, doi = {10.1109/ISCAS.2006.1693183}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HonarmandJSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kheradmand-BoroujeniAA06, author = {Bahman Kheradmand Boroujeni and Fatemeh Aezinia and Ali Afzali{-}Kusha}, title = {High performance circuit techniques for dynamic {OR} gates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693421}, doi = {10.1109/ISCAS.2006.1693421}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kheradmand-BoroujeniAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MehrmaneshESAA06, author = {Saeid Mehrmanesh and Behzad Eghbalkhah and Saeed Saeedi and Ali Afzali{-}Kusha and Seyed Mojtaba Atarodi}, title = {A compact low power mixed-signal equalizer for gigabit Ethernet applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693796}, doi = {10.1109/ISCAS.2006.1693796}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MehrmaneshESAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Parandeh-AfsharAK06, author = {Hadi Parandeh{-}Afshar and Ali Afzali{-}Kusha and Ali Khaki{-}Firooz}, title = {A very high performance address {BUS} encoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692939}, doi = {10.1109/ISCAS.2006.1692939}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Parandeh-AfsharAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RazavipourMA06, author = {G. Razavipour and A. Motamedi and Ali Afzali{-}Kusha}, title = {{WL-VC} {SRAM:} a low leakage memory circuit for deep sub-micron design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693065}, doi = {10.1109/ISCAS.2006.1693065}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RazavipourMA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RiazatiSMAK06, author = {Mohammad Riazati and Ashkan Sobhani and M. Mottaghi{-}Dastjerdi and Ali Afzali{-}Kusha and Ali Khaki{-}Firooz}, title = {Low-power multiplier with static decision for input manipulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693186}, doi = {10.1109/ISCAS.2006.1693186}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RiazatiSMAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaneeiAN06, author = {Mohsen Saneei and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Low-power and low-latency cluster topology for local traffic NoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692938}, doi = {10.1109/ISCAS.2006.1692938}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaneeiAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeyediRAA06, author = {A. S. Seyedi and S. H. Rasouli and Amir Amirabadi and Ali Afzali{-}Kusha}, title = {Low power low leakage clock gated static pulsed flip-flop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693420}, doi = {10.1109/ISCAS.2006.1693420}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeyediRAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/DaneshtalabAM06, author = {Masoud Daneshtalab and Ali Afzali{-}Kusha and Siamak Mohammadi}, title = {Minimizing Hot Spots in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections}, booktitle = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland, November 13-16, 2006}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSOC.2006.322012}, doi = {10.1109/ISSOC.2006.322012}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/DaneshtalabAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/SaneeiAN06, author = {Mohsen Saneei and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Serial Bus Encoding for Low Power Application}, booktitle = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland, November 13-16, 2006}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSOC.2006.321977}, doi = {10.1109/ISSOC.2006.321977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/SaneeiAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/SeyediRAA06, author = {A. S. Seyedi and S. H. Rasouli and Amir Amirabadi and Ali Afzali{-}Kusha}, title = {Clock Gated Static Pulsed Flip-Flop {(CGSPFF)} in Sub 100 nm Technology}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {373--377}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.28}, doi = {10.1109/ISVLSI.2006.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/SeyediRAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/DehyadgariNAN06, author = {Masood Deh{-}Yadegari and Mohsen Nickray and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {A New Protocol Stack Model for Network on Chip}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {440--441}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.7}, doi = {10.1109/ISVLSI.2006.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/DehyadgariNAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/DaneshtalabKSNMF06, author = {Masoud Daneshtalab and Ali Afzali{-}Kusha and Ashkan Sobhani and Zainalabedin Navabi and Mohammad D. Mottaghi and Omid Fatemi}, editor = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and Ricardo P. Jacobi and J{\"{u}}rgen Becker}, title = {Ant colony based routing architecture for minimizing hot spots in NOCs}, booktitle = {Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006}, pages = {56--61}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1150343.1150363}, doi = {10.1145/1150343.1150363}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/DaneshtalabKSNMF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/MottaghiAN06, author = {Mohammad D. Mottaghi and Ali Afzali{-}Kusha and Zainalabedin Navabi}, editor = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and Ricardo P. Jacobi and J{\"{u}}rgen Becker}, title = {ByZFAD: a low switching activity architecture for shift-and-add multipliers}, booktitle = {Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006}, pages = {179--183}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1150343.1150390}, doi = {10.1145/1150343.1150390}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/MottaghiAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ShahrjerdiHKA05, author = {Davood Shahrjerdi and Bahman Hekmatshoar and Ali Khaki{-}Firooz and Ali Afzali{-}Kusha}, title = {Optimization of the V\({}_{\mbox{T}}\) control method for low-power ultra-thin double-gate {SOI} logic circuits}, journal = {Integr.}, volume = {38}, number = {3}, pages = {505--513}, year = {2005}, url = {https://doi.org/10.1016/j.vlsi.2004.07.004}, doi = {10.1016/J.VLSI.2004.07.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/ShahrjerdiHKA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaneeiAN05, author = {Mohsen Saneei and Ali Afzali{-}Kusha and Zainalabedin Navabi}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Sign bit reduction encoding for low power applications}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {214--217}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065636}, doi = {10.1145/1065579.1065636}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaneeiAN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SharifiJHAN05, author = {Shervin Sharifi and Javid Jaffari and Mohammad Hosseinabady and Ali Afzali{-}Kusha and Zainalabedin Navabi}, title = {Simultaneous Reduction of Dynamic and Static Power in Scan Structures}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {846--851}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.270}, doi = {10.1109/DATE.2005.270}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SharifiJHAN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/NickrayDA05, author = {Mohsen Nickray and Masoud Dehyadegari and Ali Afzali{-}Kusha}, title = {Power and delay optimization for network on chip}, booktitle = {Proceedings of the 2005 European Conference on Circuit Theory and Design, {ECCTD} 2005, Cork, Ireland, August 29th - September 1st 2005}, pages = {273--276}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ECCTD.2005.1523113}, doi = {10.1109/ECCTD.2005.1523113}, timestamp = {Thu, 28 Mar 2024 11:30:41 +0100}, biburl = {https://dblp.org/rec/conf/ecctd/NickrayDA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmelifardAK05, author = {Behnam Amelifard and Ali Afzali{-}Kusha and Ahmad Khademzadeh}, title = {Enhancing the efficiency of cluster voltage scaling technique for low-power application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1666--1669}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464925}, doi = {10.1109/ISCAS.2005.1464925}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmelifardAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmirabadiMMAN05, author = {Amir Amirabadi and Y. Mortazavi and Nariman Moezzi Madani and Ali Afzali{-}Kusha and Mehrdad Nourani}, title = {Domino logic with an efficient variable threshold voltage keeper}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1674--1677}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464927}, doi = {10.1109/ISCAS.2005.1464927}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmirabadiMMAN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GholipourSAKN05, author = {Morteza Gholipour and Hamid Shojaee and Ali Afzali{-}Kusha and Ahmad Khademzadeh and Mehrdad Nourani}, title = {An efficient model for performance analysis of asynchronous pipeline design methods}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {5234--5237}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465815}, doi = {10.1109/ISCAS.2005.1465815}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GholipourSAKN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlisafaeeHANA05, author = {Mohammad Alisafaee and Safar Hatami and Ehsan Atoofian and Zainalabedin Navabi and Ali Afzali{-}Kusha}, title = {A low-power scan-path architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {5278--5281}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465826}, doi = {10.1109/ISCAS.2005.1465826}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlisafaeeHANA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AfkalAN05, author = {B. Afkal and Ali Afzali{-}Kusha and Mahmoud El Nokali}, title = {Efficient power model for crossbar interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {5858--5861}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465971}, doi = {10.1109/ISCAS.2005.1465971}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AfkalAN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Taherzadeh-SaniAAA05, author = {Mohammad Taherzadeh{-}Sani and Ali Abbasian and Behnam Amelifard and Ali Afzali{-}Kusha}, title = {Modeling of {MOS} transistors based on genetic algorithm and simulated annealing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {6218--6221}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1466061}, doi = {10.1109/ISCAS.2005.1466061}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Taherzadeh-SaniAAA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HatamiABMA04, author = {Safar Hatami and M. Yaser Azizi and Hamid{-}Reza Bahrami and Davoud Motavalizadeh{-}Naeini and Ali Afzali{-}Kusha}, title = {Accurate and efficient modeling of {SOI} {MOSFET} with technology independent neural networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {11}, pages = {1580--1587}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.836725}, doi = {10.1109/TCAD.2004.836725}, timestamp = {Wed, 07 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HatamiABMA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AmirabadiJANK04, author = {Amir Amirabadi and Javid Jaffari and Ali Afzali{-}Kusha and Mehrdad Nourani and Ali Khaki{-}Firooz}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Leakage current reduction by new technique in standby mode}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {158--161}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.988991}, doi = {10.1145/988952.988991}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/AmirabadiJANK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbbasianHANL04, author = {Ali Abbasian and Safar Hatami and Ali Afzali{-}Kusha and Mehrdad Nourani and Caro Lucas}, title = {Event-driven dynamic power management based on wavelet forecasting theory}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {325--328}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbbasianHANL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TehranipourNAA04, author = {Mohammad H. Tehranipour and Mehrdad Nourani and Karim Arabi and Ali Afzali{-}Kusha}, title = {Mixed RL-Huffman encoding for power reduction and data compression in scan test}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {681--684}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TehranipourNAA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/DehghaniABA04, author = {Rasoul Dehghani and Seyed Mojtaba Atarodi and B. Bornoosh and Ali Afzali{-}Kusha}, title = {A Reduced Complexity 3rd Order Digital Delta-Sigma Modulator for Fractional-N Frequency Synthesis}, booktitle = {17th International Conference on {VLSI} Design {(VLSI} Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India}, pages = {615--618}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICVD.2004.1260986}, doi = {10.1109/ICVD.2004.1260986}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/DehghaniABA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AbbasianA03, author = {Ali Abbasian and Ali Afzali{-}Kusha}, title = {Pipeline Event-driven No-race Charge recycling Logic {(PENCL)} for low power application}, booktitle = {Proceedings of the 2003 10th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2003, Sharjah, United Arab Emirates, December 14-17, 2003}, pages = {220--223}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICECS.2003.1302016}, doi = {10.1109/ICECS.2003.1302016}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/AbbasianA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AbbasianNA03, author = {Ali Abbasian and Amir Masoud Nasri{-}Nasrabadi and Ali Afzali{-}Kusha}, title = {Modular energy recycling differential logic {(MERDL)} for low power application}, booktitle = {Proceedings of the 2003 10th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2003, Sharjah, United Arab Emirates, December 14-17, 2003}, pages = {312--315}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICECS.2003.1302039}, doi = {10.1109/ICECS.2003.1302039}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/AbbasianNA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbbasianRAN03, author = {Ali Abbasian and S. H. Rasouli and Ali Afzali{-}Kusha and Mehrdad Nourani}, title = {No-race charge recycling complementary pass transistor logic {(NCRCPL)} for low power applications}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {289--292}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206257}, doi = {10.1109/ISCAS.2003.1206257}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbbasianRAN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TehranipourNFA03, author = {Mohammad H. Tehranipour and Mehrdad Nourani and Seid Mehdi Fakhraie and Ali Afzali{-}Kusha}, title = {Systematic test program generation for SoC testing using embedded processor}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {541--544}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206344}, doi = {10.1109/ISCAS.2003.1206344}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TehranipourNFA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YavariSA03, author = {Mohammad Yavari and Omid Shoaei and Ali Afzali{-}Kusha}, title = {A very low-voltage, low-power and high resolution sigma-delta modulator for digital audio in 0.25{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {1045--1048}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205746}, doi = {10.1109/ISCAS.2003.1205746}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YavariSA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/JavadiNPAA03, author = {Bahman Javadi and Mohsen Naderi and Hossein Pedram and Ali Afzali{-}Kusha and Mohammad K. Akbari}, editor = {Jorge Juan{-}Chico and Enrico Macii}, title = {An Asynchronous Viterbi Decoder for Low-Power Applications}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 13th International Workshop, {PATMOS} 2003, Torino, Italy, September 10-12, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2799}, pages = {471--480}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39762-5\_53}, doi = {10.1007/978-3-540-39762-5\_53}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/JavadiNPAA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/MaddahAS02, author = {Mahnaz Maddah and Ali Afzali{-}Kusha and Hamid Soltanian{-}Zadeh}, title = {Fast center-line extraction for quantification of vessels in confocal microscopy images}, booktitle = {Proceedings of the 2002 {IEEE} International Symposium on Biomedical Imaging, Ritz-Carlton Hotel, Washington, DC, USA, 7-10 June 2002}, pages = {461--464}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISBI.2002.1029294}, doi = {10.1109/ISBI.2002.1029294}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/MaddahAS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Mahmoodi-MeimandA01, author = {Hamid Mahmoodi{-}Meimand and Ali Afzali{-}Kusha}, title = {Efficient power clock generation for adiabatic logic}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {642--645}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922319}, doi = {10.1109/ISCAS.2001.922319}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Mahmoodi-MeimandA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.