Search dblp for Publications

export results for "toc:db/conf/slip/slip2020.bht:"

 download as .bib file

@inproceedings{DBLP:conf/slip/AyoubKMO20,
  author       = {Raid Ayoub and
                  Michael Kishinevsky and
                  Sumit K. Mandal and
                  {\"{U}}mit Y. Ogras},
  editor       = {Andrew B. Kahng},
  title        = {Analytical modeling of NoCs for fast simulation and design exploration
                  (invited)},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3432993},
  doi          = {10.1145/3414622.3432993},
  timestamp    = {Mon, 08 Feb 2021 17:13:33 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/AyoubKMO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Badaroglu20,
  author       = {Mustafa Badaroglu},
  editor       = {Andrew B. Kahng},
  title        = {Outlook of device and assembly technologies enabling high-performance
                  mobile computing: {IRDS} view (invited)},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431912},
  doi          = {10.1145/3414622.3431912},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Badaroglu20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/ChanKW20,
  author       = {Tuck{-}Boon Chan and
                  Andrew B. Kahng and
                  Mingyu Woo},
  editor       = {Andrew B. Kahng},
  title        = {Revisiting inherent noise floors for interconnect prediction},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {10},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431907},
  doi          = {10.1145/3414622.3431907},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/ChanKW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/DHooreBS20,
  author       = {Jonathan D'Hoore and
                  Poona Bahrebar and
                  Dirk Stroobandt},
  editor       = {Andrew B. Kahng},
  title        = {3D NoC emulation model on a single {FPGA}},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {11},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431910},
  doi          = {10.1145/3414622.3431910},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/DHooreBS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/FatemiKKG20,
  author       = {Hamed Fatemi and
                  Andrew B. Kahng and
                  Minsoo Kim and
                  Jos{\'{e}} Pineda de Gyvez},
  editor       = {Andrew B. Kahng},
  title        = {Optimal bounded-skew steiner trees to minimize maximum \emph{k}-active
                  dynamic power},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {12},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431908},
  doi          = {10.1145/3414622.3431908},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/FatemiKKG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Groeneveld20,
  author       = {Patrick Groeneveld},
  editor       = {Andrew B. Kahng},
  title        = {Wafer scale interconnect and pathfinding for machine learning hardware
                  (invited)},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3432992},
  doi          = {10.1145/3414622.3432992},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Groeneveld20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/JabbariF20,
  author       = {Tahereh Jabbari and
                  Eby G. Friedman},
  editor       = {Andrew B. Kahng},
  title        = {Global interconnects in {VLSI} complexity single flux quantum systems},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431911},
  doi          = {10.1145/3414622.3431911},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/JabbariF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Jain20,
  author       = {Abhishek Kumar Jain},
  editor       = {Andrew B. Kahng},
  title        = {Role of on-chip networks in building domain-specific architectures
                  (DSAs) for sparse computations (invited)},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3432994},
  doi          = {10.1145/3414622.3432994},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Jain20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/KauthSBSG20,
  author       = {Kevin Kauth and
                  Tim Stadtmann and
                  Ruben Brandhofer and
                  Vida Sobhani and
                  Tobias Gemmeke},
  editor       = {Andrew B. Kahng},
  title        = {Communication architecture enabling 100x accelerated simulation of
                  biological neural networks},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431909},
  doi          = {10.1145/3414622.3431909},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/KauthSBSG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Orcutt20,
  author       = {Jason Orcutt},
  editor       = {Andrew B. Kahng},
  title        = {Extending quantum systems with optical interconnects (invited)},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431914},
  doi          = {10.1145/3414622.3431914},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Orcutt20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/PalG20,
  author       = {Saptadeep Pal and
                  Puneet Gupta},
  editor       = {Andrew B. Kahng},
  title        = {Pathfinding for 2.5D interconnect technologies},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431906},
  doi          = {10.1145/3414622.3431906},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/PalG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Sanders20,
  author       = {Barry C. Sanders},
  editor       = {Andrew B. Kahng},
  title        = {Building a quantum computer (invited)},
  booktitle    = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622.3431913},
  doi          = {10.1145/3414622.3431913},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Sanders20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/slip/2020,
  editor       = {Andrew B. Kahng},
  title        = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop,
                  San Diego, California, November 5, 2020},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3414622},
  doi          = {10.1145/3414622},
  isbn         = {978-1-4503-8106-2},
  timestamp    = {Mon, 08 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}