Search dblp for Publications

export results for "toc:db/conf/lascas/lascas2021.bht:"

 download as .bib file

@inproceedings{DBLP:conf/lascas/AbichRO21,
  author       = {Geancarlo Abich and
                  Ricardo Reis and
                  Luciano Ost},
  title        = {The Impact of Precision Bitwidth on the Soft Error Reliability of
                  the MobileNet Network},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9667153},
  doi          = {10.1109/LASCAS51355.2021.9667153},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/AbichRO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/AgiusSASS21,
  author       = {M. Agius and
                  Clive Seguna and
                  Judie Attard and
                  Kris Scicluna and
                  Jeremy Scerri},
  title        = {A Wearable Wireless Sensing System for Capturing Human Arm Motion},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459180},
  doi          = {10.1109/LASCAS51355.2021.9459180},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/AgiusSASS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/AguirrePPGMS21,
  author       = {Fernando L. Aguirre and
                  Sebasti{\'{a}}n Mat{\'{\i}}as Pazos and
                  Felix Palumbo and
                  N. Gomez and
                  Enrique Miranda and
                  Jordi Su{\~{n}}{\'{e}}},
  title        = {Line Resistance Impact in Memristor-based Multi Layer Perceptron for
                  Pattern Recognition},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9667132},
  doi          = {10.1109/LASCAS51355.2021.9667132},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/AguirrePPGMS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BarbosaC21,
  author       = {Ana C. A. Barbosa and
                  Juan C. Castellanos},
  title        = {On-chip Diffusion Charge Redistribution Ladder Converter for Photovoltaic
                  Systems with Mismatch},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459160},
  doi          = {10.1109/LASCAS51355.2021.9459160},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/BarbosaC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BarekarM21,
  author       = {Sheetal Barekar and
                  Madan Mali},
  title        = {On-Chip Area and Test Time Effective Weak Resistive Open Defect Detection
                  Technique for Cache Memory},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459175},
  doi          = {10.1109/LASCAS51355.2021.9459175},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/BarekarM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BatistaOS21,
  author       = {Gracieth Cavalcanti Batista and
                  Duarte Lopes de Oliveira and
                  Osamu Saotome},
  title        = {New Low-Power Architectures of Support Vector Machine Classifier for
                  Speech Recognition System},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9667155},
  doi          = {10.1109/LASCAS51355.2021.9667155},
  timestamp    = {Thu, 13 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/BatistaOS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BeauchampC21,
  author       = {Daniel Beauchamp and
                  Keith M. Chugg},
  title        = {Linearization for High-Speed Current-Steering DACs Using Neural Networks},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459125},
  doi          = {10.1109/LASCAS51355.2021.9459125},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/BeauchampC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BerkIY21,
  author       = {Mustafa Sedat Berk and
                  Benan Beril Inam and
                  Mustafa Berke Yelten},
  title        = {An ISM-Band Multi-Phase Injection-Locked Ring Oscillator},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459162},
  doi          = {10.1109/LASCAS51355.2021.9459162},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/BerkIY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BoccoSRMH21,
  author       = {{\'{A}}lvaro Fernandez Bocco and
                  Fredy Solis and
                  Benjam{\'{\i}}n T. Reyes and
                  Dami{\'{a}}n A. Morero and
                  Mario R. Hueda},
  title        = {An Error Backpropagation-based Background Calibration of Pipeline
                  TI-ADCs for 256-QAM Optical Coherent Receivers},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459161},
  doi          = {10.1109/LASCAS51355.2021.9459161},
  timestamp    = {Mon, 20 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/BoccoSRMH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BreitenreiterSA21,
  author       = {Anselm Breitenreiter and
                  Oliver Schrape and
                  Marko S. Andjelkovic and
                  Milos Krstic},
  title        = {Reliability Analysis in Less than 200 Lines of Code},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459116},
  doi          = {10.1109/LASCAS51355.2021.9459116},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/BreitenreiterSA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BrendlerZKMR21,
  author       = {Leonardo Heitich Brendler and
                  Alexandra L. Zimpeck and
                  Fernanda Lima Kastensmidt and
                  Cristina Meinhardt and
                  Ricardo A. L. Reis},
  title        = {Voltage Scaling Influence on the Soft Error Susceptibility of a FinFET-based
                  Circuit},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459127},
  doi          = {10.1109/LASCAS51355.2021.9459127},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/BrendlerZKMR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/BucoloBFF21,
  author       = {Maide Bucolo and
                  Arturo Buscarino and
                  Luigi Fortuna and
                  Mattia Frasca},
  title        = {Towards analog computing devices for matrix algebraic problems},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459143},
  doi          = {10.1109/LASCAS51355.2021.9459143},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/BucoloBFF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/CordovaCDRLNP21,
  author       = {David Cordova and
                  Wim Cops and
                  Yann Deval and
                  Francois Rivet and
                  Herv{\'{e}} Lapuyade and
                  Nicolas Nodenot and
                  Yohan Piccin},
  title        = {Optimized body-biasing calibration methodology for high-speed comparators
                  in 22nm {FDX}},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459121},
  doi          = {10.1109/LASCAS51355.2021.9459121},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/CordovaCDRLNP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/CordovaCDRLNP21a,
  author       = {David Cordova and
                  Wim Cops and
                  Yann Deval and
                  Francois Rivet and
                  Herv{\'{e}} Lapuyade and
                  Nicolas Nodenot and
                  Yohan Piccin},
  title        = {Design methodology for 112Gb/s {PAM4} Wireline ADC-Based Receivers},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459179},
  doi          = {10.1109/LASCAS51355.2021.9459179},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/CordovaCDRLNP21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/CritcherF21,
  author       = {Shelby Critcher and
                  Todd J. Freeborn},
  title        = {Residual Impedance Impact on {MAX30001} Accuracy for Bioimpedance
                  Applications},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459142},
  doi          = {10.1109/LASCAS51355.2021.9459142},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/CritcherF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/EscobarPTLT21,
  author       = {Ricardo Escobar and
                  Luis{-}Miguel Pr{\'{o}}cel and
                  Lionel Trojman and
                  Marco Lanuzza and
                  Ramiro Taco},
  title        = {High-Speed and Low-Energy Dual-Mode Logic based Single-Clack-Cycle
                  Binary Comparator},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459151},
  doi          = {10.1109/LASCAS51355.2021.9459151},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/EscobarPTLT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FerreiraPPCB21,
  author       = {Guilherme da Costa Ferreira and
                  Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {A Power-Efficient {FFT} Hardware Architecture Exploiting Approximate
                  Adders},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9667154},
  doi          = {10.1109/LASCAS51355.2021.9667154},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/FerreiraPPCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FloridiaS21,
  author       = {Andrea Floridia and
                  Ernesto S{\'{a}}nchez},
  title        = {A JTAG-based Fault Emulation Platform for Dependability Analyses of
                  Processor-based ASICs},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459158},
  doi          = {10.1109/LASCAS51355.2021.9459158},
  timestamp    = {Mon, 05 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/FloridiaS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FrancaOGN21,
  author       = {Alexandre B. Z. de Fran{\c{c}}a and
                  Fernanda D. V. R. Oliveira and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Nadia Nedjah},
  title        = {Non-Memoryless vs. Memoryless Hardware Architectures for Convolutional
                  Neural Networks},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459115},
  doi          = {10.1109/LASCAS51355.2021.9459115},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/FrancaOGN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FreebornC21,
  author       = {Todd J. Freeborn and
                  Shelby Critcher},
  title        = {Estimating Cole-Impedance Parameters from Limited Frequency-Band Impedance
                  Measurements},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459167},
  doi          = {10.1109/LASCAS51355.2021.9459167},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/FreebornC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FritscherKRMPFR21,
  author       = {Markus Fritscher and
                  Johannes Kn{\"{o}}dtel and
                  Daniel Reiser and
                  Maen Mallah and
                  Stefan Pechmann and
                  Dietmar Fey and
                  Marc Reichenbach},
  title        = {Simulating large neural networks embedding {MLC} {RRAM} as weight
                  storage considering device variations},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459159},
  doi          = {10.1109/LASCAS51355.2021.9459159},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/FritscherKRMPFR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/GalettoRMH21,
  author       = {Agustin C. Galetto and
                  Benjam{\'{\i}}n T. Reyes and
                  Dami{\'{a}}n A. Morero and
                  Mario R. Hueda},
  title        = {Background Compensation of Frequency Interleaved {DAC} for Optical
                  Transceivers},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459123},
  doi          = {10.1109/LASCAS51355.2021.9459123},
  timestamp    = {Mon, 20 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/GalettoRMH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/Garcia-BosqueDS21,
  author       = {Miguel Garcia{-}Bosque and
                  Guillermo D{\'{\i}}ez{-}Se{\~{n}}orans and
                  Carlos S{\'{a}}nchez{-}Azqueta and
                  Santiago Celma},
  title        = {{FPGA} Implementation of a New {PUF} Based on Galois Ring Oscillators},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459135},
  doi          = {10.1109/LASCAS51355.2021.9459135},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/Garcia-BosqueDS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/GeorgeSBF21,
  author       = {Sandra George and
                  Padmanava Sen and
                  Andr{\'{e}} Noll Barreto and
                  Gerhard P. Fettweis},
  title        = {Reconfigurable E-band Receiver Development for Joint Communication
                  and Sensing},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459126},
  doi          = {10.1109/LASCAS51355.2021.9459126},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/GeorgeSBF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/GhimouzRR21,
  author       = {Abderrahmane Ghimouz and
                  Fatah Rarbi and
                  Olivier Rossetto},
  title        = {Systematic high-level design of a fifth order Continuous-Time {CRFF}
                  Delta Sigma {ADC}},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459156},
  doi          = {10.1109/LASCAS51355.2021.9459156},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/GhimouzRR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/Gonzalez-GomezA21,
  author       = {Jeferson Gonz{\'{a}}lez{-}G{\'{o}}mez and
                  Steven {\'{A}}vila{-}Ard{\'{o}}n and
                  Jonathan Rojas{-}Gonz{\'{a}}lez and
                  Andres Stephen{-}Cantillano and
                  Jorge Castro{-}God{\'{\i}}nez and
                  Carlos Salazar{-}Garc{\'{\i}}a and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel},
  title        = {TailoredCore: Generating Application-Specific RISC-V-based Cores},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459152},
  doi          = {10.1109/LASCAS51355.2021.9459152},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/Gonzalez-GomezA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/GuptaDS21,
  author       = {Nisha Gupta and
                  Ashudeb Dutta and
                  Shiv Govind Singh},
  title        = {A Novel Down Conversion Mixer with Low/High Band Re-configurable Transconductance
                  Amplifier in 65nm {CMOS} Process},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459141},
  doi          = {10.1109/LASCAS51355.2021.9459141},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/GuptaDS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/HangMBM21,
  author       = {Maria Eduarda de Melo Hang and
                  Cleiton Magano Marques and
                  Paulo F. Butzen and
                  Cristina Meinhardt},
  title        = {Soft Error Sensibility Window at FinFET {DICE} {SRAM}},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459173},
  doi          = {10.1109/LASCAS51355.2021.9459173},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/HangMBM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/Hernandez-Alpizar21,
  author       = {Laura Hern{\'{a}}ndez{-}Alpizar and
                  Arys Carrasquilla{-}Batista and
                  Lilliana Sancho{-}Chavarr{\'{\i}}a},
  title        = {Monitoring adjustment based on current data of an IoT-COTS monitor
                  for environmental chemical analysis},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459119},
  doi          = {10.1109/LASCAS51355.2021.9459119},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/Hernandez-Alpizar21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/HernandezPMRN21,
  author       = {Hugo Daniel Hern{\'{a}}ndez and
                  Diego Augusto Pontes and
                  Tarciso A. Martins and
                  David Reyes and
                  Wilhelmus A. M. Van Noije},
  title        = {{ISFET} Array Readout System with Integrated 12 bit {A/D} Conversion
                  for Lab-on-Chip Applications},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459168},
  doi          = {10.1109/LASCAS51355.2021.9459168},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/HernandezPMRN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/Hoppe0K21,
  author       = {Augusto W. Hoppe and
                  J{\"{u}}rgen Becker and
                  Fernanda Lima Kastensmidt},
  title        = {High-speed Hardware Accelerator for Trace Decoding in Real-Time Program
                  Monitoring},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459137},
  doi          = {10.1109/LASCAS51355.2021.9459137},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/Hoppe0K21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/Jovanovic-Dolecek21,
  author       = {Gordana Jovanovic{-}Dolecek and
                  Jos{\'{e}} M. de la Rosa},
  title        = {Low-Power Compensated Modified Comb Decimation Structure for Power-of-Two
                  Decimation Factors},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459139},
  doi          = {10.1109/LASCAS51355.2021.9459139},
  timestamp    = {Tue, 14 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/Jovanovic-Dolecek21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/JuracyMAM21,
  author       = {Leonardo Rezende Juracy and
                  Matheus T. Moreira and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {A TensorFlow and System Simulator Integration Approach to Estimate
                  Hardware Metrics of Convolution Accelerators},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459183},
  doi          = {10.1109/LASCAS51355.2021.9459183},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/JuracyMAM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/KarmakarSL21,
  author       = {Arijit Karmakar and
                  Valentijn De Smedt and
                  Paul Leroux},
  title        = {Pseudo-Differential Time-Domain Integrator Using Charge-Based Time-Domain
                  Circuits},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459120},
  doi          = {10.1109/LASCAS51355.2021.9459120},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/KarmakarSL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/KizasCMB21,
  author       = {Thomas Eleftherios Dimitrios Kizas and
                  Lorenzo Crespi and
                  Piero Malcovati and
                  Andrea Baschirotto},
  title        = {A Library of High-Level Models for the Simulation of {DC-DC} Converters},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459163},
  doi          = {10.1109/LASCAS51355.2021.9459163},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/KizasCMB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/KizmazE21,
  author       = {Muhammed Mustafa Kizmaz and
                  Salih Erg{\"{u}}n},
  title        = {A {CMOS} Implementation of the Tent Map for Random Number Generation},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459172},
  doi          = {10.1109/LASCAS51355.2021.9459172},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/KizmazE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/LindnerJE21,
  author       = {Bastian Lindner and
                  Niko Joram and
                  Frank Ellinger},
  title        = {Ultra Low Power {\textless} 9 nW Adaptive Duty Cycling Oscillator
                  in 22 nm {FDSOI} {CMOS} Technology using Back Gate Biasing},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459176},
  doi          = {10.1109/LASCAS51355.2021.9459176},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/LindnerJE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/LopesWMM21,
  author       = {Geaninne Lopes and
                  Ia{\c{c}}an{\~{a}} I. Weber and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes},
  title        = {Chronos: An Abstract NoC-based Manycore with Preserved Temporal and
                  Spatial Traffic Distribution},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459124},
  doi          = {10.1109/LASCAS51355.2021.9459124},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/LopesWMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/LuftSM21,
  author       = {Ash Luft and
                  Mihai Sima and
                  Michael McGuire},
  title        = {Hardware Trojan with Frequency Modulation},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459170},
  doi          = {10.1109/LASCAS51355.2021.9459170},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/LuftSM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/Martinez-PerezM21,
  author       = {Antonio D. Mart{\'{\i}}nez{-}P{\'{e}}rez and
                  Pedro A. Mart{\'{\i}}nez Mart{\'{\i}}nez and
                  Francisco Aznar and
                  Guillermo Royo and
                  Santiago Celma},
  title        = {A Strategy to Achieve Competitive Performance in Basic {RF} LNAs},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459166},
  doi          = {10.1109/LASCAS51355.2021.9459166},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/Martinez-PerezM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/MoiselloVCBBBBN21,
  author       = {Elisabetta Moisello and
                  Michele Vaiana and
                  Maria Eloisa Castagna and
                  Giuseppe Bruno and
                  Igor Brouk and
                  Tanya Blank and
                  Sharon Bar{-}Lev and
                  Yael Nemirovsky and
                  Piero Malcovati and
                  Edoardo Bonizzoni},
  title        = {Study of a Voltage-Mode Readout Configuration for Micromachined {CMOS}
                  Transistors for Uncooled {IR} Sensing},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459117},
  doi          = {10.1109/LASCAS51355.2021.9459117},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/MoiselloVCBBBBN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/MoraesZMR21,
  author       = {Leonardo B. Moraes and
                  Alexandra L. Zimpeck and
                  Cristina Meinhardt and
                  Ricardo Reis},
  title        = {Current Behavior on Process Variability Aware FinFET Inverter Designs},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459132},
  doi          = {10.1109/LASCAS51355.2021.9459132},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/MoraesZMR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/NetoC0AC21,
  author       = {Luiz Neto and
                  Marcel Moscarelli Corr{\^{e}}a and
                  Daniel Palomino and
                  Luciano Agostini and
                  Guilherme Corr{\^{e}}a},
  title        = {Exploring Operation Sharing in Directional Intra Frame Prediction
                  of {AV1} Video Coding},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459136},
  doi          = {10.1109/LASCAS51355.2021.9459136},
  timestamp    = {Wed, 23 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/NetoC0AC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/OliveiraDB021,
  author       = {Isadora Oliveira and
                  Marcelo Danigno and
                  Paulo F. Butzen and
                  Ricardo Reis},
  title        = {Benchmarking Open Access {VLSI} Partitioning Tools},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459131},
  doi          = {10.1109/LASCAS51355.2021.9459131},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/OliveiraDB021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/OliveiraDB21,
  author       = {Duarte Lopes de Oliveira and
                  Gabriel C. Duarte and
                  Gracieth Cavalcanti Batista},
  title        = {A New {QDI} Asynchronous Pipeline with Two-Phase Delay-Insensitive
                  Global Communication},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459155},
  doi          = {10.1109/LASCAS51355.2021.9459155},
  timestamp    = {Wed, 12 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/OliveiraDB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/OrnaMBAA21,
  author       = {Marco Orna and
                  Dominique Morche and
                  Andrea Baschirotto and
                  Emmanuel Allier and
                  Patrick Arno},
  title        = {Quantitative Jitter Simulations and {FIR-DAC} sizing for Single-Bit
                  Continuous Time Sigma Delta Modulators},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459118},
  doi          = {10.1109/LASCAS51355.2021.9459118},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/OrnaMBAA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/PassosAGL21,
  author       = {L. Wesley Passos and
                  Gabriel M. Araujo and
                  Jonathan N. Gois and
                  Amaro A. de Lima},
  title        = {A Brazilian Sign Language Gesture Recognizing System Using Gait Energy
                  Image},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459169},
  doi          = {10.1109/LASCAS51355.2021.9459169},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/PassosAGL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/PereiraPFCAB21,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Guilherme da Costa Ferreira and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio Almeida and
                  Sergio Bampi},
  title        = {Exploring Approximate Adders for Power-Efficient Harmonics Elimination
                  Hardware Architectures},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459128},
  doi          = {10.1109/LASCAS51355.2021.9459128},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/PereiraPFCAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/PinheiroOP21,
  author       = {Carlos A. Pinheiro and
                  Fabi{\'{a}}n Olivera and
                  Antonio Petraglia},
  title        = {A Three-Stage Charge Pump with Forward Body Biasing in 28 nm {UTBB}
                  {FD-SOI} {CMOS}},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459174},
  doi          = {10.1109/LASCAS51355.2021.9459174},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/PinheiroOP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/PintoV21,
  author       = {Felipe Pinto and
                  Ioannis Vourkas},
  title        = {Design Considerations for the Development of Computational Resistive
                  Memories},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459165},
  doi          = {10.1109/LASCAS51355.2021.9459165},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/PintoV21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/PonceASN21,
  author       = {David Ponce and
                  Alexandre de Jesus Arag{\~{a}}o and
                  Bruno Sanches and
                  Wilhelmus A. M. Van Noije},
  title        = {Assessment of key parameters in a microwave imaging system design
                  for breast cancer detection},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459182},
  doi          = {10.1109/LASCAS51355.2021.9459182},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/PonceASN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/QuenonDMD21,
  author       = {Alexandre Quenon and
                  Evelyne Daubie and
                  V{\'{e}}ronique Moeyaert and
                  Fortunato Carlos Dualibe},
  title        = {On the Possibility to Use Energy Harvesting on Beta Radiation in Nuclear
                  Environments},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459184},
  doi          = {10.1109/LASCAS51355.2021.9459184},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/QuenonDMD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/RivetFF21,
  author       = {Francois Rivet and
                  Laura Foucaud and
                  Guillaume Ferr{\'{e}}},
  title        = {Edge Computing Technique for a 87{\%} Energy Saving for IoT Device
                  Dedicated to Environmental Monitoring},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459177},
  doi          = {10.1109/LASCAS51355.2021.9459177},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/RivetFF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/RodovalhoSR21,
  author       = {Luis Henrique Rodovalho and
                  Rafael Sanchotene Silva and
                  Cesar Ramos Rodrigues},
  title        = {A 1V, 450pS {OTA} Based on Current-Splitting and Modified Series-Parallel
                  Mirrors},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459122},
  doi          = {10.1109/LASCAS51355.2021.9459122},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/RodovalhoSR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/RodriguezAM21,
  author       = {Daniel Patricio Nicolalde Rodr{\'{\i}}guez and
                  Jos{\'{e}} Antonio Apolin{\'{a}}rio and
                  Wallace A. Martins},
  title        = {Robust Passive Coherent Location via Nonlinearly Constrained Least
                  Squares},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459144},
  doi          = {10.1109/LASCAS51355.2021.9459144},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/RodriguezAM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/RoyoMSAC21,
  author       = {Guillermo Royo and
                  Antonio D. Mart{\'{\i}}nez{-}P{\'{e}}rez and
                  Carlos S{\'{a}}nchez{-}Azqueta and
                  Concepci{\'{o}}n Aldea and
                  Santiago Celma},
  title        = {Noise Reduction Technique using Multiple Photodiodes in Optical Receivers
                  for {POF} Communications},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459130},
  doi          = {10.1109/LASCAS51355.2021.9459130},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/RoyoMSAC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SchrapeBS0K21,
  author       = {Oliver Schrape and
                  Anselm Breitenreiter and
                  Carsten Schulze and
                  Steffen Zeidler and
                  Milos Krstic},
  title        = {Radiation-Hardness-by-Design Latch-based Triple Modular Redundancy
                  Flip-Flops},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459134},
  doi          = {10.1109/LASCAS51355.2021.9459134},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/SchrapeBS0K21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/ShahabuddinAS0J21,
  author       = {Shahriar Shahabuddin and
                  Mahmoud A. M. Albreem and
                  Mohammad Shahanewaz Shahabuddin and
                  Zaheer Khan and
                  Markku J. Juntti},
  title        = {{FPGA} Implementation of Stair Matrix based Massive {MIMO} Detection},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459171},
  doi          = {10.1109/LASCAS51355.2021.9459171},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/ShahabuddinAS0J21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SosaFO21,
  author       = {Kevin Sosa and
                  Horacio Failache and
                  Juli{\'{a}}n Oreggioni},
  title        = {Design and implementation of a trans-impedance amplifier for a miniaturized
                  saturated absorption spectrometer},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459157},
  doi          = {10.1109/LASCAS51355.2021.9459157},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/SosaFO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/TemelFY21,
  author       = {{\"{O}}zg{\"{u}}r Deniz Temel and
                  Onur Ferhanoglu and
                  Mustafa Berke Yelten},
  title        = {Design of a Constant Current Laser Driver for Biomedical Applications},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459114},
  doi          = {10.1109/LASCAS51355.2021.9459114},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/TemelFY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/TengABZC21,
  author       = {Carolina Teng and
                  Renan W. Achjian and
                  Caio C. Braga and
                  Marcelo Kn{\"{o}}rich Zuffo and
                  Wang Jiang Chau},
  title        = {Accelerating the base-level alignment step of {DNA} assembling in
                  Minimap2 Algorithm using {FPGA}},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459150},
  doi          = {10.1109/LASCAS51355.2021.9459150},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/TengABZC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/ToledoMMRLBL21,
  author       = {Mariana C. Toledo and
                  Sandro M. Marques and
                  Thiarles S. Medeiros and
                  F{\'{a}}bio Diniz Rossi and
                  Marcelo Caggiani Luizelli and
                  Antonio Carlos Schneider Beck and
                  Arthur Francisco Lorenzon},
  title        = {{EDP} Optimization of Parallel Applications via {CPU} Frequency Scaling
                  on {AMD} Processors},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459181},
  doi          = {10.1109/LASCAS51355.2021.9459181},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/ToledoMMRLBL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/TouloupasS21,
  author       = {Kostas Touloupas and
                  Paul{-}Peter Sotiriadis},
  title        = {Analog and {RF} Circuit Constrained Optimization Using Multi-Objective
                  Evolutionary Algorithms},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459145},
  doi          = {10.1109/LASCAS51355.2021.9459145},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/TouloupasS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/TrojmanRVALPT21,
  author       = {Lionel Trojman and
                  David Rivadeneira and
                  Marco Villegas and
                  Eliana Acurio and
                  Marco Lanuzza and
                  Luis{-}Miguel Procel and
                  Ramiro Taco},
  title        = {{RF-DC} Multiplier for {RF} Energy Harvester based on 32nm and {TFET}
                  technologies},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459129},
  doi          = {10.1109/LASCAS51355.2021.9459129},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/TrojmanRVALPT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/ValetST21,
  author       = {Patrick Valet and
                  David Schwingshackl and
                  Andrea M. Tonello},
  title        = {Comparative Study on Pre-Distortion/Calibration Methods for Current-Steering
                  Digital-to-Analog Converters},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459164},
  doi          = {10.1109/LASCAS51355.2021.9459164},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/ValetST21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/lascas/2021,
  title        = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021},
  doi          = {10.1109/LASCAS51355.2021},
  isbn         = {978-1-7281-7670-3},
  timestamp    = {Fri, 02 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics