default search action
Search dblp for Publications
export results for "toc:db/conf/isocc/isocc2012.bht:"
@inproceedings{DBLP:conf/isocc/0001MSK12, author = {Danny Hughes and Ka Lok Man and Zhun Shen and Kyung Ki Kim}, title = {A loosely-coupled binding model for Wireless Sensor Networks}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {273--276}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407093}, doi = {10.1109/ISOCC.2012.6407093}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/0001MSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/0003HLZS12, author = {Di Zhu and Qi Huang and Zhao Chuan Lee and Yuanjin Zheng and Liter Siek}, title = {A novel analog-to-residue converter for biomedical {DSP} application}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {371--374}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407118}, doi = {10.1109/ISOCC.2012.6407118}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/0003HLZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/0004R0P12, author = {Amit Kumar and Sudhakar M. Reddy and Bernd Becker and Irith Pomeranz}, title = {Performance aware partitioning for 3D-SOCs}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {163--166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407065}, doi = {10.1109/ISOCC.2012.6407065}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/0004R0P12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/AhmedLSSWC12, author = {Fahian Ahmed and Byeong Kil Lee and Bum Joo Shin and Duk Soo Son and Young Choon Woo and Wan Choi}, title = {Performance hotspot based {CUDA} acceleration}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {243--246}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407085}, doi = {10.1109/ISOCC.2012.6407085}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/AhmedLSSWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/AkasakaYT12, author = {Hiroyuki Akasaka and Masao Yanagisawa and Nozomu Togawa}, title = {Energy-efficient high-level synthesis for {HDR} architectures with clock gating}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {135--138}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407058}, doi = {10.1109/ISOCC.2012.6407058}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/AkasakaYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/AliTF12, author = {Shafqat Ali and Steve Tanner and Pierre{-}Andr{\'{e}} Farine}, title = {Design and analysis of a power-efficient cascode-compensated amplifier}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {96--99}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407048}, doi = {10.1109/ISOCC.2012.6407048}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/AliTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/AliTF12a, author = {Shafqat Ali and Steve Tanner and Pierre{-}Andr{\'{e}} Farine}, title = {A background calibration method for {DAC} mismatch correction in multibit sigma-delta modulators}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {427--430}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406887}, doi = {10.1109/ISOCC.2012.6406887}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/AliTF12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/AtobeSYT12, author = {Yuta Atobe and Youhua Shi and Masao Yanagisawa and Nozomu Togawa}, title = {Dynamically changeable secure scan architecture against scan-based side channel attack}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {155--158}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407063}, doi = {10.1109/ISOCC.2012.6407063}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/AtobeSYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/BaeYJ12, author = {Woo{-}Rham Bae and Byoung{-}Joo Yoo and Deog{-}Kyoon Jeong}, title = {Design of {CMOS} 5 Gb/s 4-PAM transceiver frontend for low-power memory interface}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {49--52}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406922}, doi = {10.1109/ISOCC.2012.6406922}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/BaeYJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChaKJKMKOS12, author = {DaeSeo Cha and HyunWoo Koh and NamPhil Jo and Jay B. Kim and Byeong Min and Karthik Kothandapani and Riccardo Oddone and Adam D. Sherer}, title = {Verification of massive advanced node SoCs}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {395--397}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407124}, doi = {10.1109/ISOCC.2012.6407124}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChaKJKMKOS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChenCW0Z12, author = {Enle Chen and Yun Chen and Yizhi Wang and Chen Chen and Xiaoyang Zeng}, title = {A multi-core mapping implementation of 3780-point {FFT}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {289--292}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407097}, doi = {10.1109/ISOCC.2012.6407097}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChenCW0Z12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChenHMYZ12, author = {Yun Chen and Yuebin Huang and Wei Meng and Zhiyi Yu and Xiaoyang Zeng}, title = {A low-cost architecture for multi-mode Reed-Solomon decoder}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {332--334}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407108}, doi = {10.1109/ISOCC.2012.6407108}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChenHMYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChenWLW12, author = {Chih{-}Lin Chen and Deng{-}Shian Wang and Jie{-}Jyun Li and Chua{-}Chin Wang}, title = {A Battery Interconnect Module with high voltage transceiver using 0.25 {\(\mathrm{\mu}\)}m 60V {BCD} process for Battery Management Systems}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406910}, doi = {10.1109/ISOCC.2012.6406910}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChenWLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChengCC12, author = {Weijie Cheng and Jeong{-}Wook Cho and Yeonbae Chung}, title = {Design of logic-compatible embedded {DRAM} using gain memory cell}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {196--199}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407073}, doi = {10.1109/ISOCC.2012.6407073}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChengCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiKCC12, author = {Minsu Choi and Jinsang Kim and Ik Joon Chang and Won{-}Kyung Cho}, title = {Low-complexity frame scheduler using shared frame memory for multi-view video coding}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {498--502}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406905}, doi = {10.1109/ISOCC.2012.6406905}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChoiKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiLSZ12, author = {Byung Jun Choi and Jae Do Lee and Myung Hoon Sunwoo and Xinmiao Zhang}, title = {Low complexity full parallel Multi-Split {LDPC} decoder reusing sign wire of row processor}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {219--222}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407079}, doi = {10.1109/ISOCC.2012.6407079}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChoiLSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiSP12, author = {Young{-}Ho Choi and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A fractional-N frequency divider for {SSCG} using a single dual-modulus integer divider and a phase interpolator}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {68--71}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407004}, doi = {10.1109/ISOCC.2012.6407004}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChoiSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/CollinsJKK12, author = {Edward Collins and In{-}Seok Jung and Yong{-}Bin Kim and Kyung Ki Kim}, title = {A design and integration of Parametric Measurement Unit on to a 600MHz {DCL}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {435--438}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406889}, doi = {10.1109/ISOCC.2012.6406889}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/CollinsJKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/DharJK12, author = {Debashis Dhar and Inhwa Jung and Chulwoo Kim}, title = {A TDC-based skew compensation technique for high-speed output driver}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {61--64}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406925}, doi = {10.1109/ISOCC.2012.6406925}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/DharJK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/DingY12, author = {Zhong Qiang Ding and Kiat Seng Yeo}, title = {An optimum {RF} link for implantable devices with rectification of transmission errors}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {379--382}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407120}, doi = {10.1109/ISOCC.2012.6407120}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/DingY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/DingYL12, author = {Dajun Ding and Jihwan Yoon and Chanho Lee}, title = {Traffic sign detection and identification using {SURF} algorithm and {GPGPU}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {506--508}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406907}, doi = {10.1109/ISOCC.2012.6406907}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/DingYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/DoHL12, author = {Xuan{-}Dien Do and Seok{-}Kyun Han and Sang{-}Gug Lee}, title = {Low power consumption for detecting current zero of synchronous {DC-DC} buck converter}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {487--490}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406902}, doi = {10.1109/ISOCC.2012.6406902}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/DoHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/DoTLJY12, author = {Anh{-}Tuan Do and Yung Sern Tan and Chun Kit Lam and Minkyu Je and Kiat Seng Yeo}, title = {Low power implantable neural recording front-end}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {387--390}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407122}, doi = {10.1109/ISOCC.2012.6407122}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/DoTLJY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/El-GhanyWZ12, author = {Mohamed A. Abd El{-}Ghany and Mohamed A. Wanas and Mohamed Zaki}, title = {Hybrid Mesh-Ring wireless Network on Chip for multi-core system}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {167--170}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407066}, doi = {10.1109/ISOCC.2012.6407066}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/El-GhanyWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/FangGHN12, author = {Licai Fang and Qinghua Guo and Defeng Huang and Sven Nordholm}, title = {A low cost soft mapper for turbo equalization with high order modulation}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {305--308}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407101}, doi = {10.1109/ISOCC.2012.6407101}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/FangGHN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/FekiATLC12, author = {Anis Feki and Bruno Allard and David Turgis and Jean{-}Christophe Lafont and Lorenzo Ciampolini}, title = {Proposal of a new ultra low leakage 10T sub threshold {SRAM} bitcell}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {470--474}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406898}, doi = {10.1109/ISOCC.2012.6406898}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/FekiATLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/FujiokaK12, author = {Yoshichika Fujioka and Michitaka Kameyama}, title = {Configuration memory size reduction of a Dynamically Reconfigurable Processor based on a register-transfer-level packet data transfer scheme}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {235--238}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407083}, doi = {10.1109/ISOCC.2012.6407083}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/FujiokaK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/GeJHM12, author = {Bingjing Ge and Naifeng Jing and Weifeng He and Zhigang Mao}, title = {Contention and energy aware mapping for real-time applications on Network-on-Chip}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {72--76}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407042}, doi = {10.1109/ISOCC.2012.6407042}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/GeJHM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/GeorgeCNKJ12, author = {Arup K. George and Wai Pan Chan and Margarita Sofia Narducci and Zhi{-}Hui Kong and Minkyu Je}, title = {{CMOS-MEMS} capacitive sensors for intra-cranial pressure monitoring: Sensor fabrication {\&} system design}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {375--378}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407119}, doi = {10.1109/ISOCC.2012.6407119}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/GeorgeCNKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/GetmanYK12, author = {Alexander Getman and Se{-}Hwan Yun and Tae{-}Chan Kim}, title = {Improved nonlocal means denoising for images with tone gradients}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {328--331}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407107}, doi = {10.1109/ISOCC.2012.6407107}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/GetmanYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/GuptaR12, author = {Sharad Gupta and Parvinder Kumar Rana}, title = {A 28nm 6T {SRAM} memory compiler with a variation tolerant replica circuit}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {458--461}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406895}, doi = {10.1109/ISOCC.2012.6406895}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/GuptaR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HaCCKCOKYCLLH12, author = {Manlyun Ha and Sun Choi and DongHun Cho and Hosoo Kim and Jungyeon Cho and Youngsun Oh and Jongman Kim and Sangwon Yoon and Changhoon Choi and Juneseok Lee and Juil Lee and Joon Hwang}, title = {Sensitivity improvement in {FSI} {CIS} using the M1ToP{\texttrademark} smart process technique}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {317--319}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407104}, doi = {10.1109/ISOCC.2012.6407104}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HaCCKCOKYCLLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HalimL12, author = {Tommy Halim and Karsten Leitis}, title = {Digitizing the feedback signal in a magnetic field {(AMR)} sensor system using delta sigma modulator topology}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {112--115}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407052}, doi = {10.1109/ISOCC.2012.6407052}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HalimL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HanZKKSK12, author = {Ruonan Han and Yaming Zhang and Youngwan Kim and Dae Yeon Kim and Hisashi Shichijo and Kenneth K. O}, title = {Terahertz image sensors using {CMOS} Schottky barrier diodes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {254--257}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407088}, doi = {10.1109/ISOCC.2012.6407088}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HanZKKSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HeoKLKC12, author = {Jun Hoe Heo and Jong{-}Hak Kim and Dong{-}Hun Lee and Yong{-}Han Kim and Jun Dong Cho}, title = {Real-time digital image stabilization using motion sensors for search range reduction}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {363--366}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407116}, doi = {10.1109/ISOCC.2012.6407116}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HeoKLKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HomjakovsHOH12, author = {Igors Homjakovs and Masanori Hashimoto and Takao Onoye and Tetsuya Hirose}, title = {Signal-dependent analog-to-digital converter based on {MINIMAX} sampling}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {120--123}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407054}, doi = {10.1109/ISOCC.2012.6407054}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HomjakovsHOH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HouLT12, author = {Jen{-}Yu Hou and Tsao{-}Shuan Lee and Pei{-}Yun Tsai}, title = {High-throughput turbo decoder design with new interconnection network for {LTE/LTE-A} system}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {335--338}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407109}, doi = {10.1109/ISOCC.2012.6407109}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HouLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HsiehLH12, author = {Chi{-}Hsuan Hsieh and Ming{-}Yong Lee and Yuan{-}Hao Huang}, title = {A 516Mb/s 0.2nJ/bit/iter variable-block-size turbo decoder for 3GPP {LTE-A} system}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {343--346}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407111}, doi = {10.1109/ISOCC.2012.6407111}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HsiehLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HuPHFRSH12, author = {Zhaohui Hu and Arnaud Pierres and Shiqing Hu and Chen Fang and Philippe Royannez and Eng Pek See and Yean Ling Hoon}, title = {Practical and efficient {SOC} verification flow by reusing {IP} testcase and testbench}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {175--178}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407068}, doi = {10.1109/ISOCC.2012.6407068}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HuPHFRSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HuangLLW12, author = {Ching{-}Yi Huang and Daw{-}Ming Lee and Chun{-}Chi Lin and Chun{-}Yao Wang}, title = {Error Injection {\&} Correction: An efficient formal logic restructuring algorithm}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {188--191}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407071}, doi = {10.1109/ISOCC.2012.6407071}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HuangLLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HuangLLWHLL12, author = {Po{-}Kuan Huang and Tung{-}Yang Lin and Hsu{-}Ting Lin and Chi{-}Hao Wu and Ching{-}Chun Hsiao and Chao{-}Kang Liao and Peter Lemmens}, title = {Real-time stereo matching for 3D hand gesture recognition}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {29--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406917}, doi = {10.1109/ISOCC.2012.6406917}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HuangLLWHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HungLH12, author = {Shao{-}Feng Hung and Long{-}Yi Lin and Hao{-}Chiao Hong}, title = {Testing the Fleischer-Laker switched-capacitor biquad using the diagnosis-after-test procedure}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {179--184}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407069}, doi = {10.1109/ISOCC.2012.6407069}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HungLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HwangLKS12, author = {Yeonseong Hwang and Jangwoo Lee and Daeyun Kim and Minkyu Song}, title = {A wide dynamic range {CMOS} image sensor based on a new gamma correction technique}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {131--134}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407057}, doi = {10.1109/ISOCC.2012.6407057}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HwangLKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ImYK12, author = {Yeon{-}Ho Im and Seong{-}Hee Yim and Jay B. Kim}, title = {A web service for automated IP/SoC verification using computers on network}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {398--401}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407125}, doi = {10.1109/ISOCC.2012.6407125}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ImYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/IsshikiXLLK12, author = {Tsuyoshi Isshiki and Hao Xiao and Hsuan{-}Chun Liao and Dongju Li and Hiroaki Kunieda}, title = {Application-specific Instruction-Set Processor design methodology for wireless image transmission systems}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {293--296}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407098}, doi = {10.1109/ISOCC.2012.6407098}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/IsshikiXLLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JangKYCC12, author = {In{-}Gul Jang and Dae{-}Ho Kim and Ho{-}Yun Yi and Jin{-}Gyun Chung and Kyung{-}Ju Cho}, title = {Efficient {IFFT} architecture design for {OFDM} applications}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {231--234}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407082}, doi = {10.1109/ISOCC.2012.6407082}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/JangKYCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JangLK12, author = {Chan Young Jang and Jae Hwan Lim and Young Hwan Kim}, title = {A fast Multi-scale Retinex algorithm using dominant {SSR} in weights selection}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {37--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406919}, doi = {10.1109/ISOCC.2012.6406919}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/JangLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JiaoK12, author = {Hailong Jiao and Volkan Kursun}, title = {Multi-phase sleep signal modulation for mode transition noise mitigation in {MTCMOS} circuits}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {466--469}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406897}, doi = {10.1109/ISOCC.2012.6406897}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JiaoK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JuangPK12, author = {Tso{-}Bing Juang and Hsin{-}Hao Peng and Han{-}Lung Kuo}, title = {Parallel and digit-serial implementations of area-efficient 3-Operand Decimal Adders}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {239--242}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407084}, doi = {10.1109/ISOCC.2012.6407084}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/JuangPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JungCKJ12, author = {Yongmin Jung and Chulho Chung and Jaeseok Kim and Yunho Jung}, title = {7.7Gbps encoder design for {IEEE} 802.11n/ac {QC-LDPC} codes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {215--218}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407078}, doi = {10.1109/ISOCC.2012.6407078}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/JungCKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KaidaHTTHI12, author = {Junya Kaida and Takuji Hieda and Ittetsu Taniguchi and Hiroyuki Tomiyama and Yuko Hara{-}Azumi and Koji Inoue}, title = {Task mapping techniques for embedded many-core SoCs}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {204--207}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407075}, doi = {10.1109/ISOCC.2012.6407075}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KaidaHTTHI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KangB12, author = {Minsoo Kang and Jinwook Burm}, title = {Time-domain temperature sensor using two stage vernier type time to digital converter for mobile application}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {431--434}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406888}, doi = {10.1109/ISOCC.2012.6406888}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KangB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KangJHPKKHH12, author = {Minkyu Kang and Hoon Jang and Sunjae Hwang and Soeun Park and Sanghwa Kim and Hosoon Ko and Changhun Han and Joon Hwang}, title = {Pixel design and photodiode process technology for image sensor applications}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {320--323}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407105}, doi = {10.1109/ISOCC.2012.6407105}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KangJHPKKHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KatareN12, author = {Siddharth Katare and Narayanan Natarajan}, title = {Current equalization scheme for parallel low-dropout regulators}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {88--91}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407046}, doi = {10.1109/ISOCC.2012.6407046}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KatareN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/Kim0D12, author = {Tony T. Kim and Bo Wang and Anh{-}Tuan Do}, title = {High energy efficient ultra-low voltage {SRAM} design: Device, circuit, and architecture}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {367--370}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407117}, doi = {10.1109/ISOCC.2012.6407117}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/Kim0D12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimCBK12, author = {Youngjin Kim and Sungkwang Cho and Byungjoon Back and Taechan Kim}, title = {Face detection based on chrominance and luminance for simple design}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {313--316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407103}, doi = {10.1109/ISOCC.2012.6407103}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimCBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimJ12, author = {Taeho Kim and Deog{-}Kyoon Jeong}, title = {A 10 Gb/s voltage swing level controlled output driver in 65-nm {CMOS} technology}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {53--56}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406923}, doi = {10.1109/ISOCC.2012.6406923}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimKCK12, author = {Sangchul Kim and Hyunjin Kim and Taeil Chung and Jin{-}Gyeong Kim}, title = {Design of {H.264} video encoder with {C} to {RTL} design tool}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {171--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407067}, doi = {10.1109/ISOCC.2012.6407067}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimKCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimKGC12, author = {Hi{-}Seok Kim and Sea{-}Ho Kim and Won{-}Ki Go and Sang{-}Bock Cho}, title = {{FPGA} implementation of stereoscopic image proceesing architecture base on the gray-scale projection}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {509--512}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406908}, doi = {10.1109/ISOCC.2012.6406908}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimKGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimKJ12, author = {Kwang{-}Ho Kim and Bai{-}Sun Kong and Young{-}Hyun Jun}, title = {Adaptive frequency-controlled ultra-fast hysteretic buck converter for portable devices}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {5--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406911}, doi = {10.1109/ISOCC.2012.6406911}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimLC12, author = {Soojin Kim and Seonyoung Lee and Kyeongsoon Cho}, title = {Design of high-speed support vector machine circuit for driver assistance system}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {45--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406921}, doi = {10.1109/ISOCC.2012.6406921}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimSAYK12, author = {Jae{-}Young Kim and Ho{-}Jin Song and Katsuhiro Ajito and Makoto Yaita and Naoya Kukutsu}, title = {InP {HBT} voltage controlled oscillator for 300-GHz-band wireless communications}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {262--265}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407090}, doi = {10.1109/ISOCC.2012.6407090}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimSAYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimYCKM12, author = {Namdo Kim and Young{-}Nam Yun and Young{-}Rae Cho and Jay B. Kim and Byeong Min}, title = {How to automate millions lines of top-level {UVM} testbench and handle huge register classes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {405--407}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407127}, doi = {10.1109/ISOCC.2012.6407127}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimYCKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimYR12, author = {Dong{-}Hyun Kim and Jongwon Yun and Jae{-}Sung Rieh}, title = {Si-based D-band frequency conversion circuits}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {251--253}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407087}, doi = {10.1109/ISOCC.2012.6407087}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimYR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KlymenkoMF12, author = {Oleksiy Klymenko and Denys I. Martynenko and Gunter Fischer}, title = {A highly integrated {IR-UWB} transceiver for communication and localization}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {57--60}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406924}, doi = {10.1109/ISOCC.2012.6406924}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KlymenkoMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KodamaI12, author = {Kazutoshi Kodama and Makoto Ikeda}, title = {Target voltage independent capacitance measurement circuit implemented by 0.18 {\(\mathrm{\mu}\)}m {CMOS} for {PWM-MEMS} control}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {77--80}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407043}, doi = {10.1109/ISOCC.2012.6407043}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KodamaI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeAC12, author = {Dongwoo Lee and Junwhan Ahn and Kiyoung Choi}, title = {A Memetic Quantum-Inspired Evolutionary Algorithm for circuit bipartitioning problem}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {159--162}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407064}, doi = {10.1109/ISOCC.2012.6407064}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeBE12, author = {Jae{-}Jin Lee and Kyungjin Byun and Nak{-}Woong Eum}, title = {Multi-core architecture for video decoding}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {25--28}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406916}, doi = {10.1109/ISOCC.2012.6406916}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeBE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeCLJ12, author = {Sung{-}Pah Lee and Kunhee Cho and Minwoo Lee and Wookang Jin}, title = {A leakage reduced {HVIC} with coarse-fine {UVLO}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {408--411}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406882}, doi = {10.1109/ISOCC.2012.6406882}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeCLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeCR12, author = {Jeong{-}Min Lee and Woo{-}Young Choi and Holger R{\"{u}}cker}, title = {60-GHz voltage-controlled oscillator and frequency divider in 0.25-{\(\mathrm{\mu}\)}m SiGe BiCMOS technology}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {65--67}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406991}, doi = {10.1109/ISOCC.2012.6406991}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeHBCC12, author = {Taeyoung Lee and Cheul{-}Hee Hahm and Gunyoung Bae and Byunghoan Chon and Kangwook Chun}, title = {An efficient {JPEG} decoding and scaling method for digital {TV} platforms}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {491--493}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406903}, doi = {10.1109/ISOCC.2012.6406903}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeHBCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeHKK12, author = {Zhao Chuan Lee and Kim Ming Ho and Zhi{-}Hui Kong and Tony T. Kim}, title = {NBTI/PBTI-aware wordline voltage control with no boosted supply for stability improvement of half-selected {SRAM} cells}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {200--203}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407074}, doi = {10.1109/ISOCC.2012.6407074}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeHKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeHLK12, author = {Jung{-}yong Lee and Hoon Heo and Kwang{-}Yeob Lee and Yong{-}Seo Koo}, title = {Design of multi-core rasterizer for parallel processing}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {494--497}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406904}, doi = {10.1109/ISOCC.2012.6406904}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeHLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeJ12, author = {Su{-}hyun Lee and Yong{-}Jin Jeong}, title = {Development of a verification platform for intelligent surveillance camera systems}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {503--505}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406906}, doi = {10.1109/ISOCC.2012.6406906}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeJMPBK12, author = {Tae{-}Yon Lee and Jung{-}Kyu Jung and Dong{-}Ki Min and Yoondong Park and Kwanghyuk Bae and Tae{-}Chan Kim}, title = {Perspectives on 3D ToF sensor SoC integration for user interface application}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {309--312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407102}, doi = {10.1109/ISOCC.2012.6407102}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeJMPBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeJYKJ12, author = {Junha Lee and Hanwool Jeong and Younghwi Yang and Jisu Kim and Seong{-}Ook Jung}, title = {Impact of fin thickness and height on read stability / write ability in tri-gate FinFET based {SRAM}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {479--482}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406900}, doi = {10.1109/ISOCC.2012.6406900}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeJYKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeKK12, author = {Seung{-}Kwon Lee and In{-}Ho Kook and Jin{-}Hyeung Kong}, title = {A study of mobile Optical Image Stabilization system for mobile camera}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {212--214}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407077}, doi = {10.1109/ISOCC.2012.6407077}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeKKM12, author = {Youngchan Lee and Namdo Kim and Jay B. Kim and Byeong Min}, title = {Millions to thousands issues through knowledge based SoC {CDC} verification}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {391--394}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407123}, doi = {10.1109/ISOCC.2012.6407123}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeKKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeLYPY12, author = {Keon Lee and Dong{-}hun Lee and Su{-}hun Yang and Ji{-}hyun Park and Kwang Sub Yoon}, title = {Design of high dimming ratio power-LED driver with preloading inductor current methodology}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {9--12}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406912}, doi = {10.1109/ISOCC.2012.6406912}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeLYPY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeMJSY12, author = {Shinwon Lee and V. Meka and Mingu Jeon and Nagoo Sung and Jeongnam Youn}, title = {Dynamic load balancing algorithm for system on chip}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {208--211}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407076}, doi = {10.1109/ISOCC.2012.6407076}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeMJSY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeP12, author = {Byung{-}Min Lee and Gi{-}Ho Park}, title = {Performance and energy-efficiency analysis of hybrid cache memory based on {SRAM-MRAM}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {247--250}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407086}, doi = {10.1109/ISOCC.2012.6407086}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeePKK12, author = {Sungken Lee and Geontae Park and Hyungtak Kim and Jongsun Kim}, title = {A programmable delay-locked loop based clock multiplier}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {128--130}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407056}, doi = {10.1109/ISOCC.2012.6407056}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeePKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeSSHK12, author = {Hoi{-}Jin Lee and Youngmin Shin and Jae Cheol Son and Tae Hee Han and Bai{-}Sun Kong}, title = {An efficient dual-supply design for low-power mobile systems}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {359--362}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407115}, doi = {10.1109/ISOCC.2012.6407115}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeSSHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LiZY12, author = {Jingyang Li and Yimeng Zhang and Tsutomu Yoshihara}, title = {A novel charge recovery logic structure with complementary pass-transistor network}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {17--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406914}, doi = {10.1109/ISOCC.2012.6406914}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LiZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LimWYTMWZ12, author = {Eng Gee Lim and Zhao Wang and Fang Zhou Yu and Tammam Tillo and Ka Lok Man and Jing Chen Wang and Meng Zhang}, title = {Transmitter antennas for wireless capsule endoscopy}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {269--272}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407092}, doi = {10.1109/ISOCC.2012.6407092}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LimWYTMWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LinCPL12, author = {Chih{-}Hung Lin and Robert Chen{-}Hao Chang and Tz{-}Han Pang and Kuang{-}Hao Lin}, title = {A low-complexity bio-medical signal receiver for wireless body area network}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {443--446}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406891}, doi = {10.1109/ISOCC.2012.6406891}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LinCPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LinHL12, author = {Hung{-}Wen Lin and Hsin{-}Lin Hu and Wu{-}Wei Lin}, title = {A DLL-based {FSK} demodulator for 5.8GHz {DSRC/ETC} {RF} receiver}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {116--119}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407053}, doi = {10.1109/ISOCC.2012.6407053}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LinHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LinHW12, author = {Kun{-}You Lin and Tian{-}Wei Huang and Huei Wang}, title = {Development of millimeter-wave {CMOS} power amplifiers at National Taiwan University}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {258--261}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407089}, doi = {10.1109/ISOCC.2012.6407089}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LinHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LinYT12, author = {Kuang{-}Hao Lin and Tai{-}Hsuan Yang and Jan{-}Dong Tseng}, title = {A low power {CMOS} receiver front-end for long term evolution systems}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {439--442}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406890}, doi = {10.1109/ISOCC.2012.6406890}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LinYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/MandalMB12, author = {Debashis Mandal and Pradip Mandal and Tarun Kanti Bhattacharyya}, title = {Spur suppression in frequency synthesizer using switched capacitor array}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {100--103}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407049}, doi = {10.1109/ISOCC.2012.6407049}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/MandalMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/MittalPSFS12, author = {Shubham Mittal and Twisha Prasad and Suraj Saurabh and Xue Fan and Hyunchul Shin}, title = {Pedestrian detection and tracking using deformable part models and Kalman filtering}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {324--327}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407106}, doi = {10.1109/ISOCC.2012.6407106}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/MittalPSFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/MoosaviCRPMJL12, author = {Sanaz Rahimi Moosavi and Chia{-}Yuan Chang and Amir{-}Mohammad Rahmani and Juha Plosila and Ka Lok Man and Taikyeong T. Jeong and Eng Gee Lim}, title = {An efficient history-based routing algorithm for interconnection networks}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {277--280}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407094}, doi = {10.1109/ISOCC.2012.6407094}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/MoosaviCRPMJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/NamKHKAPCAL12, author = {Sang{-}Pil Nam and Yongmin Kim and Dong{-}Hyun Hwang and Hyo{-}Jin Kim and Tai{-}Ji An and Jun{-}Sang Park and Suk{-}Hee Cho and Gil{-}Cho Ahn and Seung{-}Hoon Lee}, title = {A 10b 1MS/s-to-10MS/s 0.11um {CMOS} {SAR} {ADC} for analog {TV} applications}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {124--127}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407055}, doi = {10.1109/ISOCC.2012.6407055}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/NamKHKAPCAL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/NanWC12, author = {Haiqing Nan and Wei Wang and Ken Choi}, title = {Circuit design for carbon nanotube field effect transistors}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {351--354}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407113}, doi = {10.1109/ISOCC.2012.6407113}, timestamp = {Wed, 30 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/NanWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhKSKJDWK12, author = {Chungki Oh and Hyung{-}Ock Kim and Jun Seomun and Wook Kim and Jaehan Jeon and Kyung Tae Do and Hyo{-}Sig Won and Kee Sup Kim}, title = {Thermal-aware body bias modulation for high performance mobile core}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {147--150}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407061}, doi = {10.1109/ISOCC.2012.6407061}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/OhKSKJDWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhPSJBK12, author = {Seung{-}Wook Oh and Hyung{-}Min Park and Joon{-}Hyup Seo and Jae{-}Young Jang and Gi{-}Yeol Bae and Jin{-}Ku Kang}, title = {A 60 to 200MHz {SSCG} with approximate Hershey-Kiss modulation profile in 0.11{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {423--426}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406886}, doi = {10.1109/ISOCC.2012.6406886}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/OhPSJBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkAK12, author = {Sanghoon Park and Kwang{-}Ho Ahn and Ki{-}Jin Kim}, title = {An 880 / 1760 MHz tunable bandwidth active {RC} low-pass filter using high gain amplifier}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {455--457}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406894}, doi = {10.1109/ISOCC.2012.6406894}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkCTC12, author = {Gun Sang Park and Hyun Jin Choi and Nagakarthik Tumuganti and Jun Rim Choi}, title = {Verification of an efficient Match-line Sense Amplifier for the High Frequency Search Operation}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {462--465}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406896}, doi = {10.1109/ISOCC.2012.6406896}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkCTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkK12, author = {Sangdo Park and Taewhan Kim}, title = {Die matching algorithm for enhancing parametric yield of 3D ICs}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {143--146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407060}, doi = {10.1109/ISOCC.2012.6407060}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLPKRJJLYJCK12, author = {Jaeseok Park and Ingeol Lee and Young{-}Seok Park and Sung{-}Geun Kim and Kyungho Ryu and Dong{-}Hoon Jung and Kangwook Jo and Choong Keun Lee and Hongil Yoon and Seong{-}Ook Jung and Woo{-}Young Choi and Sungho Kang}, title = {Integration of dual channel timing formatter system for high speed memory test equipment}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {185--187}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407070}, doi = {10.1109/ISOCC.2012.6407070}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLPKRJJLYJCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLWS12, author = {Young{-}Kyun Park and Ji{-}Hoon Lim and Jae{-}Kyung Wee and Inchae Song}, title = {One-chip multi-output {SMPS} using a shared digital controller and a pseudo relaxation oscillating technique}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {513--516}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406909}, doi = {10.1109/ISOCC.2012.6406909}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkY12, author = {Jae{-}Hyoun Park and Hyung{-}Do Yoon}, title = {Design of {LED} driver using digital up/down counter}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {415--418}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406884}, doi = {10.1109/ISOCC.2012.6406884}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkYYL12, author = {Jeong{-}In Park and Jewong Yeon and Seung{-}Jun Yang and Hanho Lee}, title = {An ultra high-speed time-multiplexing Reed-Solomon-based {FEC} architecture}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {451--454}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406893}, doi = {10.1109/ISOCC.2012.6406893}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkYYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RahmaniLPMKT12, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Ka Lok Man and Youngmin Kim and Hannu Tenhunen}, title = {Partial-LastZ: An optimized hybridization technique for 3D NoC architecture enabling adaptive inter-layer communication}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {281--284}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407095}, doi = {10.1109/ISOCC.2012.6407095}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/RahmaniLPMKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RenZY12, author = {Ning Ren and Hao Zhang and Tsutomu Yoshihara}, title = {A {CMOS} voltage reference combining body effect with switched-current technique}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {92--95}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407047}, doi = {10.1109/ISOCC.2012.6407047}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/RenZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RheeKL12, author = {Chae{-}Eun Rhee and Hyun Kim and Hyuk{-}Jae Lee}, title = {An inter-frame macroblock schedule for memory access reduction in {H.264/AVC} bi-directional prediction}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {33--36}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406918}, doi = {10.1109/ISOCC.2012.6406918}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/RheeKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RhimCC12, author = {Jinsoo Rhim and Kwang{-}Chun Choi and Woo{-}Young Choi}, title = {A 10-Gb/s power and area efficient clock and data recovery circuit in 65-nm {CMOS} technology}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {104--107}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407050}, doi = {10.1109/ISOCC.2012.6407050}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/RhimCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RuckerH12, author = {Holger R{\"{u}}cker and Bernd Heinemann}, title = {SiGe BiCMOS technology for mm-wave systems}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {266--268}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407091}, doi = {10.1109/ISOCC.2012.6407091}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/RuckerH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RyooSK12, author = {Jihyun Ryoo and Seuk Son and Jaeha Kim}, title = {Design of low-power high-radix switch fabric with partially-activated input and output lines}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {227--230}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407081}, doi = {10.1109/ISOCC.2012.6407081}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/RyooSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SeoKAYK12, author = {Jin{-}Cheol Seo and Tae{-}Ho Kim and Taek{-}Joon An and Kwan Yoon and Jin{-}Ku Kang}, title = {A high-speed adaptive linear equalizer with {ISI} level detection using periodic training pattern}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {419--422}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406885}, doi = {10.1109/ISOCC.2012.6406885}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SeoKAYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ShenMLLC12, author = {Zhun Shen and Ka Lok Man and Chi{-}Un Lei and Eng Gee Lim and Joongho Choi}, title = {Assuring system reliability in wireless sensor networks via verification and validation}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {285--288}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407096}, doi = {10.1109/ISOCC.2012.6407096}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ShenMLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ShimKCM12, author = {Kyuho Shim and Woojoo Kim and Kwang{-}Hyun Cho and Byeong Min}, title = {System-level simulation acceleration for architectural performance analysis using hybrid virtual platform system}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {402--404}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407126}, doi = {10.1109/ISOCC.2012.6407126}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ShimKCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ShinCA12, author = {Jae{-}Hyeon Shin and Kang{-}Il Cho and Gil{-}Cho Ahn}, title = {A digitally enhanced low-distortion delta-sigma modulator for wideband application}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {108--111}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407051}, doi = {10.1109/ISOCC.2012.6407051}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ShinCA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SoleymanpourMR12, author = {Rahim Soleymanpour and Siamak Mohammadi and Hamed Rajabi}, title = {A synthesis algorithm for customized heterogeneous multi-processors}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {151--154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407062}, doi = {10.1109/ISOCC.2012.6407062}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SoleymanpourMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SunK12, author = {Yanan Sun and Volkan Kursun}, title = {{NP} dynamic {CMOS} resurrection with carbon nanotube field effect transistors}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {13--16}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406913}, doi = {10.1109/ISOCC.2012.6406913}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SunK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SunLCZZ12, author = {Hongbin Sun and Longjun Liu and Qiubo Chen and Baolu Zhai and Nanning Zheng}, title = {Design and implementation of a video display processing SoC for full {HD} {LCD} {TV}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {297--300}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407099}, doi = {10.1109/ISOCC.2012.6407099}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SunLCZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SunP12, author = {Lei Sun and Kong{-}Pang Pun}, title = {Low-offset comparator using capacitive self-calibration}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {412--414}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406883}, doi = {10.1109/ISOCC.2012.6406883}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SunP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/UchidaTTF12, author = {Mitsuya Uchida and Ittetsu Taniguchi and Hiroyuki Tomiyama and Masahiro Fukui}, title = {Energy-aware SA-based instruction scheduling for fine-grained power-gated {VLIW} processors}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {139--142}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407059}, doi = {10.1109/ISOCC.2012.6407059}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/UchidaTTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/UengC12, author = {Yeong{-}Luh Ueng and Chung{-}Chao Cheng}, title = {A study into high-throughput decoder architectures for high-rate {LDPC} codes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {347--350}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407112}, doi = {10.1109/ISOCC.2012.6407112}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/UengC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/VijayanagarLK12, author = {Krishna Rao Vijayanagar and Maziar Loghman and Joohee Kim}, title = {Refinement of depth maps generated by low-cost depth sensors}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {355--358}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407114}, doi = {10.1109/ISOCC.2012.6407114}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/VijayanagarLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/WangYDTKL12, author = {Haitao Wang and Kiat Seng Yeo and Anh{-}Tuan Do and Yung Sern Tan and Kai Kang and Zhenghao Lu}, title = {A 57{\(\sim\)}66GHz {CMOS} voltage-controlled oscillator using tunable differential inductor}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {383--386}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407121}, doi = {10.1109/ISOCC.2012.6407121}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/WangYDTKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/WellingKC12, author = {Pramila Welling and Sung Hoon Kim and Sang{-}Bock Cho}, title = {Brightness preserving contrast enhancement using polynomial histogram amendment}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {41--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406920}, doi = {10.1109/ISOCC.2012.6406920}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/WellingKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YiBSSP12, author = {Il{-}Min Yi and Seung{-}Jun Bae and Young{-}Soo Sohn and Jae{-}Yoon Sim and Hong{-}June Park}, title = {An on-chip {TSV} emulation using metal bar surrounded by metal ring to develop interface circuits}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {192--195}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407072}, doi = {10.1109/ISOCC.2012.6407072}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/YiBSSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YooLP12, author = {Hoyoung Yoo and Youngjoo Lee and In{-}Cheol Park}, title = {Low-latency area-efficient decoding architecture for shortened reed-solomon codes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {223--226}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407080}, doi = {10.1109/ISOCC.2012.6407080}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/YooLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YooSKPG12, author = {Sehoon Yoo and Sehyun Song and Kichul Kim and Chanwoo Park and Jungchul Gong}, title = {Multi-function unit for {LED} lighting}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {447--450}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406892}, doi = {10.1109/ISOCC.2012.6406892}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/YooSKPG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YuZCY12, author = {Luchen Yu and Yuan Zhu and Minjie Chen and Tsutomu Yoshihara}, title = {High efficiency multi-channel {LED} driver based on {SIMO} switch-mode converter}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {483--486}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406901}, doi = {10.1109/ISOCC.2012.6406901}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/YuZCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YuanC12, author = {Zhongyun Yuan and Jun Dong Cho}, title = {Redundant-dictionary based adaptive sampling for transient {ECG} signal measurement}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {84--87}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407045}, doi = {10.1109/ISOCC.2012.6407045}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/YuanC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YunJKK12, author = {Woojin Yun and Jongpil Jung and Kyungsu Kang and Chong{-}Min Kyung}, title = {Temperature-aware energy minimization of 3D-stacked {L2} {DRAM} cache through {DVFS}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {475--478}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406899}, doi = {10.1109/ISOCC.2012.6406899}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/YunJKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YunK12, author = {Younghwan Yun and Myoungsun Kim}, title = {A {WDR} method with low noise in digital circuit}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {81--83}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407044}, doi = {10.1109/ISOCC.2012.6407044}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/YunK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ZhangTLWCJJA12, author = {Yunlong Zhang and Qiang Tong and Li Li and Wei Wang and Ken Choi and JongEun Jang and Hyobin Jung and Si{-}Young Ahn}, title = {Automatic Register Transfer level {CAD} tool design for advanced clock gating and low power schemes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {21--24}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406915}, doi = {10.1109/ISOCC.2012.6406915}, timestamp = {Tue, 05 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ZhangTLWCJJA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ZhaoYZZC12, author = {Yan Zhao and Qingqing Yang and Xiaofang Zhou and Nianrong Zhou and Yufeng Cui}, title = {A smart platform with cognitive techniques for narrowband power line communication}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {301--304}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407100}, doi = {10.1109/ISOCC.2012.6407100}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ZhaoYZZC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ZhouSW12, author = {Leixin Zhou and Jin Sha and Zhongfeng Wang}, title = {Efficient {EMS} decoding for non-binary {LDPC} codes}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {339--342}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407110}, doi = {10.1109/ISOCC.2012.6407110}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ZhouSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2012, title = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6395852/proceeding}, isbn = {978-1-4673-2989-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.