Search dblp for Publications

export results for "toc:db/conf/iscas/iscas2024.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iscas/00010Z0LMZZ24,
  author       = {Zijian Chen and
                  Wei Sun and
                  Zicheng Zhang and
                  Ru Huang and
                  Fangfang Lu and
                  Xiongkuo Min and
                  Guangtao Zhai and
                  Wenjun Zhang},
  title        = {{FS-BAND:} {A} Frequency-Sensitive Banding Detector},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558429},
  doi          = {10.1109/ISCAS58744.2024.10558429},
  timestamp    = {Tue, 16 Jul 2024 11:51:22 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/00010Z0LMZZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0002024,
  author       = {Florian Mayer and
                  Christian Vogel},
  title        = {An Optimization-Based Approach to One-Bit Quantization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558238},
  doi          = {10.1109/ISCAS58744.2024.10558238},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0002024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0002HBLCAVBGM24,
  author       = {Milos Nikolic and
                  Ghouthi Boukli Hacene and
                  Ciaran Bannon and
                  Alberto Delmas Lascorz and
                  Matthieu Courbariaux and
                  Omar Mohamed Awad and
                  Isak Edo Vivancos and
                  Yoshua Bengio and
                  Vincent Gripon and
                  Andreas Moshovos},
  title        = {BitPruning: Learning Bitlengths for Aggressive and Accurate Quantization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558100},
  doi          = {10.1109/ISCAS58744.2024.10558100},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0002HBLCAVBGM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0002XYWL024,
  author       = {Zhong Zhang and
                  Zhangyuan Xie and
                  Qi Yu and
                  Kejun Wu and
                  Jing Li and
                  Ning Ning},
  title        = {An Adaptive Common-Mode Cancellation Biopotential Amplifier for Two-Electrode
                  Dynamic {ECG} Recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558648},
  doi          = {10.1109/ISCAS58744.2024.10558648},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0002XYWL024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0003SF24,
  author       = {Abdullah Alshehri and
                  Khaled N. Salama and
                  Hossein Fariborzi},
  title        = {A 19 fJ/op, Low-Offset StrongARM Latch Comparator for Low-Power High-Speed
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557840},
  doi          = {10.1109/ISCAS58744.2024.10557840},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0003SF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0004TZY0Z24,
  author       = {Xin Cheng and
                  Jialiang Tang and
                  Zhiqiang Zhang and
                  Wenxin Yu and
                  Ning Jiang and
                  Jinjia Zhou},
  title        = {Decoupled Multi-teacher Knowledge Distillation based on Entropy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558141},
  doi          = {10.1109/ISCAS58744.2024.10558141},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0004TZY0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0005DR0L24,
  author       = {Zhen Gao and
                  Jie Deng and
                  Pedro Reviriego and
                  Shanshan Liu and
                  Fabrizio Lombardi},
  title        = {Reducing the Energy Dissipation of Large Language Models (LLMs) with
                  Approximate Memories},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558275},
  doi          = {10.1109/ISCAS58744.2024.10558275},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0005DR0L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0006R24,
  author       = {Di Li and
                  Susanto Rahardja},
  title        = {Unsupervised Image Enhancement via Contrastive Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558284},
  doi          = {10.1109/ISCAS58744.2024.10558284},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0006R24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0006WPFM24,
  author       = {Kai Cui and
                  Fantao Wang and
                  Ba Peng and
                  Xiaoya Fan and
                  Yanzhao Ma},
  title        = {A 6.78MHz Wireless Power Transfer System With Efficient Global Hysteresis
                  Control for Implantable Medical Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558411},
  doi          = {10.1109/ISCAS58744.2024.10558411},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0006WPFM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/00100L24,
  author       = {Hongyu Wang and
                  Xiangyu Zhang and
                  Xin Lou},
  title        = {A Multi-scale Block PatchMatch-based Unified Algorithm for Efficient
                  6-D Vision Processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558614},
  doi          = {10.1109/ISCAS58744.2024.10558614},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/00100L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0014CHHW24,
  author       = {Yu Liu and
                  Chao Chen and
                  Yixuan Huang and
                  Qiao He and
                  Jiang Wu},
  title        = {A 140-dB Dynamic Range Digital {PPG} Front-end {IC} with An Integrated
                  MoSe2 Photodiode for Wearable Non-invasive Pulse Oximetry},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558618},
  doi          = {10.1109/ISCAS58744.2024.10558618},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0014CHHW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0023ZWFLZ24,
  author       = {Wei Jia and
                  Kai Zhang and
                  Yang Wang and
                  Tianliang Fu and
                  Yue Li and
                  Li Zhang},
  title        = {Geometry Transform of Intra-frames in {ECM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557832},
  doi          = {10.1109/ISCAS58744.2024.10557832},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0023ZWFLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0040JWCXLX24,
  author       = {Chen Chen and
                  Fangzhen Jiang and
                  Peng Wang and
                  Yongli Chen and
                  Yan Xiao and
                  Fule Li and
                  Xiang Xie},
  title        = {A Reconfigurable Continuous-Time Delta-Sigma Modulator Structure Using
                  Hybrid Loop Filter and Time-Interleaved Quantizer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558512},
  doi          = {10.1109/ISCAS58744.2024.10558512},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0040JWCXLX24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdelaalPUKO24,
  author       = {Ahmed Abdelaal and
                  Michael Pietzko and
                  Jonathan Ungeth{\"{u}}m and
                  John G. Kauffman and
                  Maurits Ortmanns},
  title        = {Using Negative-R Assisted Integrators in Wide-band Delta-Sigma Modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558442},
  doi          = {10.1109/ISCAS58744.2024.10558442},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdelaalPUKO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdelhafizFR24,
  author       = {Shahenda M. Abdelhafiz and
                  Mohammed E. Fouda and
                  Ahmed G. Radwan},
  title        = {Battery Modeling with Mittag-Leffler Function},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558304},
  doi          = {10.1109/ISCAS58744.2024.10558304},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdelhafizFR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AberraAKKNYJSH24,
  author       = {Aida Aberra and
                  Muhammad Abrar Akram and
                  Soon{-}Jae Kweon and
                  Jongmin Kim and
                  Kim{-}Hoang Nguyen and
                  Gichan Yun and
                  Minkyu Je and
                  Yong{-}Ak Song and
                  Sohmyung Ha},
  title        = {A Hybrid High-voltage Regulating Charge Pump for Electrokinetic Concentration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558227},
  doi          = {10.1109/ISCAS58744.2024.10558227},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AberraAKKNYJSH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AdionoSJMSSP24,
  author       = {Trio Adiono and
                  Erwin Setiawan and
                  Michael Jonathan and
                  Rahmat Mulyawan and
                  Nana Sutisna and
                  Infall Syafalni and
                  Wasiu O. Popoola},
  title        = {{FPGA} Implementation of {SFO} for OFDM-based Network Enabled Li-Fi
                  System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557957},
  doi          = {10.1109/ISCAS58744.2024.10557957},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AdionoSJMSSP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhrarXPFAA24,
  author       = {Alireza Ahrar and
                  Jianxiong Xu and
                  Mohammad Reza Pazhouhandeh and
                  Antoine Frapp{\'{e}} and
                  Mostafa Rahimi Azghadi and
                  Amirali Amirsoleimani},
  title        = {Toward Accurate Analysis of Channel Charge Injection in {SAR} ADCs'
                  Capacitive DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557986},
  doi          = {10.1109/ISCAS58744.2024.10557986},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AhrarXPFAA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlahmadiKIB24,
  author       = {Sara Alahmadi and
                  Kasem Khalil and
                  Haytham Idriss and
                  Magdy A. Bayoumi},
  title        = {Fortifying Strong PUFs: {A} Modeling Attack-Resilient Approach Using
                  Weak {PUF} for IoT Device Security},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558469},
  doi          = {10.1109/ISCAS58744.2024.10558469},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlahmadiKIB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AliAWAAA24,
  author       = {Noor Faris Ali and
                  Alyazia Aldhaheri and
                  Bethel Wodajo and
                  Meera Alshamsi and
                  Shaikha Alshamsi and
                  Mohamed Atef},
  title        = {Non-Invasive Continuous Real-Time Blood Glucose Estimation Using {PPG}
                  Features-based Convolutional Autoencoder with TinyML Implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558453},
  doi          = {10.1109/ISCAS58744.2024.10558453},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AliAWAAA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AliyevA24,
  author       = {Ilkin Aliyev and
                  Tosiron Adegbija},
  title        = {{PULSE:} Parametric Hardware Units for Low-power Sparsity-Aware Convolution
                  Engine},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558062},
  doi          = {10.1109/ISCAS58744.2024.10558062},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AliyevA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AminifarKJST24,
  author       = {Amin Aminifar and
                  Soheil Khooyooz and
                  Anice Jahanjoo and
                  Salar Shakibhamedan and
                  Nima TaheriNejad},
  title        = {RecogNoise: Machine-Learning-Based Recognition of Noisy Segments in
                  Electrocardiogram Signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558670},
  doi          = {10.1109/ISCAS58744.2024.10558670},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AminifarKJST24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnZDK24,
  author       = {Byung{-}Kwon An and
                  Xueyong Zhang and
                  Anh Tuan Do and
                  Tony Tae{-}Hyoung Kim},
  title        = {Time-based Sensing with Linear Current-to-Time Conversion for Multi-level
                  Resistive Memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558025},
  doi          = {10.1109/ISCAS58744.2024.10558025},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AnZDK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AngYG024,
  author       = {Jim Darrell Ang and
                  Li Yang and
                  Roberto G{\'{o}}mez{-}Garc{\'{\i}}a and
                  Xi Zhu},
  title        = {A Millimeter-Wave Input-Reflectionless Amplifier in 45-nm {SOI} {CMOS}
                  Technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557953},
  doi          = {10.1109/ISCAS58744.2024.10557953},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AngYG024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnsariO24,
  author       = {Anaam Ansari and
                  Tokunbo Ogunfunmi},
  title        = {A Multi-Stride Convolution Acceleration Algorithm for CNNs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557906},
  doi          = {10.1109/ISCAS58744.2024.10557906},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AnsariO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Aparicio-Tellez24,
  author       = {Ra{\'{u}}l Aparicio{-}T{\'{e}}llez and
                  Miguel Garcia{-}Bosque and
                  Guillermo D{\'{\i}}ez{-}Se{\~{n}}orans and
                  Santiago Celma},
  title        = {Enhancing Identifiability of PUFs with Built-in Compensation through
                  Nonlinear Transformations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558553},
  doi          = {10.1109/ISCAS58744.2024.10558553},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Aparicio-Tellez24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Aparicio-Tellez24a,
  author       = {Ra{\'{u}}l Aparicio{-}T{\'{e}}llez and
                  Miguel Garcia{-}Bosque and
                  Guillermo D{\'{\i}}ez{-}Se{\~{n}}orans and
                  Santiago Celma},
  title        = {Novel {PUF} based on Generalized Galois Ring Oscillators with 10\({}^{\mbox{-15}}\)
                  {EER} and 0.53{\%} {BER}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558365},
  doi          = {10.1109/ISCAS58744.2024.10558365},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Aparicio-Tellez24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AprileBM24,
  author       = {Antonio Aprile and
                  Edoardo Bonizzoni and
                  Piero Malcovati},
  title        = {On the Segmentation of Gigasample Rate Current Steering DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557830},
  doi          = {10.1109/ISCAS58744.2024.10557830},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AprileBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AraiNYI24,
  author       = {Shintaro Arai and
                  Ryusei Nishimura and
                  Keisuke Yasui and
                  Daisuke Ito},
  title        = {Implementation of Robust Image Sensor Communication Using Light-Trail
                  Surface by Rotating Propeller {LED} Transmitter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558568},
  doi          = {10.1109/ISCAS58744.2024.10558568},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AraiNYI24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ArenaFNMGP24,
  author       = {Paolo Arena and
                  Carlo Famoso and
                  Alessia Li Noce and
                  Alberto Motta and
                  Igor Galati and
                  Luca Patan{\`{e}}},
  title        = {A new motor-neuron circuit implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558363},
  doi          = {10.1109/ISCAS58744.2024.10558363},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ArenaFNMGP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ArifAKME24,
  author       = {Asim Arif and
                  Adedayo Adegbile and
                  Qiraat Khan and
                  Hamda Memon and
                  Ibrahim M. Elfadel},
  title        = {Live Demonstration: {W3M} Wearable Weight and Walk Monitoring System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557932},
  doi          = {10.1109/ISCAS58744.2024.10557932},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ArifAKME24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AsaharaK24,
  author       = {Hiroyuki Asahara and
                  Takuji Kousaka},
  title        = {Neimark-Sacker bifurcation in {DC-DC} converter with photovoltaic
                  module},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558667},
  doi          = {10.1109/ISCAS58744.2024.10558667},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AsaharaK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AshH24,
  author       = {Andrew Ash and
                  John Hu},
  title        = {Improving High School Math Engagement with Circuit and Transistor
                  Examples},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558645},
  doi          = {10.1109/ISCAS58744.2024.10558645},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AshH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AtaKJCYAFJ24,
  author       = {Sezin Kircali Ata and
                  Zhi{-}Hui Kong and
                  Anusha James and
                  Lile Cai and
                  Kiat Seng Yeo and
                  Khin Mi Mi Aung and
                  Chuan Sheng Foo and
                  Ashish James},
  title        = {The Initialization Factor: Understanding its Impact on Active Learning
                  for Analog Circuit Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558675},
  doi          = {10.1109/ISCAS58744.2024.10558675},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AtaKJCYAFJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BabaeeG024,
  author       = {Ramin Babaee and
                  Shahab Oveis Gharan and
                  Martin Bouchard},
  title        = {Current-Steering {DAC} Architecture Design for Amplitude Mismatch
                  Error Minimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558417},
  doi          = {10.1109/ISCAS58744.2024.10558417},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BabaeeG024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaiWZHLL24,
  author       = {Haoyu Bai and
                  Dong Wang and
                  Sihao Zhang and
                  Han Huang and
                  Junhua Liu and
                  Huailin Liao},
  title        = {A 0.12mm\({}^{\mbox{2}}\) K/Ka Band {RX} Front-end in 40-nm {CMOS}
                  with Inductor-Less {LO} Generators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558199},
  doi          = {10.1109/ISCAS58744.2024.10558199},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaiWZHLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BalS24,
  author       = {Malyaban Bal and
                  Abhronil Sengupta},
  title        = {Equilibrium-Based Learning Dynamics in Spiking Architectures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558225},
  doi          = {10.1109/ISCAS58744.2024.10558225},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BalS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaliyanTS24,
  author       = {Shantanu Singh Baliyan and
                  Anshul Thakur and
                  Laxmeesha Somappa},
  title        = {On-chip Data Compression Techniques for High-Density Implantable Neural
                  Recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558383},
  doi          = {10.1109/ISCAS58744.2024.10558383},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaliyanTS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BanaheneG24,
  author       = {Kwabena Oppong Banahene and
                  Randall L. Geiger},
  title        = {Compact Temperature Sensor with Voltage-Ratio Current-Independent
                  Output for Reference Independent Data Conversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558256},
  doi          = {10.1109/ISCAS58744.2024.10558256},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BanaheneG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BapatSC24,
  author       = {Ketan Atul Bapat and
                  Shashank S and
                  Mrityunjoy Chakraborty},
  title        = {Hard Thresholding based Stochastic Robust Algorithm for Multiple Measurement
                  Vectors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558567},
  doi          = {10.1109/ISCAS58744.2024.10558567},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BapatSC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BasavarajuRR24,
  author       = {Mahati Basavaraju and
                  Vinay Rayapati and
                  Madhav Rao},
  title        = {{POCO:} Hardware Characterization of Activation Functions using {POSIT-CORDIC}
                  Architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558487},
  doi          = {10.1109/ISCAS58744.2024.10558487},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BasavarajuRR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Basso-BertGMLD24,
  author       = {Yanis Basso{-}Bert and
                  William Guicquero and
                  Anca Molnos and
                  Romain Lemaire and
                  Antoine Dupret},
  title        = {On Class-Incremental Learning for Fully Binarized Convolutional Neural
                  Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558661},
  doi          = {10.1109/ISCAS58744.2024.10558661},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Basso-BertGMLD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BatabyalZ24,
  author       = {Anik Batabyal and
                  Rajesh H. Zele},
  title        = {A Compact 25-32 GHz High {IMRR} Double Quadrature {CMOS} Transmitter
                  for 5G Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558505},
  doi          = {10.1109/ISCAS58744.2024.10558505},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BatabyalZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BazziJHKFE24,
  author       = {Jinane Bazzi and
                  Rachid Jamil and
                  Dana El Hajj and
                  Rouwaida Kanj and
                  Mohammed E. Fouda and
                  Ahmed M. Eltawil},
  title        = {Reconfigurable Precision SRAM-based Analog In-memory-compute Macro
                  Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558662},
  doi          = {10.1109/ISCAS58744.2024.10558662},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BazziJHKFE24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BekhelifiB24,
  author       = {Okba Bekhelifi and
                  Nasr{-}Eddine Berrached},
  title        = {On Optimizing Deep Neural Networks Inference on CPUs for Brain-Computer
                  Interfaces using Inference Engines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558617},
  doi          = {10.1109/ISCAS58744.2024.10558617},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BekhelifiB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BelwafiAAS24,
  author       = {Kais Belwafi and
                  Hamdan Alshamsi and
                  Ashfaq Ahmed and
                  Abdulhadi Shoufan},
  title        = {Enhancing Circuit Authentication through Secure Isolation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558551},
  doi          = {10.1109/ISCAS58744.2024.10558551},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BelwafiAAS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BertoliniN24,
  author       = {Alessandro Bertolini and
                  Germano Nicollini},
  title        = {A Two-Stage {CMOS} Amplifier Performing High Degree of Stability for
                  All Capacitive Load},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558480},
  doi          = {10.1109/ISCAS58744.2024.10558480},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BertoliniN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhanushaliS24,
  author       = {Sumukh Prashant Bhanushali and
                  Arindam Sanyal},
  title        = {Enhancing Performance of {SAR} {ADC} through Supervised Machine Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558198},
  doi          = {10.1109/ISCAS58744.2024.10558198},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BhanushaliS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BharatiAS24,
  author       = {Subrato Bharati and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {FewShotEEG Learning and Classification for Brain- Computer Interface},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557927},
  doi          = {10.1109/ISCAS58744.2024.10557927},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BharatiAS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BharatiAS24a,
  author       = {Subrato Bharati and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {MAGNet: {A} Convolutional Neural Network with Multi-Scale and Global
                  Attention Modules for Medical Image Segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558364},
  doi          = {10.1109/ISCAS58744.2024.10558364},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BharatiAS24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhattacharyyaGC24,
  author       = {Kaushik Bhattacharyya and
                  Minxiang Gong and
                  Muya Chang and
                  Xin Zhang and
                  Arijit Raychowdhury},
  title        = {A 24/48V to 0.8V-1.2V All-Digital Synchronous Buck Converter with
                  Package-Integrated GaN power FETs and 180nm Silicon Controller {IC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558150},
  doi          = {10.1109/ISCAS58744.2024.10558150},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BhattacharyyaGC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhuwalMG24,
  author       = {Nidhee Bhuwal and
                  Manoj Kumar Majumder and
                  Deepika Gupta},
  title        = {Implementation of Floating Charged Memristor Emulator utilizing {DVCCTA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558254},
  doi          = {10.1109/ISCAS58744.2024.10558254},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BhuwalMG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BiLSC24,
  author       = {Chuang Bi and
                  Siyong Luo and
                  Heyang Shan and
                  Lin Cheng},
  title        = {Modeling and Prediction of Common-Mode Electromagnetic Interference
                  for GaN-Based {LLC} Resonant Converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558246},
  doi          = {10.1109/ISCAS58744.2024.10558246},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BiLSC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BiruduKPJSGYV24,
  author       = {Venu Birudu and
                  Tirumalarao Kadiyam and
                  Koteswararao Penumalli and
                  Aditya Japa and
                  Sushma Nirmala Sambatur and
                  Chongyan Gu and
                  Siva Sankar Yellampalli and
                  Ramesh Vaddi},
  title        = {Negative Capacitance {FET} 8T {SRAM} Computing in-Memory based Logic
                  Design for Energy Efficient {AI} Edge Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558683},
  doi          = {10.1109/ISCAS58744.2024.10558683},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BiruduKPJSGYV24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BizzarriBGL24,
  author       = {Federico Bizzarri and
                  Angelo Brambilla and
                  Davide del Giudice and
                  Daniele Linaro},
  title        = {Fast Simulation of Circuits With Recursive Elements: Application to
                  a {BESS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558575},
  doi          = {10.1109/ISCAS58744.2024.10558575},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BizzarriBGL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BizzarriBGL24a,
  author       = {Federico Bizzarri and
                  Angelo Brambilla and
                  Davide del Giudice and
                  Daniele Linaro},
  title        = {An Active-Perturbation Method to Estimate Online Inertia and Damping
                  in Electric Power Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557935},
  doi          = {10.1109/ISCAS58744.2024.10557935},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BizzarriBGL24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BoniGBMC24,
  author       = {Andrea Boni and
                  Edoardo Graiani and
                  Valentina Bianchi and
                  Ilaria De Munari and
                  Michele Caselli},
  title        = {A Wireless Biosensor Node for Real-Time Crop Monitoring in Precision
                  Agriculture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558574},
  doi          = {10.1109/ISCAS58744.2024.10558574},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BoniGBMC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BooijFB24,
  author       = {Thomas Booij and
                  Marco Fattori and
                  Peter Baltus},
  title        = {Fast Mutual-Heating Prediction Method for Integrated Electronics and
                  Photonics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558207},
  doi          = {10.1109/ISCAS58744.2024.10558207},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BooijFB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BouazzaPMD24,
  author       = {Tayeb H. C. Bouazza and
                  Dang{-}Ki{\`{e}}n Germain Pham and
                  Reda Mohellebi and
                  Patricia Desgreys},
  title        = {Spectral Structure Analysis of FFT-based Digital Predistortion for
                  Wideband 5G Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558459},
  doi          = {10.1109/ISCAS58744.2024.10558459},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BouazzaPMD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BouhlilaLBBM24,
  author       = {Jihene Bouhlila and
                  Felix Last and
                  Rainer Buchty and
                  Mladen Berekovic and
                  Saleh Mulhem},
  title        = {Machine Learning for {SRAM} Stability Analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558564},
  doi          = {10.1109/ISCAS58744.2024.10558564},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BouhlilaLBBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BraunBMRKK24,
  author       = {Marco Braun and
                  Adrian Becker and
                  Mirko Meuter and
                  Simon Roesler and
                  Kevin Kollek and
                  Anton Kummert},
  title        = {Deep Learning Method for Doppler Disambiguation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558002},
  doi          = {10.1109/ISCAS58744.2024.10558002},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BraunBMRKK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BruynPDBYOB24,
  author       = {Kieran De Bruyn and
                  Tinus Pannier and
                  Jakob Declercq and
                  Laurens Breyne and
                  Xin Yin and
                  Peter Ossieur and
                  Johan Bauwelinck},
  title        = {Linearity Enhancement Analysis of Breakdown Voltage Doubler and Demonstration
                  in 60 GBd SiGe BiCMOS Driver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558547},
  doi          = {10.1109/ISCAS58744.2024.10558547},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BruynPDBYOB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BuiTCA24,
  author       = {Duy{-}Hieu Bui and
                  Duc{-}Manh Tran and
                  Daniele D. Caviglia and
                  Orazio Aiello},
  title        = {Fully Synthesizable Dynamic Voltage Comparator across technology nodes
                  and scaled supply voltages},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557872},
  doi          = {10.1109/ISCAS58744.2024.10557872},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BuiTCA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BuonannoPZNRMJS24,
  author       = {Luca Buonanno and
                  Giacomo Pedretti and
                  Lei Zhao and
                  Aishwarya Natarajan and
                  Todd Richmond and
                  John Moon and
                  Rand Jean and
                  Xia Sheng and
                  Ron M. Roth and
                  Jim Ignowski},
  title        = {Memristive Quaternary Content-Addressable Memories for Implementing
                  Boolean Functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558504},
  doi          = {10.1109/ISCAS58744.2024.10558504},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BuonannoPZNRMJS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaiCZJWCH24,
  author       = {Siqi Cai and
                  Yuzhou Chen and
                  Wenhui Zhang and
                  Zeyuan Jin and
                  Gang Wang and
                  Hao Chen and
                  Guanghui He},
  title        = {A High-Throughput Lossless Image Compression Engine Optimized for
                  Compression Ratio},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558499},
  doi          = {10.1109/ISCAS58744.2024.10558499},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaiCZJWCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaiKGAA24,
  author       = {Jack Cai and
                  Muhammad Ahsan Kaleem and
                  Roman Genov and
                  Mostafa Rahimi Azghadi and
                  Amirali Amirsoleimani},
  title        = {In-Memory Transformer Self-Attention Mechanism Using Passive Memristor
                  Crossbar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558182},
  doi          = {10.1109/ISCAS58744.2024.10558182},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaiKGAA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaiKWAGA24,
  author       = {Zhengyu Cai and
                  Hamid Rahimian Kalatehbali and
                  Ben Walters and
                  Mostafa Rahimi Azghadi and
                  Roman Genov and
                  Amirali Amirsoleimani},
  title        = {Advancing Image Classification with Phase-coded Ultra-Efficient Spiking
                  Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557875},
  doi          = {10.1109/ISCAS58744.2024.10557875},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaiKWAGA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaiLW24,
  author       = {Haoxin Cai and
                  Bin Li and
                  Zhaohui Wu},
  title        = {A 12V-to-1{\textasciitilde}1.8V Tri-Path Series-Capacitor Converter
                  with Reduced Inductor Current and Full-Range Duty Cycle for Point-of-Loads
                  Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558357},
  doi          = {10.1109/ISCAS58744.2024.10558357},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaiLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaiYNLXL24,
  author       = {Ye Cai and
                  Zonglin Yang and
                  Liwei Ni and
                  Junfeng Liu and
                  Biwei Xie and
                  Xingquan Li},
  title        = {Parallel {AIG} Refactoring via Conflict Breaking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558523},
  doi          = {10.1109/ISCAS58744.2024.10558523},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaiYNLXL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CalvoBGRD24,
  author       = {Stefano Calvo and
                  Mattia Barezzi and
                  Umberto Garlando and
                  Roberto La Rosa and
                  Danilo Demarchi},
  title        = {An Energy Autonomous and Battery-Free Plant's Electrical Impedance
                  Measurement System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558068},
  doi          = {10.1109/ISCAS58744.2024.10558068},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CalvoBGRD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CampbellS24,
  author       = {Benedicto James Sitou Campbell and
                  Sudarshan K. Srinivasan},
  title        = {Formal Verification For Cyclic Quantum Walk Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558021},
  doi          = {10.1109/ISCAS58744.2024.10558021},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CampbellS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Camunas-MesaSL24,
  author       = {Luis A. Camu{\~{n}}as{-}Mesa and
                  Teresa Serrano{-}Gotarredona and
                  Bernab{\'{e}} Linares{-}Barranco},
  title        = {Mismatch calibration strategy for query-driven {AER} read-out in a
                  memristor-CMOS neuromorphic chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558239},
  doi          = {10.1109/ISCAS58744.2024.10558239},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Camunas-MesaSL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoL24,
  author       = {Liwei Cao and
                  Xiao Liu},
  title        = {A Fully Integrated Charge Pump with Double-Loop Control and Differentiator-based
                  Transient Enhancer for Neural Stimulation Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557964},
  doi          = {10.1109/ISCAS58744.2024.10557964},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoLCWCZW024,
  author       = {Ziyi Cao and
                  Tiansong Li and
                  Shaoguo Cui and
                  Kejun Wu and
                  Yan Chen and
                  Longwei Zhong and
                  Hongkui Wang and
                  Li Yu},
  title        = {{TPARN:} {A} Network for Enhancing Synthetic Video Quality After 3D-HEVC
                  Encoding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558436},
  doi          = {10.1109/ISCAS58744.2024.10558436},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoLCWCZW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoTHZ024,
  author       = {Chengwei Cao and
                  Yiwen Tang and
                  Xiongchuan Huang and
                  Zhuo Zou and
                  Lirong Zheng},
  title        = {A Fully Synthesizable Capacitorless Digital {LDO} for Distributed
                  Power Delivery Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558609},
  doi          = {10.1109/ISCAS58744.2024.10558609},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoTHZ024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CardwellPSSKMAI24,
  author       = {Suma George Cardwell and
                  Karan Patel and
                  Catherine D. Schuman and
                  J. Darby Smith and
                  Jaesuk Kwon and
                  Andrew Maicke and
                  Jared Arzate and
                  Jean Anne C. Incorvia},
  title        = {Device Codesign using Reinforcement Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558165},
  doi          = {10.1109/ISCAS58744.2024.10558165},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CardwellPSSKMAI24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Casanueva-Morato24,
  author       = {Daniel Casanueva{-}Morato and
                  Pablo Lopez{-}Osorio and
                  Enrique Pi{\~{n}}ero{-}Fuentes and
                  Juan Pedro Dominguez{-}Morales and
                  Fernando Perez{-}Pe{\~{n}}a and
                  Alejandro Linares{-}Barranco},
  title        = {Integrating a hippocampus memory model into a neuromorphic robotic-arm
                  for trajectory navigation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558362},
  doi          = {10.1109/ISCAS58744.2024.10558362},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Casanueva-Morato24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaselliB24,
  author       = {Michele Caselli and
                  Andrea Boni},
  title        = {A Write System for Compact {RRAM} Memory Arrays Based on {F-1T1R}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558418},
  doi          = {10.1109/ISCAS58744.2024.10558418},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaselliB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CeballosCRCSSWG24,
  author       = {Jose Luis Ceballos and
                  Fulvio Ciciotti and
                  Christopher Rogi and
                  Alessandro Caspani and
                  Luca Sant and
                  Dietmar Straeussnigg and
                  Andreas Wiesbauer and
                  Simon Gruenberger and
                  Chin Yeong Koh and
                  Chern Sia Phillip Lim},
  title        = {A 70dBA-460{\(\mu\)}W Companding Digital Silicon Microphone with Programmable
                  Acoustic Overload Point and {MEMS} Asymmetry Robustness},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558024},
  doi          = {10.1109/ISCAS58744.2024.10558024},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CeballosCRCSSWG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CembranoR24,
  author       = {Gustavo Li{\~{n}}{\'{a}}n Cembrano and
                  Jos{\'{e}} M. De La Rosa},
  title        = {Live Demonstration: Automated Design of Analog and Mixed-Signal Circuits
                  Using Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558173},
  doi          = {10.1109/ISCAS58744.2024.10558173},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CembranoR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CembranoR24a,
  author       = {Gustavo Li{\~{n}}{\'{a}}n Cembrano and
                  Jos{\'{e}} M. De La Rosa},
  title        = {Live Demonstration: Using ANNs to Predict the Evolution of Spectrum
                  Occupancy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558320},
  doi          = {10.1109/ISCAS58744.2024.10558320},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CembranoR24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChandrakarGM24,
  author       = {Shivangi Chandrakar and
                  Deepika Gupta and
                  Manoj Kumar Majumder},
  title        = {Crosstalk and Power Analysis in Tapered based Composite Cu-CNT {TSV}
                  in 3D {IC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558624},
  doi          = {10.1109/ISCAS58744.2024.10558624},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChandrakarGM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangCCCLLL24,
  author       = {Chia{-}Hsiang Chang and
                  Pang{-}Cheng Chen and
                  Hsiang{-}Chi Cheng and
                  Chung{-}Hung Chen and
                  Chun{-}Yen Lin and
                  Chih{-}Ting Lin and
                  Tsung{-}Hsien Lin},
  title        = {A VCO-Based Readout {ADC} for Quasi-Static Sensing Applications in
                  3-{\(\mathrm{\mu}\)}m Low-Temperature Poly-Silicon Thin-Film Transistor
                  Technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558274},
  doi          = {10.1109/ISCAS58744.2024.10558274},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangCCCLLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangLK24,
  author       = {Guozhen Chang and
                  Yang Liu and
                  Wing{-}Hung Ki},
  title        = {A 24V-to-1V Hybrid Converter With Adaptive Dead Time Control for Point-of-Load
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558126},
  doi          = {10.1109/ISCAS58744.2024.10558126},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangLK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChatzinikolaouC24,
  author       = {Theodoros Panagiotis Chatzinikolaou and
                  Ioannis K. Chatzipaschalis and
                  Emmanouil Stavroulakis and
                  Evangelos Tsipas and
                  Iosif{-}Angelos Fyrigos and
                  Antonio Rubio and
                  Georgios Ch. Sirakoulis},
  title        = {Variability Tolerance Analysis of Memristive Wave Cellular Automata},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558112},
  doi          = {10.1109/ISCAS58744.2024.10558112},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChatzinikolaouC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Chatzipaschalis24,
  author       = {Ioannis K. Chatzipaschalis and
                  Theodoros Panagiotis Chatzinikolaou and
                  Emmanouil Stavroulakis and
                  Evangelos Tsipas and
                  Iosif{-}Angelos Fyrigos and
                  Antonio Rubio and
                  Georgios Ch. Sirakoulis},
  title        = {Low-Power Collision Avoidance Memristive Circuit for Swarms of Miniature
                  Robots},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558394},
  doi          = {10.1109/ISCAS58744.2024.10558394},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Chatzipaschalis24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChavanSR24,
  author       = {Akash Chavan and
                  Pranav Sinha and
                  Sunny Raj},
  title        = {In-memory Machine Learning using Adaptive Multivariate Decision Trees
                  and Memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557852},
  doi          = {10.1109/ISCAS58744.2024.10557852},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChavanSR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChefCTG24,
  author       = {Samuel Chef and
                  Chung Tah Chua and
                  Jing Yun Tay and
                  Chee Lip Gan},
  title        = {Explainable automated data estimation in Logic State Imaging of embedded
                  {SRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558524},
  doi          = {10.1109/ISCAS58744.2024.10558524},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChefCTG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Chen0Y24,
  author       = {Cai Chen and
                  Yi Wang and
                  Kim{-}Hui Yap},
  title        = {Multi-scale Attentive Fusion Network for Remote Sensing Image Change
                  Captioning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558583},
  doi          = {10.1109/ISCAS58744.2024.10558583},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Chen0Y24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenCCHLLLHL24,
  author       = {Yi{-}Fan Chen and
                  Yu{-}Jen Chang and
                  Ching{-}Te Chiu and
                  Ming{-}Long Huang and
                  Geng{-}Ming Liang and
                  Chao{-}Lin Lee and
                  Jenq{-}Kuen Lee and
                  Ping{-}Yu Hsieh and
                  Wei{-}Chih Lai},
  title        = {Low {DRAM} Memory Access and Flexible Dataflow Convolutional Neural
                  Network Accelerator based on {RISC-V} Custom Instruction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558316},
  doi          = {10.1109/ISCAS58744.2024.10558316},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenCCHLLLHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenCCZTW24,
  author       = {Yinuo Chen and
                  Lu Cao and
                  Hong Chen and
                  Liang Zou and
                  Cong Tang and
                  Junyu Wang},
  title        = {A 23.8-bit ENOB, {\(\pm\)}5V Input Range Readout Circuit for High
                  Precision Sensor Applications with 173.7dB-FoM},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558408},
  doi          = {10.1109/ISCAS58744.2024.10558408},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenCCZTW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenH024,
  author       = {Bu Chen and
                  Zhangcheng Huang and
                  Qi Liu},
  title        = {A 128{\texttimes}128 {CMOS} {SPAD} Receiver for 500Mbps Free Space
                  Optical Communication with Column-wise Decoding and Fast Spot Tracking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558314},
  doi          = {10.1109/ISCAS58744.2024.10558314},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenH024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLHXK24,
  author       = {Renhe Chen and
                  Albert Lee and
                  Yongqi Hu and
                  Hao Xu and
                  Xufeng Kou},
  title        = {A 12-bit 75 MS/s Asynchronous {SAR} {ADC} with Gain-Boosting Dynamic
                  Comparator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558594},
  doi          = {10.1109/ISCAS58744.2024.10558594},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLHXK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLL24,
  author       = {Yu{-}Guang Chen and
                  Tzong{-}Ying Lee and
                  Yi{-}Ting Lin},
  title        = {Enhancing Stability in CRPs: {A} Novel Parallel Scan-Chain {PUF} Design
                  Considering Aging Effects},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558312},
  doi          = {10.1109/ISCAS58744.2024.10558312},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLSC24,
  author       = {Xiaojie Chen and
                  Weicong Lu and
                  Tao Su and
                  Dihu Chen},
  title        = {SHP-FsNTT: {A} Scalable and High-Performance {NTT} Accelerator Based
                  on the Four-step Algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558123},
  doi          = {10.1109/ISCAS58744.2024.10558123},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLSC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLXWC0Z024,
  author       = {Yi Chen and
                  Bosheng Liu and
                  Yongqi Xu and
                  Jigang Wu and
                  Xiaoming Chen and
                  Peng Liu and
                  Qingguo Zhou and
                  Yinhe Han},
  title        = {Accelerating Frequency-domain Convolutional Neural Networks Inference
                  using FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558358},
  doi          = {10.1109/ISCAS58744.2024.10558358},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLXWC0Z024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenMZZT24,
  author       = {Weiqiang Chen and
                  Lingxin Meng and
                  Yining Zhao and
                  Menglian Zhao and
                  Zhichao Tan},
  title        = {A 0.5V 723nW 84.3dB-SNDR Dynamic Zoom {ADC} with CLS-Assisted Capacitively-Biased
                  {FIA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557899},
  doi          = {10.1109/ISCAS58744.2024.10557899},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenMZZT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenPHJZZZL24,
  author       = {Changyan Chen and
                  Rui Pan and
                  Huajie Huang and
                  Xuya Jiang and
                  Qing Zhang and
                  Yuhang Zhang and
                  Jian Zhao and
                  Yongfu Li},
  title        = {Live Demonstration: {A} Wearable Cardiopulmonary Healthcare System
                  for Real-term Monitoring of Multi-modal Physiological Signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558335},
  doi          = {10.1109/ISCAS58744.2024.10558335},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenPHJZZZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenPHZJZZL24,
  author       = {Changyan Chen and
                  Rui Pan and
                  Huajie Huang and
                  Qing Zhang and
                  Xuya Jiang and
                  Yuhang Zhang and
                  Jian Zhao and
                  Yongfu Li},
  title        = {{PSCS:} {A} Physiological Sound Compression System Based on Compressive
                  Sensing with Self-Adaptive Compression Ratio and Optimized {DCT}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558535},
  doi          = {10.1109/ISCAS58744.2024.10558535},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenPHZJZZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenS0L24,
  author       = {Qinyu Chen and
                  Congyi Sun and
                  Chang Gao and
                  Shih{-}Chii Liu},
  title        = {Epilepsy Seizure Detection and Prediction using an Approximate Spiking
                  Convolutional Transformer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558341},
  doi          = {10.1109/ISCAS58744.2024.10558341},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenS0L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenS24,
  author       = {Kun{-}Chih Jimmy Chen and
                  Wei{-}Ren Syu},
  title        = {High Reliable and Accurate Stochastic Computing-based Artificial Neural
                  Network Architecture Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558634},
  doi          = {10.1109/ISCAS58744.2024.10558634},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenS24a,
  author       = {Yu{-}An Chen and
                  Chung{-}An Shen},
  title        = {The Design of a Low-latency Tensor Decomposition Algorithm and {VLSI}
                  Architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557909},
  doi          = {10.1109/ISCAS58744.2024.10557909},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenS24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenS24b,
  author       = {Ziyi Chen and
                  Ioannis Savidis},
  title        = {Block Configuration Algorithms for a Reconfigurable Analog Array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558121},
  doi          = {10.1109/ISCAS58744.2024.10558121},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenS24b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenTC24,
  author       = {Xin Felix Chen and
                  Chi K. Tse and
                  Qianhong Chen},
  title        = {Design of Wireless In-Wheel Motor Drive with {S/CP} Compensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557989},
  doi          = {10.1109/ISCAS58744.2024.10557989},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenTH24,
  author       = {Oscal T.{-}C. Chen and
                  Cheng{-}Hong Tsai and
                  Manh{-}Hung Ha},
  title        = {Automatic Personality Recognition via XLNet with Refined Highway and
                  Switching Module for Chatbot},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558116},
  doi          = {10.1109/ISCAS58744.2024.10558116},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenTH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenW24,
  author       = {Kun{-}Chih Jimmy Chen and
                  Leiqi Wang},
  title        = {Q-learning Assisted LASSO-based Thermal Sensor Placement for Thermal-aware
                  Multi-core Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558360},
  doi          = {10.1109/ISCAS58744.2024.10558360},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWG24,
  author       = {Hong Chen and
                  Nan Wang and
                  Xiang Gao},
  title        = {Analysis of Random Clock Jitter Effect in Time-Interleaved DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557938},
  doi          = {10.1109/ISCAS58744.2024.10557938},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWTHLYS24,
  author       = {Jinbo Chen and
                  Hui Wu and
                  Fengshi Tian and
                  Qiming Hou and
                  Siyu Lin and
                  Jie Yang and
                  Mohamad Sawan},
  title        = {A Low-Power Level-Crossing Analog-to-Spike Converter Intended for
                  Neuromorphic Biomedical Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558258},
  doi          = {10.1109/ISCAS58744.2024.10558258},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWTHLYS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWZHCYH24,
  author       = {Hao Chen and
                  Xuyan Wang and
                  Jinming Zhang and
                  Xiao Han and
                  Siqi Cai and
                  Yaoyao Ye and
                  Guanghui He},
  title        = {{MEIN:} {A} Multicast-Efficient Interconnect Network for Multi-Chiplet
                  {DNN} Accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558656},
  doi          = {10.1109/ISCAS58744.2024.10558656},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWZHCYH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenYY24,
  author       = {Juhua Chen and
                  Linxin Yang and
                  Wenbin Ye},
  title        = {A FPGA-based Energy-Efficient Processor for Radar-based Continuous
                  Fall Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558171},
  doi          = {10.1109/ISCAS58744.2024.10558171},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenYY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZDLZA024,
  author       = {Zhuoyu Chen and
                  Shengming Zhou and
                  Pingcheng Dong and
                  Ke Li and
                  Wenyue Zhang and
                  Fengwei An and
                  Lei Chen},
  title        = {Live Demonstration: {A} 1920{\texttimes}1080 129fps 4.3pJ/pixel Stereo-Matching
                  Processor for Low-power Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558420},
  doi          = {10.1109/ISCAS58744.2024.10558420},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZDLZA024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZTCW24,
  author       = {Yinuo Chen and
                  Liang Zou and
                  Cong Tang and
                  Hong Chen and
                  Junyu Wang},
  title        = {A 172.5dB-FoM Hybrid {CT/DT} Incremental {\(\Sigma\)}{\unicode{8710}}Modulator
                  for Direct Current-to-Digital Conversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558527},
  doi          = {10.1109/ISCAS58744.2024.10558527},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZTCW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZYXKC0G24,
  author       = {Juncheng Chen and
                  Han Zhang and
                  Zishuo Yang and
                  Yicheng Xu and
                  Nay Aung Kyaw and
                  Kwen{-}Siong Chong and
                  Zhiping Lin and
                  Bah{-}Hwee Gwee},
  title        = {A Novel Non-profiling Side-Channel Attack on Masked Devices with Connectivity
                  Matrix},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558059},
  doi          = {10.1109/ISCAS58744.2024.10558059},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZYXKC0G24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengBQ24,
  author       = {Longyu Cheng and
                  Xujin Ba and
                  Yanyun Qu},
  title        = {DehazeDiff: When Conditional Guidance Meets Diffusion Models for Image
                  Dehazing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558580},
  doi          = {10.1109/ISCAS58744.2024.10558580},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengBQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengCWZLW24,
  author       = {Xi Cheng and
                  Shu Cao and
                  Shangmei Wang and
                  Xiaoyang Zeng and
                  Wenhong Li and
                  Mingyu Wang},
  title        = {A 1024-Neuron 1M-Synapse Event-Driven {SNN} Accelerator for {DVS}
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558416},
  doi          = {10.1109/ISCAS58744.2024.10558416},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengCWZLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengLZYWZK24,
  author       = {Xiang Cheng and
                  Huihua Liu and
                  Jingzhi Zhang and
                  Yiming Yu and
                  Yunqiu Wu and
                  Chenxi Zhao and
                  Kai Kang},
  title        = {A Fast Transient Response Capless {LDO} Regulator Achieving -78 dB
                  of {PSR} Up to 2 MHz},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558458},
  doi          = {10.1109/ISCAS58744.2024.10558458},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengLZYWZK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengZLZC24,
  author       = {Qiuyu Cheng and
                  Yakun Zhou and
                  Chentao Liang and
                  Zuofeng Zhang and
                  Jienan Chen},
  title        = {A Hardware Efficient Matrix Multiplications Scheme with Dynamic Precisions
                  and Dimensions for Massive {MIMO} Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558352},
  doi          = {10.1109/ISCAS58744.2024.10558352},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengZLZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Cheon0CCSCHJ24,
  author       = {Song{-}I Cheon and
                  Seonghyun Park and
                  Haidam Choi and
                  Yebin Choi and
                  Minho Seok and
                  Young{-}Ho Cho and
                  Sohmyung Ha and
                  Minkyu Je},
  title        = {Ultrahigh-G Accelerometer Readout {IC} with Adaptive Gain Path for
                  Shock Resilience},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558652},
  doi          = {10.1109/ISCAS58744.2024.10558652},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Cheon0CCSCHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CheriviralaW24,
  author       = {Yaswanth K. Cherivirala and
                  David D. Wentzloff},
  title        = {A Capacitor-less Hybrid {LDO} for Low Frequency Supply Noise Suppression
                  Achieving 99.87{\%} Efficiency and 3.32ps Response Time in 65nm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558242},
  doi          = {10.1109/ISCAS58744.2024.10558242},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CheriviralaW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiangKGKKW24,
  author       = {Ching{-}Wen Chiang and
                  Neda Khiabani and
                  Donglin Gao and
                  Chien{-}Nan Kuo and
                  Yen{-}Cheng Kuan and
                  Chung{-}Tse Michael Wu},
  title        = {A Ka- to W-Band Tightly Coupled Array Antenna-in-Package Using Glass
                  {IPD} for Ultrawideband mmWave Wireless Communication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558344},
  doi          = {10.1109/ISCAS58744.2024.10558344},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiangKGKKW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiouSHSC24,
  author       = {Lih{-}Yih Chiou and
                  Hong{-}Ming Shih and
                  Shun{-}Hsiu Hsu and
                  Zu{-}Cheng Sheng and
                  Soon{-}Jyh Chang},
  title        = {Design and Analysis of an Energy-efficient Duo-Core SRAM-based Compute-in-Memory
                  Accelerator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558046},
  doi          = {10.1109/ISCAS58744.2024.10558046},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiouSHSC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiuH24,
  author       = {Hao{-}Chi Chiu and
                  Vita Pi{-}Ho Hu},
  title        = {Improved {RF} Performance with Buried Power Rail and Contact over
                  Active Gate in Nanosheet FETs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558338},
  doi          = {10.1109/ISCAS58744.2024.10558338},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiuH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiJHKPY24,
  author       = {Jiwon Choi and
                  Wooyoung Jo and
                  Seongyon Hong and
                  Beomseok Kwon and
                  Wonhoon Park and
                  Hoi{-}Jun Yoo},
  title        = {A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation
                  with Patch Similarity-based Sparsity Augmentation and Text-based Mixed-Precision},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558026},
  doi          = {10.1109/ISCAS58744.2024.10558026},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiJHKPY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiK24,
  author       = {Dahun Choi and
                  Hyun Kim},
  title        = {{ARC:} Adaptive Rounding and Clipping Considering Gradient Distribution
                  for Deep Convolutional Neural Network Training},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558001},
  doi          = {10.1109/ISCAS58744.2024.10558001},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiSYOCHJ24,
  author       = {Haidam Choi and
                  Ji{-}Hoon Suh and
                  Gichan Yun and
                  Sein Oh and
                  Song{-}I Cheon and
                  Sohmyung Ha and
                  Minkyu Je},
  title        = {A Low-power {\(\Delta\)}-{\(\Delta\)}{\(\Sigma\)}-based Bio-impedance
                  Readout {IC} with Capacitive-feedback Baseline Cancellation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557863},
  doi          = {10.1109/ISCAS58744.2024.10557863},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiSYOCHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChongCGBTYDND24,
  author       = {Yi Sheng Chong and
                  Hongyu Cao and
                  Wang Ling Goh and
                  Patrick Bore and
                  Yuanzheng Paul Tan and
                  Yung Szen Yap and
                  Rainer Dumke and
                  Vishnu P. Nambiar and
                  Anh Tuan Do},
  title        = {Quantum Readout Processing Accelerator with a {CORDIC} Core at Cryogenic
                  Temperature},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558257},
  doi          = {10.1109/ISCAS58744.2024.10558257},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChongCGBTYDND24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChongHPND24,
  author       = {Yi Sheng Chong and
                  Rakshith Harish and
                  Rajesh Chandrasekhara Panicker and
                  Vishnu P. Nambiar and
                  Anh Tuan Do},
  title        = {A 420 {GOPS/W} {CGRA} with a Configurable {MAC} and Dynamic Truncation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558192},
  doi          = {10.1109/ISCAS58744.2024.10558192},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChongHPND24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChuangLHTCCW24,
  author       = {Yu{-}Chuan Chuang and
                  Ming{-}Guang Lin and
                  Chi{-}Tse Huang and
                  Chieh{-}Fang Teng and
                  Cheng{-}Yang Chang and
                  Yi{-}Ta Chen and
                  An{-}Yeu Andy Wu},
  title        = {A 40nm 24.6TOPS/W Scalable EfficientDet Processor for Object Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558521},
  doi          = {10.1109/ISCAS58744.2024.10558521},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChuangLHTCCW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CostamagnaMCM24,
  author       = {Andrea Costamagna and
                  Alan Mishchenko and
                  Satrajit Chatterjee and
                  Giovanni De Micheli},
  title        = {An Enhanced Resubstitution Algorithm for Area-Oriented Logic Optimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558264},
  doi          = {10.1109/ISCAS58744.2024.10558264},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CostamagnaMCM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CostanzaPMTR24,
  author       = {Mario Costanza and
                  Antonino Pagano and
                  Samuel Margueron and
                  Ilenia Tinnirello and
                  Roberto La Rosa},
  title        = {An Energy-Autonomous and Battery-Free Resistive Sensor using a Time-Domain
                  to Digital Conversion with Bluetooth Low Energy connectivity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558483},
  doi          = {10.1109/ISCAS58744.2024.10558483},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CostanzaPMTR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CourouveSDO24,
  author       = {Pierre Courouve and
                  Ali Al Shakoush and
                  Cedric Dehos and
                  Laurent Ouvry},
  title        = {Artificial-Intelligence-Driven {RF} Carrier Aggregation Filter For
                  6G Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557865},
  doi          = {10.1109/ISCAS58744.2024.10557865},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CourouveSDO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DaiWZFZJCWYC24,
  author       = {Zhenhui Dai and
                  Jiawei Wang and
                  Yi Zhong and
                  Kunyu Feng and
                  Cheng Zhao and
                  Yuanyuan Jiang and
                  Peiyu Chen and
                  Yuan Wang and
                  Dunshan Yu and
                  Xiaoxin Cui},
  title        = {An Energy-Efficient Differential Frame Convolutional Accelerator with
                  on-Chip Fusion Storage Architecture and Pixel-Level Pipeline Data
                  Flow},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557877},
  doi          = {10.1109/ISCAS58744.2024.10557877},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DaiWZFZJCWYC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DanQW24,
  author       = {Binqiang Dan and
                  Hui Qian and
                  Zhongfeng Wang},
  title        = {A High Dynamic Range Feedback Compensation Front-End for Unlimited
                  Sampling {ASDM} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558650},
  doi          = {10.1109/ISCAS58744.2024.10558650},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DanQW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasRBBBRMBM24,
  author       = {Sudipta Das and
                  Samuel Riedel and
                  Marco Bertuletti and
                  Luca Benini and
                  Moritz Brunion and
                  Julien Ryckaert and
                  James Myers and
                  Dwaipayan Biswas and
                  Dragomir Milojevic},
  title        = {3D Partitioning with Pipeline Optimization for Low-Latency Memory
                  Access in Many-Core SoCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558687},
  doi          = {10.1109/ISCAS58744.2024.10558687},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasRBBBRMBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DeeBM24,
  author       = {Alana Marie Dee and
                  Katherine Bennett and
                  Sajjad Moazeni},
  title        = {A Mixed-Signal Compute-in-Memory Architecture for Solving All-to-All
                  Connected {MAXCUT} Problems with Sub-{\(\mathrm{\mu}\)}s Time-to-Solution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558548},
  doi          = {10.1109/ISCAS58744.2024.10558548},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DeeBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DhimanS24,
  author       = {Saurabh Dhiman and
                  Hitesh Shrimali},
  title        = {A 12.7 Bit Accurate and 5.3nJ{\(\cdot\)}{\(\mathrm{\mu}\)}V\({}^{\mbox{2}}\){\(\cdot\)}ns
                  Comparator with Dynamic-cum-Body Bias Technique in {SOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558620},
  doi          = {10.1109/ISCAS58744.2024.10558620},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DhimanS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DiasGC24,
  author       = {Diogo Dias and
                  Jo{\~{a}}o Goes and
                  Tiago L. Costa},
  title        = {A PVT-Robust Open-loop Gm-Ratio {\texttimes}16 Gain Residue Amplifier
                  for {\textgreater}1 GS/s Pipelined ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558154},
  doi          = {10.1109/ISCAS58744.2024.10558154},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DiasGC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingLLVFH24,
  author       = {Yuqi Ding and
                  Haobo Li and
                  Xiangpeng Liang and
                  Marija Vaskeviciute and
                  Daniele Faccio and
                  Hadi Heidari},
  title        = {A Physical Reservoir Computing Processor for ECG-to-PCG Signals Prediction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557860},
  doi          = {10.1109/ISCAS58744.2024.10557860},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DingLLVFH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingWCLLLH24,
  author       = {Wenhua Ding and
                  Yufei Wang and
                  Tingyu Chen and
                  Mengna Luo and
                  Jinpeng Lei and
                  Yaofeng Liang and
                  Zhicong Huang},
  title        = {Machine Learning Based Design of Magnetic Coupler for Wireless Power
                  Transfer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558175},
  doi          = {10.1109/ISCAS58744.2024.10558175},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DingWCLLLH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingWCZLW24,
  author       = {Zewei Ding and
                  Shangmei Wang and
                  Yujie Cai and
                  Xiaoyang Zeng and
                  Wenhong Li and
                  Mingyu Wang},
  title        = {A Lossless Compression Algorithm with Hardware Implementation for
                  Dynamic Vision Sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558375},
  doi          = {10.1109/ISCAS58744.2024.10558375},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DingWCZLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongH024,
  author       = {Ke Dong and
                  Kejie Huang and
                  Bo Wang},
  title        = {Tetris-SDK: Efficient Convolution Layer Mapping with Adaptive Windows
                  for Fast In Memory Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558042},
  doi          = {10.1109/ISCAS58744.2024.10558042},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DongH024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongZHGSLYZ24,
  author       = {Zhicheng Dong and
                  Xiaoteng Zhao and
                  Weitan Huang and
                  Yuan Gao and
                  Depeng Sun and
                  Shubin Liu and
                  Lihong Yang and
                  Zhangming Zhu},
  title        = {A 30.5-to-31 GHz Sampling {PLL} With Double-Edge Sampling {PD} and
                  Implict Common-Mode {VCO} Scoring 39.69-fs {RMS} Jitter and -253.6-dB
                  FoM in a 0.047mm\({}^{\mbox{2}}\) Area},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558529},
  doi          = {10.1109/ISCAS58744.2024.10558529},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DongZHGSLYZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DorigoWGWMK24,
  author       = {Daniel De Dorigo and
                  Roman Willaredt and
                  Christoph Grandauer and
                  Daniel Wendler and
                  Yiannos Manoli and
                  Matthias Kuhl},
  title        = {A Compact Low-Power Bidirectional Two-Wire Interface for Digital Neural
                  Probes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558276},
  doi          = {10.1109/ISCAS58744.2024.10558276},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DorigoWGWMK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DriemeyerMWBO24,
  author       = {Bjoern Driemeyer and
                  Holger Mandry and
                  David{-}Peter Wiens and
                  Joachim Becker and
                  Maurits Ortmanns},
  title        = {Optimisation of {RO-PUF} Design Parameters for Minimising the Effective
                  Area per {PUF} Bit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558478},
  doi          = {10.1109/ISCAS58744.2024.10558478},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DriemeyerMWBO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DriziB24,
  author       = {Hocine Kaddour Drizi and
                  Mounir Boukadoum},
  title        = {{CNN} Model with Transfer learning and Data Augmentation for Obstacle
                  Detection in Rail Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558372},
  doi          = {10.1109/ISCAS58744.2024.10558372},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DriziB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuCWZS24,
  author       = {Gewangzi Du and
                  Liwei Chen and
                  Tongshuai Wu and
                  Xiong Zheng and
                  Gang Shi},
  title        = {Code Property Graph based Cross-Domain Vulnerability Detection via
                  Deep Fused Feature},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558497},
  doi          = {10.1109/ISCAS58744.2024.10558497},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuCWZS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuK024,
  author       = {Congpeng Du and
                  Seok{-}Bum Ko and
                  Hao Zhang},
  title        = {Energy Efficient FPGA-Based Binary Transformer Accelerator for Edge
                  Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558631},
  doi          = {10.1109/ISCAS58744.2024.10558631},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuK024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuanLYWSZHW24,
  author       = {Zhenhui Duan and
                  Bingqiang Liu and
                  Zehua Yin and
                  Jipeng Wang and
                  Zixuan Shen and
                  Xupeng Zhang and
                  Zaisheng He and
                  Chao Wang},
  title        = {Live Demonstration: {A} High-frame-rate and Energy-efficient {SIFT}
                  Feature Extraction Accelerator Based SoC Design for {AMR} Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558161},
  doi          = {10.1109/ISCAS58744.2024.10558161},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuanLYWSZHW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DumoulinHJV24,
  author       = {Joren Dumoulin and
                  Pouya Houshmand and
                  Vikram Jain and
                  Marian Verhelst},
  title        = {Enabling Efficient Hardware Acceleration of Hybrid Vision Transformer
                  (ViT) Networks at the Edge},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558587},
  doi          = {10.1109/ISCAS58744.2024.10558587},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DumoulinHJV24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuongHP24,
  author       = {Phuc{-}Phan Duong and
                  Trong{-}Thuc Hoang and
                  Cong{-}Kha Pham},
  title        = {A Strong 4 {\texttimes} 4 S-Box Using an Enhanced Tent Map},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558340},
  doi          = {10.1109/ISCAS58744.2024.10558340},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuongHP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EashaB24,
  author       = {Easha and
                  Gaurab Banerjee},
  title        = {Advancing In-Home Gait Monitoring: {A} Feasibility Study of Upper
                  Limb Swing Analysis Using {FMCW} Radar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558390},
  doi          = {10.1109/ISCAS58744.2024.10558390},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EashaB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EbrahimiG24,
  author       = {Zobair Ebrahimi and
                  Benoit Gosselin},
  title        = {A Low-Power Predictive Sampling {PPG} Sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557982},
  doi          = {10.1109/ISCAS58744.2024.10557982},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EbrahimiG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EdwardsPCCK24,
  author       = {Jordan Edwards and
                  Luke Parker and
                  Suma George Cardwell and
                  Frances S. Chance and
                  Scott Koziol},
  title        = {Neural-Inspired Dendritic Multiplication Using a Reconfigurable Analog
                  Integrated Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557895},
  doi          = {10.1109/ISCAS58744.2024.10557895},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EdwardsPCCK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ElangovanVSSGB24,
  author       = {Sivakumar Elangovan and
                  Porus Vangala and
                  Yeshwanth Sunnapu and
                  Khalid Shaikh and
                  Udayan Ganguly and
                  Maryam Shojaei Baghini},
  title        = {Novel {SRAM} based Temporary Memory for {PVT} Variation Tolerant Analog
                  In-Memory Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558595},
  doi          = {10.1109/ISCAS58744.2024.10558595},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ElangovanVSSGB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Elfadel24,
  author       = {Ibrahim Abe M. Elfadel},
  title        = {On Various Extensions of the Shannon-Hagelbarger Concavity Theorem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558226},
  doi          = {10.1109/ISCAS58744.2024.10558226},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Elfadel24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EllahiKMACJJCH24,
  author       = {Karam Ellahi and
                  Soon{-}Jae Kweon and
                  Asra Malik and
                  Muhammad Abrar Akram and
                  Song{-}I Cheon and
                  Yoontae Jung and
                  Minkyu Je and
                  Hammad M. Cheema and
                  Sohmyung Ha},
  title        = {A High-throughput Impedance Measurement {IC} Using Synchronous Cyclic
                  Integration Technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558201},
  doi          = {10.1109/ISCAS58744.2024.10558201},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EllahiKMACJJCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EnsingerJ0BA24,
  author       = {Andrew Ensinger and
                  Ramin Javadi and
                  Xiaohui Lin and
                  Bella Bose and
                  Tejasvi Anand},
  title        = {Minimum Power Point Design of Inverter Based Continuous Time Linear
                  Equalizer {(CTLE)}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558083},
  doi          = {10.1109/ISCAS58744.2024.10558083},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EnsingerJ0BA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EnzHDT24,
  author       = {Christian C. Enz and
                  Hung{-}Chi Han and
                  Corentin D{\'{e}}lignac and
                  Thierry Taris},
  title        = {A Comprehensive Output Conductance Model Valid in All Regions of Inversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558500},
  doi          = {10.1109/ISCAS58744.2024.10558500},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EnzHDT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ErcanXZLYZ24,
  author       = {Renas Ercan and
                  Yunjia Xia and
                  Yunyi Zhao and
                  Rui C. V. Loureiro and
                  Shufan Yang and
                  Hubin Zhao},
  title        = {A Real-Time Machine Learning Module for Motion Artifact Detection
                  in fNIRS},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557996},
  doi          = {10.1109/ISCAS58744.2024.10557996},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ErcanXZLYZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fan0ZYL024,
  author       = {Haodong Fan and
                  Liang Chang and
                  Junlu Zhou and
                  Xi Yang and
                  Shuisheng Lin and
                  Jun Zhou},
  title        = {An Ultra-Low Power Time-Domain based {SNN} Processor for {ECG} Classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558014},
  doi          = {10.1109/ISCAS58744.2024.10558014},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Fan0ZYL024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanFCS24,
  author       = {Haonan Fan and
                  Zhongyuan Fang and
                  Minggang Chen and
                  Weifeng Sun},
  title        = {A CMOS-Integrated 23.88-ppm/{\textdegree} C, 6.825-{\(\mathrm{\mu}\)}W
                  Voltage Reference with Offset-Self-Cancellation for Portable Biomedical
                  Equipment Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558702},
  doi          = {10.1109/ISCAS58744.2024.10558702},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanFCS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanQSF24,
  author       = {Wangchen Fan and
                  Qinsong Qian and
                  Weifeng Sun and
                  Zhongyuan Fang},
  title        = {A High-Switching-Frequency Multi-Mode Four-Switch Buck-Boost Converter
                  Empowered by a 400-MHz Bandwidth Two-Stage Operational Amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558576},
  doi          = {10.1109/ISCAS58744.2024.10558576},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanQSF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fanals-i-Batllori24,
  author       = {Lloren{\c{c}} Fanals{-}i{-}Batllori and
                  Namit Mishra and
                  Lorenzo Rota and
                  Aldo Pena{-}Perez},
  title        = {A Simple Zero Average Switching Energy Differential {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558488},
  doi          = {10.1109/ISCAS58744.2024.10558488},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Fanals-i-Batllori24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FangTYS24,
  author       = {Chaoming Fang and
                  Fengshi Tian and
                  Jie Yang and
                  Mohamad Sawan},
  title        = {Accelerating BPTT-Based {SNN} Training with Sparsity-Aware and Pipelined
                  Architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558101},
  doi          = {10.1109/ISCAS58744.2024.10558101},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FangTYS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FarahbakhshHKS24,
  author       = {Amirreza Farahbakhsh and
                  Seyedmehdi Hosseini and
                  Sajjad Kachuee and
                  Mohammad Sharifkhani},
  title        = {Rapid Emulation of Approximate {DNN} Accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558108},
  doi          = {10.1109/ISCAS58744.2024.10558108},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FarahbakhshHKS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FarooqAQKCH24,
  author       = {Muhammad Haris Farooq and
                  Muhammad Abrar Akram and
                  Shirin Qaisar and
                  Soon{-}Jae Kweon and
                  Hammad M. Cheema and
                  Sohmyung Ha},
  title        = {A Tri-loop Fast-transient Digital {LDO} with Adaptive-gain Control
                  and Fine-loop Freezer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558004},
  doi          = {10.1109/ISCAS58744.2024.10558004},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FarooqAQKCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FasolinoVLBRL24,
  author       = {Andrea Fasolino and
                  Paola Vitolo and
                  Rosalba Liguori and
                  Luigi Di Benedetto and
                  Alfredo Rubino and
                  Gian Domenico Licciardo},
  title        = {Dynamically Adaptive Accumulator for in-sensor {ANN} Hardware Accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558699},
  doi          = {10.1109/ISCAS58744.2024.10558699},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FasolinoVLBRL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FengWJS024,
  author       = {Jing Feng and
                  Mei Wen and
                  Xin Ju and
                  Junzhong Shen and
                  Yang Guo},
  title        = {Enhancing the {PE} Utilization for Multi-Precision Systolic Array
                  via Optimizing Computation Latency},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558684},
  doi          = {10.1109/ISCAS58744.2024.10558684},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FengWJS024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerroVLGBBS24,
  author       = {Elena Ferro and
                  Athanasios Vasilopoulos and
                  Corey Lammie and
                  Manuel Le Gallo and
                  Luca Benini and
                  Irem Boybat and
                  Abu Sebastian},
  title        = {A Precision-Optimized Fixed-Point Near-Memory Digital Processing Unit
                  for Analog In-Memory Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558286},
  doi          = {10.1109/ISCAS58744.2024.10558286},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerroVLGBBS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FlemmingWW24,
  author       = {Jesko Flemming and
                  Bernhard Wicht and
                  Pascal Witte},
  title        = {A {DAC} Sharing and Linearization Technique for Time-Interleaved Incremental
                  Delta-Sigma ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557987},
  doi          = {10.1109/ISCAS58744.2024.10557987},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FlemmingWW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FuenteCPRS24,
  author       = {L{\'{e}}o De La Fuente and
                  Jean{-}Fr{\'{e}}d{\'{e}}ric Christmann and
                  Manuel Pezzin and
                  Matthias Remars and
                  Olivier Sentieys},
  title        = {A Hardware Instruction Generation Mechanism for Energy-Efficient Computational
                  Memories},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557870},
  doi          = {10.1109/ISCAS58744.2024.10557870},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FuenteCPRS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FujitaW24,
  author       = {Takahiro Fujita and
                  Kazuyuki Wada},
  title        = {A Low-Power Lock-in Amplifier Suitable for Implementation on a Programmable
                  System on-Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558669},
  doi          = {10.1109/ISCAS58744.2024.10558669},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FujitaW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FukutaUN24,
  author       = {Kento Fukuta and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Associative Memory Function Using Coupled Oscillators with Sparse
                  Coupling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558213},
  doi          = {10.1109/ISCAS58744.2024.10558213},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FukutaUN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FurukawaSHNM24,
  author       = {Yuta Furukawa and
                  Yuki Sasaki and
                  Daisuke Hisano and
                  Yu Nakayama and
                  Kazuki Maruta},
  title        = {Selective Diversity Reception in Underwater Optical Camera Communication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558089},
  doi          = {10.1109/ISCAS58744.2024.10558089},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FurukawaSHNM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GalaponA24,
  author       = {Fredrick Angelo R. Galapon and
                  Anastacia B. Alvarez},
  title        = {A Hyperdimensional Computing Architecture with 4.4x Energy Efficiency
                  in 65nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557853},
  doi          = {10.1109/ISCAS58744.2024.10557853},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GalaponA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GanjimalaM24,
  author       = {Pavan Kumar Ganjimala and
                  Subrahmanyam Mula},
  title        = {A proportionate type block-oriented functional link adaptive filter
                  for sparse nonlinear systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558290},
  doi          = {10.1109/ISCAS58744.2024.10558290},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GanjimalaM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoC24,
  author       = {Feifan Gao and
                  Pak Kwong Chan},
  title        = {A 0.5-V Feedback-based {CMOS} Buffer with Rail-to-rail Operating Range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558501},
  doi          = {10.1109/ISCAS58744.2024.10558501},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoCYHP24,
  author       = {Zong{-}Lin Gao and
                  Cheng{-}Wei Chen and
                  Yi{-}Chen Yao and
                  Cheng{-}Yuan Ho and
                  Wen{-}Hsiao Peng},
  title        = {Conditional Variational Autoencoders for Hierarchical B-frame Coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558111},
  doi          = {10.1109/ISCAS58744.2024.10558111},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoCYHP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoLLWJZ24,
  author       = {Shengzhe Gao and
                  Shi Li and
                  Xiangzhen Li and
                  Hao Wang and
                  Zhihua Jian and
                  Cheng Zha},
  title        = {A New Design of All-pass {IIR} Filters based Two-channel Quadrature
                  Mirror Filter Bank},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557898},
  doi          = {10.1109/ISCAS58744.2024.10557898},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoLLWJZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoMLSLCZ24,
  author       = {Yixuan Gao and
                  Xiongkuo Min and
                  Xiaohong Liu and
                  Lei Sun and
                  Yonglin Luo and
                  Zuowei Cao and
                  Guangtao Zhai},
  title        = {Calculating Color Differences of Images via Siamese Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558454},
  doi          = {10.1109/ISCAS58744.2024.10558454},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoMLSLCZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoZSLDLMZ24,
  author       = {Hengjian Gao and
                  Kaiwei Zhang and
                  Wei Sun and
                  Chunyi Li and
                  Huiyu Duan and
                  Xiaohong Liu and
                  Xiongkuo Min and
                  Guangtao Zhai},
  title        = {PrefIQA: Human Preference Learning for AI-generated Image Quality
                  Assessment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558022},
  doi          = {10.1109/ISCAS58744.2024.10558022},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoZSLDLMZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Garcia-LestaPP024,
  author       = {Daniel Garc{\'{\i}}a{-}Lesta and
                  F. Pardo and
                  {\'{O}}scar Pereira{-}Rial and
                  V{\'{\i}}ctor M. Brea and
                  P. L{\'{o}}pez and
                  Diego Cabello},
  title        = {Live Demonstration: {A} Mixed-Mode Signal {CMOS} Chip for Hyperdimensional
                  Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558160},
  doi          = {10.1109/ISCAS58744.2024.10558160},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Garcia-LestaPP024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeYLLH24,
  author       = {Hanchen Ge and
                  Canjun Yuan and
                  Yaofeng Liang and
                  Jinpeng Lei and
                  Zhicong Huang},
  title        = {Modeling of {DC-DC} Converters with Neural Ordinary Differential Equations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558441},
  doi          = {10.1109/ISCAS58744.2024.10558441},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GeYLLH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GengLLIW24,
  author       = {Qingdian Geng and
                  Yan Liang and
                  Zhenzhou Lu and
                  Herbert Ho{-}Ching Iu and
                  Guangyi Wang},
  title        = {Double locally active memristor-based inductor-free chaotic circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558563},
  doi          = {10.1109/ISCAS58744.2024.10558563},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GengLLIW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeorgePJ24,
  author       = {Elizabeth George and
                  Sruthi Pallathuvalappil and
                  Alex Pappachen James},
  title        = {Smart Clothing using Antenna and Memristive {ANN}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558107},
  doi          = {10.1109/ISCAS58744.2024.10558107},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GeorgePJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhonemT24,
  author       = {Ahmed Zaky Ghonem and
                  Eslam Yahya Tawfik},
  title        = {{ASIC} Implementation of Efficient 512-Neuron 256K-Synapses Digital
                  Neuromorphic Processor with On-Chip Encoding in 22nmFDX},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558701},
  doi          = {10.1109/ISCAS58744.2024.10558701},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhonemT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GibertiniFMCKC24,
  author       = {Paolo Gibertini and
                  Luca Fehlings and
                  Thomas Mikolajick and
                  Elisabetta Chicca and
                  David Kappel and
                  Erika Covi},
  title        = {Coincidence Detection with an Analog Spiking Neuron Exploiting Ferroelectric
                  Polarization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558196},
  doi          = {10.1109/ISCAS58744.2024.10558196},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GibertiniFMCKC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GirolettiRV24,
  author       = {Simone Giroletti and
                  Lodovico Ratti and
                  Carla Vacchi},
  title        = {Pseudo-Differential Time-to-Amplitude Converter for {LGAD} Based Particle
                  Detectors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558573},
  doi          = {10.1109/ISCAS58744.2024.10558573},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GirolettiRV24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Gomez-MerchanLF24,
  author       = {Rub{\'{e}}n G{\'{o}}mez{-}Merch{\'{a}}n and
                  Juan A. Le{\~{n}}ero{-}Bardallo and
                  Pablo Fern{\'{a}}ndez{-}Peramo and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {A Discrete Approach to Dynamic Vision with Single-Photon Detectors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558273},
  doi          = {10.1109/ISCAS58744.2024.10558273},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Gomez-MerchanLF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Gong0HW024,
  author       = {Bin Gong and
                  Ke Chen and
                  Pengfei Huang and
                  Bi Wu and
                  Weiqiang Liu},
  title        = {Most Significant One-Driven Shifting Dynamic Efficient Multipliers
                  for Large Language Models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557881},
  doi          = {10.1109/ISCAS58744.2024.10557881},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Gong0HW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GongAGA24,
  author       = {Andy Gong and
                  Mostafa Rahimi Azghadi and
                  Roman Genov and
                  Amirali Amirsoleimani},
  title        = {{NURODE:} In-Memory Crossbar Core for Hodgkin-Huxley Model ODE-Based
                  Computations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558124},
  doi          = {10.1109/ISCAS58744.2024.10558124},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GongAGA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GongDZJYWC24,
  author       = {Junlong Gong and
                  Wei Deng and
                  Fuyuan Zhao and
                  Haikun Jia and
                  Wenjing Ye and
                  Ruichen Wan and
                  Baoyong Chi},
  title        = {A 24.3-to-44.8 GHz Reconfigurable Dual-Band {T/R} Front-End with An
                  Implicit Switch-based Antenna Interface Supporting 600MSym/s 64QAM},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558164},
  doi          = {10.1109/ISCAS58744.2024.10558164},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GongDZJYWC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GongKML024,
  author       = {Xin{-}Ce Gong and
                  Jian{-}Jun Kuang and
                  Xin Ming and
                  Zhi{-}Yi Lin and
                  Bo Zhang},
  title        = {A Fast Transient {PMOS} {LDO} with AP\({}^{\mbox{3}}\) Buffer and
                  Shaped-Hybrid-Bias {EA} Techniques Achieving 8.15ps {FOM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558628},
  doi          = {10.1109/ISCAS58744.2024.10558628},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GongKML024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GongLL24,
  author       = {Cheng Gong and
                  Chio{-}Hong Leong and
                  Chi{-}Seng Lam},
  title        = {Deterministic Policy Gradient based Reinforcement Learning for Current
                  Control of Hybrid Active Power Filter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557999},
  doi          = {10.1109/ISCAS58744.2024.10557999},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GongLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GonulFOKLKT24,
  author       = {Yilmaz Ege Gonul and
                  Leo Filippini and
                  Junghoon Oh and
                  Ragh Kuttappa and
                  Scott Lerner and
                  Mineo Kaneko and
                  Baris Taskin},
  title        = {Design Automation for Charge Recovery Logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558659},
  doi          = {10.1109/ISCAS58744.2024.10558659},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GonulFOKLKT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GonzalezMB24,
  author       = {Marco Gonzalez and
                  Pol Maistriaux and
                  David Bol},
  title        = {A Narrowband {RF} Front End in 22-nm {FD-SOI} Featuring a Programmable
                  Low-Noise Amplifier with a Configurable Noise-Power Trade-Off},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557855},
  doi          = {10.1109/ISCAS58744.2024.10557855},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GonzalezMB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GonzalezSVRL024,
  author       = {Hector A. Gonzalez and
                  Marco Stolba and
                  Bernhard Vogginger and
                  Tim Rosmeisl and
                  Chen Liu and
                  Christian Mayr},
  title        = {A Low-footprint {FFT} Accelerator for a RISC-V-based Multi-core {DSP}
                  in {FMCW} Radars},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558386},
  doi          = {10.1109/ISCAS58744.2024.10558386},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GonzalezSVRL024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GosulaM24,
  author       = {Madhukar Gosula and
                  Ashis Maity},
  title        = {{CMOS} Implementation of Low-Frequency Pattern Generator for Electrochemical
                  Sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558049},
  doi          = {10.1109/ISCAS58744.2024.10558049},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GosulaM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GouSZF24,
  author       = {Aorui Gou and
                  Heming Sun and
                  Xiaoyang Zeng and
                  Yibo Fan},
  title        = {Privacy-preserving with Flexible Autoencoder for Video Coding for
                  Machines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558330},
  doi          = {10.1109/ISCAS58744.2024.10558330},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GouSZF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GovindaswamyKP24,
  author       = {Prema Kumar Govindaswamy and
                  Mursina Khatun and
                  Vijay Shankar Pasupureddi},
  title        = {A 0.2 pJ/bit, Energy-Efficient, Half-Rate Hybrid Circuit Topology
                  at 6-Gb/s in 1.2V, 65 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558036},
  doi          = {10.1109/ISCAS58744.2024.10558036},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GovindaswamyKP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GovindaswamyKP24a,
  author       = {Prema Kumar Govindaswamy and
                  Mursina Khatun and
                  Vijay Shankar Pasupureddi},
  title        = {A 2\({}^{\mbox{7}}\)-1, 20-Gb/s, 0.1-pJ/b Pseudo Random Bit Sequence
                  Generator Using Incomplete Settling in 1.2V, 65 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558332},
  doi          = {10.1109/ISCAS58744.2024.10558332},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GovindaswamyKP24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GrativolGLML0FA24,
  author       = {Lucas Grativol and
                  Lubin Gauthier and
                  Mathieu L{\'{e}}onardon and
                  J{\'{e}}r{\'{e}}my Morlier and
                  Antoine Lavrard{-}Meyer and
                  Guillaume Muller and
                  Virginie Fresse and
                  Matthieu Arzel},
  title        = {{PEFSL:} {A} deployment Pipeline for Embedded Few-Shot Learning on
                  a {FPGA} SoC},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557995},
  doi          = {10.1109/ISCAS58744.2024.10557995},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GrativolGLML0FA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Grimblatt24,
  author       = {Victor Grimblatt},
  title        = {Agriculture Impact on Climate Change and Climate Change Impact on
                  Agriculture - Low Power Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558677},
  doi          = {10.1109/ISCAS58744.2024.10558677},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Grimblatt24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuLLLL24,
  author       = {Cheng Gu and
                  Gang Li and
                  Xiaolong Lin and
                  Jiayao Ling and
                  Xiaoyao Liang},
  title        = {GNeRF: Accelerating Neural Radiance Fields Inference via Adaptive
                  Sample Gating},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558296},
  doi          = {10.1109/ISCAS58744.2024.10558296},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuLLLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuanJZHM24,
  author       = {Shaoting Guan and
                  Haoyu Jiang and
                  Yuxiao Zhao and
                  Yifei Huang and
                  Hao Min},
  title        = {Integrated Cold-Start of a Boost Converter at 54mV Using a Two-Stage
                  Capacitive Voltage Multiplier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558696},
  doi          = {10.1109/ISCAS58744.2024.10558696},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuanJZHM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuiZFG0X24,
  author       = {Xinpeng Gui and
                  Xinfa Zheng and
                  Haigang Feng and
                  Georges G. E. Gielen and
                  Zhihua Wang and
                  Xinpeng Xing},
  title        = {A 70dB {SNDR} 20MHz-BW VCO-Based {CT} Sturdy {MASH} Delta-Sigma Modulator
                  with Robust Quantization Error Extraction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558509},
  doi          = {10.1109/ISCAS58744.2024.10558509},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuiZFG0X24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoC24,
  author       = {Benqing Guo and
                  Jun Chen},
  title        = {A {CMOS} Wideband Linear Low-Noise Amplifier Using Dual Capacitor-Cross-Coupled
                  Configurations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557900},
  doi          = {10.1109/ISCAS58744.2024.10557900},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoCL024,
  author       = {Lanting Guo and
                  Haiyan Chen and
                  Chen Li and
                  Sheng Liu},
  title        = {{LWECC:} {A} Lightweight {ECC} Technology for {HPC} Accelerators Supporting
                  Multi-granularity Memory Access},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558537},
  doi          = {10.1109/ISCAS58744.2024.10558537},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoCL024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoCLCLSLC24,
  author       = {Yan{-}Cheng Guo and
                  Tian{-}Sheuan Chang and
                  Chih{-}Sheng Lin and
                  Bo{-}Cheng Chiou and
                  Chih{-}Ming Lai and
                  Shyh{-}Shyuan Sheu and
                  Wei{-}Chung Lo and
                  Shih{-}Chieh Chang},
  title        = {{CIMR-V:} An End-to-End SRAM-based {CIM} Accelerator with {RISC-V}
                  for {AI} Edge Device},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558177},
  doi          = {10.1109/ISCAS58744.2024.10558177},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoCLCLSLC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoCZS24,
  author       = {Yi Guo and
                  Xiu Chen and
                  Qilin Zhou and
                  Heming Sun},
  title        = {Power-Efficient and Small-Area Approximate Multiplier Design with
                  FPGA-Based Compressors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558590},
  doi          = {10.1109/ISCAS58744.2024.10558590},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoCZS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoFFXZS24,
  author       = {Haiyang Guo and
                  Zhongyuan Fang and
                  Haonan Fan and
                  Shen Xu and
                  Xueyong Zhang and
                  Weifeng Sun},
  title        = {A 1.35-ppm/{\textdegree}C Temperature Coefficient, 86-dB {PSR} Voltage
                  Reference With 1-mA Load Driving Capability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557921},
  doi          = {10.1109/ISCAS58744.2024.10557921},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoFFXZS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoHLTWW0JZ24,
  author       = {Yanshu Guo and
                  Wenqiang Huang and
                  Yaoyu Li and
                  Tian Tian and
                  Yange Wang and
                  Shiquan Wang and
                  Zhihua Wang and
                  Hanjun Jiang and
                  Yuanjin Zheng},
  title        = {A Cryogenic Phase-Selection Superconducting Qubit Controller with
                  Envelope-Tracking in 28nm Bulk {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557985},
  doi          = {10.1109/ISCAS58744.2024.10557985},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoHLTWW0JZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoKF24,
  author       = {Junhao Guo and
                  Hongxin Kong and
                  Lang Feng},
  title        = {A Rule-Based High Efficient Obstacle-Avoiding {RSMT} Algorithm for
                  {VLSI} Routing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558430},
  doi          = {10.1109/ISCAS58744.2024.10558430},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoKF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoLPQ24,
  author       = {Meng Guo and
                  Yuekai Liu and
                  Jinlei Pan and
                  Liang Qi},
  title        = {Comparative Study for Different Loop-Filter Architectures of 2x Time-Interleaved
                  {CT} {DSM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558270},
  doi          = {10.1109/ISCAS58744.2024.10558270},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoLPQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoSQYZ24,
  author       = {Jiacheng Guo and
                  Huiming Sun and
                  Minghai Qin and
                  Hongkai Yu and
                  Tianyun Zhang},
  title        = {A Min-Max Optimization Framework for Multi-task Deep Neural Network
                  Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557958},
  doi          = {10.1109/ISCAS58744.2024.10557958},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoSQYZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoT0C24,
  author       = {Yi Guo and
                  Chao Tang and
                  Hao Wu and
                  Badong Chen},
  title        = {{EEG} Emotion Recognition Based on Dynamic Graph Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558424},
  doi          = {10.1109/ISCAS58744.2024.10558424},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoT0C24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoXLC024,
  author       = {Mingxin Guo and
                  Dongjun Xu and
                  Yaoyao Li and
                  Jian Cheng and
                  Liang Chen},
  title        = {Spiking-Hybrid-YOLO for Low-Latency Object Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558470},
  doi          = {10.1109/ISCAS58744.2024.10558470},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoXLC024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaiderVMZK24,
  author       = {Muhammad Hamis Haider and
                  Stephany Valarezo{-}Plaza and
                  Sayed Muhsin and
                  Hao Zhang and
                  Seok{-}Bum Ko},
  title        = {Optimized Transformer Models: {\(\mathscr{l}\)}{\({'}\)} {BERT}
                  with CNN-like Pruning and Quantization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558045},
  doi          = {10.1109/ISCAS58744.2024.10558045},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaiderVMZK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanBB24,
  author       = {Qiang Han and
                  Farid Boussa{\"{\i}}d and
                  Mohammed Bennamoun},
  title        = {Model Predictive Control-Based Reinforcement Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558623},
  doi          = {10.1109/ISCAS58744.2024.10558623},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanBB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanJZH24,
  author       = {Mengzhe Han and
                  Xiaotao Jia and
                  Zihao Zhao and
                  Yingchun Hu},
  title        = {Hierarchical Placement Algorithm for Analog Circuit With Polygonal
                  Modules},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557961},
  doi          = {10.1109/ISCAS58744.2024.10557961},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanJZH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanKKKKKL24,
  author       = {Sangil Han and
                  Jaehee Kim and
                  Dongyun Kam and
                  Byeong Yong Kong and
                  Mijung Kim and
                  Young{-}Seok Kim and
                  Youngjoo Lee},
  title        = {Constrained Sorter Design using Zero-One Principle},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557942},
  doi          = {10.1109/ISCAS58744.2024.10557942},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanKKKKKL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanSOKJKHJ24,
  author       = {Sunglim Han and
                  Hoyong Seong and
                  Sein Oh and
                  Jimin Koo and
                  Hanbit Jin and
                  Hye Jin Kim and
                  Sohmyung Ha and
                  Minkyu Je},
  title        = {A 72-channel Resistive-sensor Interface {IC} with High Energy Efficiency
                  and a Wide Input Range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558586},
  doi          = {10.1109/ISCAS58744.2024.10558586},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanSOKJKHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanYZM24,
  author       = {Cheng Han and
                  Shan Yu and
                  Zhiwei Zhang and
                  Jingna Mao},
  title        = {A Lumped Circuit Model for Implantable Body-Coupled Channel},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558056},
  doi          = {10.1109/ISCAS58744.2024.10558056},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanYZM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaoGBWWZZLL24,
  author       = {Ling Hao and
                  Keer Gao and
                  Haoyu Bai and
                  Chuancheng Wu and
                  Dong Wang and
                  Sihao Zhang and
                  Jiazheng Zhou and
                  Junhua Liu and
                  Huailin Liao},
  title        = {A 136{\(\mu\)}W Over 800m Range Backscatter-Like {UHF} Band Transceiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557856},
  doi          = {10.1109/ISCAS58744.2024.10557856},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaoGBWWZZLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaoWTZY24,
  author       = {Shidi Hao and
                  Shuai Wan and
                  Tengya Tian and
                  Wei Zhang and
                  Fuzheng Yang},
  title        = {Improving Optimal Binarization with Update On-the-fly in {G-PCC} Entropy
                  Coding: Probability Initialization and Adaptive Bounds Setting for
                  Context Models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558219},
  doi          = {10.1109/ISCAS58744.2024.10558219},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaoWTZY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaqDG24,
  author       = {Syed Asrar ul Haq and
                  Sumit Jagdish Darak and
                  Abdul Karim Gizzini},
  title        = {Low Complexity Deep Learning Aided Channel Estimation Architecture
                  for Vehicular Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557931},
  doi          = {10.1109/ISCAS58744.2024.10557931},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaqDG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/He024,
  author       = {Mengxia He and
                  S. C. Chan},
  title        = {A New Method for Source Number Estimation in the Presence of Unknown
                  Nonuniform Noise},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558530},
  doi          = {10.1109/ISCAS58744.2024.10558530},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/He024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeALZXZZC24,
  author       = {Mingzhong He and
                  Yufei Ai and
                  Wengao Lu and
                  Yi Zhuo and
                  Qingjiang Xia and
                  Runkun Zhu and
                  Yacong Zhang and
                  Zhongjian Chen},
  title        = {An Event-Driven High-Speed Imaging and Trace Detection {ROIC} for
                  Cryogenic Infrared FPAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558673},
  doi          = {10.1109/ISCAS58744.2024.10558673},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeALZXZZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeL24,
  author       = {Yunxiang He and
                  Xin Lou},
  title        = {Density Estimation-based Effective Sampling Strategy for Neural Rendering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558691},
  doi          = {10.1109/ISCAS58744.2024.10558691},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeLCH0ZF24,
  author       = {Chenlong He and
                  Wei Li and
                  Xiaoxiang Chen and
                  Zhijian Hao and
                  Chao Liu and
                  Xiaoyang Zeng and
                  Yibo Fan},
  title        = {CTU-Level Adaptive Quantization Method Joint with {GOP} based Temporal
                  Filter for Video Coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558129},
  doi          = {10.1109/ISCAS58744.2024.10558129},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeLCH0ZF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HelalSLPCA24,
  author       = {Yousef Helal and
                  Naomi Sagan and
                  Drake Lin and
                  Anmol Parande and
                  Dominic Carrano and
                  Babak Ayazifar},
  title        = {Toward Scalable Laboratories in Signals and Systems: Content, Deployment,
                  and Grading},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558272},
  doi          = {10.1109/ISCAS58744.2024.10558272},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HelalSLPCA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HendyBDM24,
  author       = {Hagar Hendy and
                  Karsten Bergthold and
                  Tejasvi Das and
                  Cory E. Merkel},
  title        = {Design Space Exploration of Memristor-based Delay Cells for Time-domain
                  Neuromorphic Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558099},
  doi          = {10.1109/ISCAS58744.2024.10558099},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HendyBDM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HirayaeYYKTKNMT24,
  author       = {Soshi Hirayae and
                  Kanta Yoshioka and
                  Atsuki Yokota and
                  Ichiro Kawashima and
                  Yuichiro Tanaka and
                  Yuichi Katori and
                  Osamu Nomura and
                  Takashi Morie and
                  Hakaru Tamukoh},
  title        = {Enhancing Memory Capacity of Reservoir Computing with Delayed Input
                  and Efficient Hardware Implementation with Shift Registers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557841},
  doi          = {10.1109/ISCAS58744.2024.10557841},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HirayaeYYKTKNMT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HizzaniHHDVBRSS24,
  author       = {Mohammad Hizzani and
                  Arne Heittmann and
                  George Higgins Hutchinson and
                  Dmitrii Dobrynin and
                  Thomas Van Vaerenbergh and
                  Tinish Bhattacharya and
                  Adrien Renaudineau and
                  Dmitri B. Strukov and
                  John Paul Strachan},
  title        = {Memristor-based hardware and algorithms for higher-order Hopfield
                  optimization solver outperforming quadratic Ising machines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558658},
  doi          = {10.1109/ISCAS58744.2024.10558658},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HizzaniHHDVBRSS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoferGAKKKH0024,
  author       = {Julian H{\"{o}}fer and
                  Michael Gau{\ss} and
                  Manuela Adams and
                  Fabian Kre{\ss} and
                  Fabian Kempf and
                  Christian Maximilian Karle and
                  Tanja Harbaum and
                  Andreas Barth and
                  J{\"{u}}rgen Becker},
  title        = {A Challenge-Based Blended Learning Approach for an Introductory Digital
                  Circuits and Systems Course},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557955},
  doi          = {10.1109/ISCAS58744.2024.10557955},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoferGAKKKH0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HongC24,
  author       = {Shihao Hong and
                  Yeh{-}Ching Chung},
  title        = {ReShare: {A} Resource-Efficient Weight Pattern Sharing Scheme for
                  Memristive {DNN} Accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558167},
  doi          = {10.1109/ISCAS58744.2024.10558167},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HongC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HongHZT0SCG24,
  author       = {Xuenong Hong and
                  Zilong Hu and
                  Han Zhang and
                  Yee{-}Yang Tee and
                  Tong Lin and
                  Yiqiong Shi and
                  Deruo Cheng and
                  Bah{-}Hwee Gwee},
  title        = {MLConnect: {A} Machine Learning Based Connection Prediction Framework
                  for Error Correction in Recovered Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558237},
  doi          = {10.1109/ISCAS58744.2024.10558237},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HongHZT0SCG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Horvath24,
  author       = {Andr{\'{a}}s Horv{\'{a}}th},
  title        = {Stable Diffusion with Memristive Cellular Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558282},
  doi          = {10.1109/ISCAS58744.2024.10558282},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Horvath24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiaoCYC24,
  author       = {Shen{-}Fu Hsiao and
                  Tzu{-}Hsien Chao and
                  Yen{-}Che Yuan and
                  Kun{-}Chih Chen},
  title        = {Hardware Accelerator for MobileViT Vision Transformer with Reconfigurable
                  Computation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558190},
  doi          = {10.1109/ISCAS58744.2024.10558190},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiaoCYC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiaoKKC24,
  author       = {Shen{-}Fu Hsiao and
                  Hou{-}Chun Kuo and
                  Yu Kuo and
                  Kun{-}Chih Chen},
  title        = {Neural Network Acceleration Using Digit-Plane Computation with Early
                  Termination},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558491},
  doi          = {10.1109/ISCAS58744.2024.10558491},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiaoKKC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiehCCHL24,
  author       = {An{-}Ting Hsieh and
                  Ching{-}Te Chiu and
                  Tsai{-}Chieh Chen and
                  Mao{-}Hsiu Hsu and
                  Wenyong Long},
  title        = {Feature Points based Residual UNet with Nonlinear Decay Rate for Partial
                  Wet Fingerprint Restoration and Recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558157},
  doi          = {10.1109/ISCAS58744.2024.10558157},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiehCCHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiehLHKH24,
  author       = {Meng{-}Hsun Hsieh and
                  Xuan{-}Hong Li and
                  Yu{-}Hsiang Huang and
                  Pei{-}Hsuan Kuo and
                  Juinn{-}Dar Huang},
  title        = {A Hardware-Friendly Alternative to Softmax Function and Its Efficient
                  {VLSI} Implementation for Deep Learning Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558086},
  doi          = {10.1109/ISCAS58744.2024.10558086},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiehLHKH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuHC24,
  author       = {Tai{-}Jung Hsu and
                  Jhih{-}Hao Hong and
                  Kuang{-}Wei Cheng},
  title        = {28 GHz {VCO} Using Magnetically Tuning Trifilar Transformer in Cryogenic
                  {CMOS} Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557857},
  doi          = {10.1109/ISCAS58744.2024.10557857},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuHC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuLT24,
  author       = {Chia{-}Hua Hsu and
                  Yu{-}Wei Lin and
                  Kea{-}Tiong Tang},
  title        = {A Low-Noise, Low-Power Neural Signal Amplifier for Deep Brain Stimulation
                  System Chips Tolerating 3V Stimulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558265},
  doi          = {10.1109/ISCAS58744.2024.10558265},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuLT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hu0XLW024,
  author       = {Kai Hu and
                  Yu Liu and
                  Fang Xu and
                  Renhe Liu and
                  Han Wang and
                  Shenghui Song},
  title        = {Asymmetric Neural Image Compression with High-Preserving Information},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558635},
  doi          = {10.1109/ISCAS58744.2024.10558635},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hu0XLW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuHWLC24,
  author       = {Bowen Hu and
                  Weiyang He and
                  Si Wang and
                  Wenye Liu and
                  Chip{-}Hong Chang},
  title        = {Live Demonstration: Man-in-the-Middle Attack on Edge Artificial Intelligence},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558371},
  doi          = {10.1109/ISCAS58744.2024.10558371},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuHWLC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuS024,
  author       = {Jinjie Hu and
                  Suwen Song and
                  Zhongfeng Wang},
  title        = {A Novel Low-Complexity Massive {MIMO} Detector with Near-Optimum Performance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558232},
  doi          = {10.1109/ISCAS58744.2024.10558232},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuS024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuSCD24,
  author       = {John Hu and
                  James Stine and
                  Wooyeol Choi and
                  Erin Dyke},
  title        = {Research Experiences for Teachers on Chip Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558044},
  doi          = {10.1109/ISCAS58744.2024.10558044},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuSCD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuZL24,
  author       = {Zichen Hu and
                  Zhining Zhou and
                  Hongming Lyu},
  title        = {A Microwatt/Channel Neural Signal Processor for High-Channel-Count
                  Spike Detection and Sorting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558215},
  doi          = {10.1109/ISCAS58744.2024.10558215},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuZTPP24,
  author       = {Zhe Hu and
                  Bowen Zhang and
                  He Tang and
                  Jia Pan and
                  Xizhu Peng},
  title        = {Evolution Strategy and Controlled Residual Convolutional Neural Networks
                  for {ADC} Calibration in the Absence of Ground Truth},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558130},
  doi          = {10.1109/ISCAS58744.2024.10558130},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuZTPP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuZZZC24,
  author       = {Zhicheng Hu and
                  Jiahao Zeng and
                  Xin Zhao and
                  Liang Zhou and
                  Liang Chang},
  title        = {SuperHCA: {A} Super-Resolution Accelerator with Sparsity-Aware Heterogeneous
                  Core Architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557990},
  doi          = {10.1109/ISCAS58744.2024.10557990},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuZZZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangLLLYCL24,
  author       = {Tianze Huang and
                  Jiahao Lu and
                  Dongsheng Liu and
                  Aobo Li and
                  Shuo Yang and
                  Lei Chen and
                  Xiang Li},
  title        = {A Timing Attack Resistant Lightweight Post-Quantum Crypto-Processor
                  for {SPHINCS+}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558654},
  doi          = {10.1109/ISCAS58744.2024.10558654},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangLLLYCL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangLZL24,
  author       = {Jianqing Huang and
                  Yuxing Li and
                  Yanmin Zhu and
                  Edmund Y. Lam},
  title        = {A field deployable imaging system for detecting microplastics in the
                  aquatic environment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557869},
  doi          = {10.1109/ISCAS58744.2024.10557869},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangLZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangMC0Z24,
  author       = {Fan Huang and
                  Xiongkuo Min and
                  Yuqin Cao and
                  Xiao{-}Ping Zhang and
                  Guangtao Zhai},
  title        = {Multidimensional Similarity Fusion for Speech Quality Assessment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558180},
  doi          = {10.1109/ISCAS58744.2024.10558180},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangMC0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangMJY24,
  author       = {Yukang Huang and
                  Junyi Mai and
                  Wanling Jiang and
                  Enyi Yao},
  title        = {A Trusted Inference Mechanism for Edge Computing Based on Post-Quantum
                  Encryption},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557963},
  doi          = {10.1109/ISCAS58744.2024.10557963},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangMJY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangTH24,
  author       = {Chun{-}Hsian Huang and
                  Shao{-}Wei Tang and
                  Pao{-}Ann Hsiung},
  title        = {{ACNNE:} An Adaptive Convolution Engine for CNNs Acceleration Exploiting
                  Partial Reconfiguration on FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558457},
  doi          = {10.1109/ISCAS58744.2024.10558457},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangTH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangTZCZFXL24,
  author       = {Zhishuo Huang and
                  Jia Tao and
                  Haosong Zhao and
                  Donglong Chen and
                  Shuyan Zhu and
                  Yinjin Fu and
                  Nong Xiao and
                  Yao Liu},
  title        = {Unified Lossless-Throughput Architecture for {AES} and {SM4} Encryption
                  with Changeable Keys},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558545},
  doi          = {10.1109/ISCAS58744.2024.10558545},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangTZCZFXL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HwangKPSHK24,
  author       = {Jung{-}Hye Hwang and
                  Jubin Kang and
                  Yongjae Park and
                  Insang Son and
                  Kieop Hong and
                  Seong{-}Jin Kim},
  title        = {An Indirect Time-of-Flight Sensor with Adaptive Multiple Sampling
                  for High Depth Precision},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557959},
  doi          = {10.1109/ISCAS58744.2024.10557959},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HwangKPSHK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HwangSP24,
  author       = {Seung{-}Eon Hwang and
                  Duyeong Song and
                  Jongsun Park},
  title        = {HeNCoG: {A} Heterogeneous Near-memory Computing Architecture for Energy
                  Efficient {GCN} Acceleration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558133},
  doi          = {10.1109/ISCAS58744.2024.10558133},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HwangSP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/InabaHMK24,
  author       = {Takatoshi Inaba and
                  Konosuke Hiraki and
                  Takafumi Matsuura and
                  Takayuki Kimura},
  title        = {A routing method using chaotic neurodynamics for the transportation
                  networks with the next generation vehicles},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558472},
  doi          = {10.1109/ISCAS58744.2024.10558472},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/InabaHMK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Irfansyah24,
  author       = {Astria Nur Irfansyah},
  title        = {Leveraging Open Source {IC} Design Tools for an Undergraduate Microelectronic
                  Circuit Design Course},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558297},
  doi          = {10.1109/ISCAS58744.2024.10558297},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Irfansyah24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IsmailKKO24,
  author       = {Omar Ismail and
                  Paul Kaesser and
                  John G. Kauffman and
                  Maurits Ortmanns},
  title        = {{DAC} Element Mismatch Shaping Algorithms in Incremental Delta-Sigma
                  ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558337},
  doi          = {10.1109/ISCAS58744.2024.10558337},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IsmailKKO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Itoh24,
  author       = {Yoshitaka Itoh},
  title        = {Predicting a Critical Transition from Time-series Datasets Generated
                  by LTspice Using a Parameter Space Estimation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558506},
  doi          = {10.1109/ISCAS58744.2024.10558506},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Itoh24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JRRS24,
  author       = {Chithambara Moorthii J and
                  Vinay Rayapati and
                  Nanditha Rao and
                  Manan Suri},
  title        = {{VPU-CIM:} {A} 130nm, 33.98 {TOPS/W} {RRAM} based Compute-In-Memory
                  Vector Co-Processor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558155},
  doi          = {10.1109/ISCAS58744.2024.10558155},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JRRS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JafariM24,
  author       = {Bahram Jafari and
                  Shahriar Mirabbasi},
  title        = {Back-Gate Coupling Technique for Phase Error Correction in PLL-Based
                  Quadrature VCOs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558639},
  doi          = {10.1109/ISCAS58744.2024.10558639},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JafariM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JahanjooTA24,
  author       = {Anice Jahanjoo and
                  Nima TaheriNejad and
                  Amin Aminifar},
  title        = {High-Accuracy Stress Detection Using Wrist-Worn {PPG} Sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558012},
  doi          = {10.1109/ISCAS58744.2024.10558012},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JahanjooTA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JainAAPA24,
  author       = {Arpan Jain and
                  Ashfakh Ali and
                  Dheekshith Akula and
                  Abhishek Pullela and
                  Zia Abbas},
  title        = {A Single-Point, Auto-Calibration Technique For {PTAT/CTAT} Resistance
                  Based Current References},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557884},
  doi          = {10.1109/ISCAS58744.2024.10557884},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JainAAPA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JainDAM24,
  author       = {Arpit Jain and
                  Pabitra Das and
                  Amit Acharyya and
                  Rakesh MB},
  title        = {ANN-based Accurate and Fast Post-Route QoR Data Prediction Methodology
                  from Pre-Clock Tree Synthesis by Skipping {CTS} and Routing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557874},
  doi          = {10.1109/ISCAS58744.2024.10557874},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JainDAM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JamaliAHS24,
  author       = {Mohsin M. Jamali and
                  Sepehr Arbabi and
                  Hossein Hosseini and
                  Lokesh Saharan},
  title        = {Active Student Engagement in {STEM} Fields to Improve Retention and
                  Graduation Rates},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558492},
  doi          = {10.1109/ISCAS58744.2024.10558492},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JamaliAHS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JangLCKK24,
  author       = {Seoyoung Jang and
                  Jaewon Lee and
                  Yujin Choi and
                  Donggeon Kim and
                  Gain Kim},
  title        = {{DMT} 3L4W: {A} 3-Lane 4-Wire Signaling With Discrete Multitone Modulation
                  for High-Speed Wireline Chip-to-Chip Interconnects},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558170},
  doi          = {10.1109/ISCAS58744.2024.10558170},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JangLCKK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JangLJJHL24,
  author       = {Wuyoung Jang and
                  Sangho Lee and
                  Jinhoon Jo and
                  Jueun Jung and
                  Donghyeon Han and
                  Kyuho Lee},
  title        = {A 422.1 Mpixels/J Tile-based 4K Super Resolution Processor with Variable
                  Bit Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558379},
  doi          = {10.1109/ISCAS58744.2024.10558379},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JangLJJHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JapaMCOG24,
  author       = {Aditya Japa and
                  Jack Miskelly and
                  Yijun Cui and
                  M{\'{a}}ire O'Neill and
                  Chongyan Gu},
  title        = {A Novel Methodology for Processor based {PUF} in Approximate Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558354},
  doi          = {10.1109/ISCAS58744.2024.10558354},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JapaMCOG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JeongJ24,
  author       = {Minki Jeong and
                  Wanyeong Jung},
  title        = {{MAC-DO:} DRAM-Based Multi-Bit Analog Accelerator Using Output Stationary},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558271},
  doi          = {10.1109/ISCAS58744.2024.10558271},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JeongJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiF024,
  author       = {Yuhao Ji and
                  Chao Fang and
                  Zhongfeng Wang},
  title        = {{BETA:} Binarized Energy-Efficient Transformer Accelerator at the
                  Edge},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558636},
  doi          = {10.1109/ISCAS58744.2024.10558636},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiF024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiaMZ24,
  author       = {Ziheng Jia and
                  Xiongkuo Min and
                  Guangtao Zhai},
  title        = {DSA-QoE: Quality of Experience Evaluation for Streaming Video Based
                  on Dual-Stage Attention},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558538},
  doi          = {10.1109/ISCAS58744.2024.10558538},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiaMZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangJHS24,
  author       = {Zirui Jiang and
                  Xiao Ji and
                  Yiran He and
                  Haihua Shen},
  title        = {HWSim: Hardware Similarity Learning for Intellectual Property Piracy
                  Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558324},
  doi          = {10.1109/ISCAS58744.2024.10558324},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangJHS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangLWHZBFSWW24,
  author       = {Dingcheng Jiang and
                  Bingqiang Liu and
                  Jipeng Wang and
                  Ao Hu and
                  Yequan Zhao and
                  Minjie Bao and
                  Zhendong Fan and
                  Zixuan Shen and
                  Ke Wang and
                  Chao Wang},
  title        = {Live Demonstration: {A} Reconfigurable, Energy-efficient and High-frame-rate
                  {EKF-SLAM} Accelerator Based SoC Design for Autonomous Mobile Robot
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558139},
  doi          = {10.1109/ISCAS58744.2024.10558139},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangLWHZBFSWW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangLWYLDCC24,
  author       = {Yuanyuan Jiang and
                  Li Lun and
                  Jiawei Wang and
                  Mingqi Yin and
                  Hanqing Liu and
                  Zhenhui Dai and
                  Xiaole Cui and
                  Xiaoxin Cui},
  title        = {{SPAT:} FPGA-based Sparsity-Optimized Spiking Neural Network Training
                  Accelerator with Temporal Parallel Dataflow},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558351},
  doi          = {10.1109/ISCAS58744.2024.10558351},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangLWYLDCC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangSXTL24,
  author       = {Yangyang Jiang and
                  Chang Sun and
                  Yongxiang Xia and
                  Haicheng Tu and
                  Chunshan Liu},
  title        = {Fault Detection and Location of Transmission Lines Based on Convolutional
                  Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558339},
  doi          = {10.1109/ISCAS58744.2024.10558339},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangSXTL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangXR24,
  author       = {Yuxuan Jiang and
                  Zhiqiang Xu and
                  Esther Rodr{\'{\i}}guez{-}Villegas},
  title        = {An Efficiency-Enhanced Active Rectifier with Offset-Controlled Comparators
                  for {WPT} Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558409},
  doi          = {10.1109/ISCAS58744.2024.10558409},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangXR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JokiniemiRVSR24,
  author       = {Kimi Jokiniemi and
                  Kaisa Ryyn{\"{a}}nen and
                  Joni V{\"{a}}h{\"{a}} and
                  Kari Stadius and
                  Jussi Ryyn{\"{a}}nen},
  title        = {Analysis of Current-Commutating Passive and Active Mixers for mmWave
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558149},
  doi          = {10.1109/ISCAS58744.2024.10558149},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JokiniemiRVSR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JonesRK24,
  author       = {Samuel P. Jones and
                  Saumya Kareem Reni and
                  Izzet Kale},
  title        = {Machine Learning for Monitoring Vocal Health and Performance of Professional
                  Singers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557944},
  doi          = {10.1109/ISCAS58744.2024.10557944},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JonesRK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JoseCKCCW24,
  author       = {Oliver Lexter July A. Jose and
                  Yun{-}Che Chang and
                  Venkata Naveen Kolakaluri and
                  Celso B. Co and
                  Mitch Ming{-}Chi Chou and
                  Chua{-}Chin Wang},
  title        = {A 10-MHz 5-V On-chip 6-layer Multi-level Digital Transformer Using
                  {T18HVG2} Process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558498},
  doi          = {10.1109/ISCAS58744.2024.10558498},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JoseCKCCW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JoseXSW24,
  author       = {Philip C. Jose and
                  Ying Xu and
                  Andr{\'{e}} van Schaik and
                  Runchun Wang},
  title        = {An {FPGA} Implementation of An Event-Driven Unsupervised Feature Extraction
                  Algorithm for Pattern Recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558113},
  doi          = {10.1109/ISCAS58744.2024.10558113},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JoseXSW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JoshiR24,
  author       = {Vijay Joshi and
                  J. Sheeba Rani},
  title        = {An Efficient {FPGA} Implementation of a Simple Lossless Algorithm
                  {(SLA)} for On-board Satellite Hyperspectral Data Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558039},
  doi          = {10.1109/ISCAS58744.2024.10558039},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JoshiR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Jovanovic-Dolecek24,
  author       = {Gordana Jovanovic{-}Dolecek and
                  Andres Rojas},
  title        = {Prospectives on the Use of ChatGPT in Education: Pros and Cons With
                  a Classical Approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558143},
  doi          = {10.1109/ISCAS58744.2024.10558143},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Jovanovic-Dolecek24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JungJNR24,
  author       = {Da Hyeon Jung and
                  Min{-}Wu Jeong and
                  Xuan Truong Nguyen and
                  Chae{-}Eun Rhee},
  title        = {A Resource-Constrained Spatio-Temporal Super Resolution Model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558194},
  doi          = {10.1109/ISCAS58744.2024.10558194},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JungJNR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JungWM24,
  author       = {Kuchul Jung and
                  Jongseok Woo and
                  Saibal Mukhopadhyay},
  title        = {Enhancing IoT Security with a Hardware Accelerated Machine Learning
                  Model coupling Autoencoder and Long-Short-Term-Memory for Anomaly
                  Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558328},
  doi          = {10.1109/ISCAS58744.2024.10558328},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JungWM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KadamSSBG24,
  author       = {Abhishek A. Kadam and
                  Ajay Kumar Singh and
                  Laxmeesha Somappa and
                  Maryam Shojaei Baghini and
                  Udayan Ganguly},
  title        = {A Compact Low Power Multi-mode Spiking Neuron using Band to Band Tunneling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557876},
  doi          = {10.1109/ISCAS58744.2024.10557876},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KadamSSBG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaesserIWO24,
  author       = {Paul Kaesser and
                  Omar Ismail and
                  David{-}Peter Wiens and
                  Maurits Ortmanns},
  title        = {Offset Cancellation in Incremental {\unicode{8710}}{\(\Sigma\)} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557952},
  doi          = {10.1109/ISCAS58744.2024.10557952},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaesserIWO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaesserKC0IO24,
  author       = {Paul Kaesser and
                  Sebastian Kaltenstadler and
                  Joschua Conrad and
                  Johannes Wagner and
                  Omar Ismail and
                  Maurits Ortmanns},
  title        = {Stability Prediction of {\(\Delta\)}{\(\sum\)} Modulators using Artificial
                  Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557868},
  doi          = {10.1109/ISCAS58744.2024.10557868},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaesserKC0IO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaliSM24,
  author       = {Anil Kali and
                  Samrat L. Sabat and
                  Pramod Kumar Meher},
  title        = {A Novel DA-Based Parallel Architecture for Inner-Product of Variable
                  Vectors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558637},
  doi          = {10.1109/ISCAS58744.2024.10558637},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaliSM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KanakriSR24,
  author       = {Haitham M. Kanakri and
                  Euzeli Cipriano Dos Santos and
                  Maher E. Rizkalla},
  title        = {Capacitor-Less Buck-Boost Converter Using Integrated Planar Inductor-Capacitor
                  Fabricated with Nanotechnology Processes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558035},
  doi          = {10.1109/ISCAS58744.2024.10558035},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KanakriSR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KarnKS24,
  author       = {Rupesh Raj Karn and
                  Johann Knechtel and
                  Ozgur Sinanoglu},
  title        = {Obfuscation of FSMs for Secure Outsourcing of Neural Network Inference
                  onto FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558279},
  doi          = {10.1109/ISCAS58744.2024.10558279},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KarnKS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KarolcikG24,
  author       = {Stefan Karolc{\'{\i}}k and
                  Pantelis Georgiou},
  title        = {Optimal filtering and smoothing thresholds for high-frequency photoplethysmography
                  signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558515},
  doi          = {10.1109/ISCAS58744.2024.10558515},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KarolcikG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KassemD24,
  author       = {Amany Kassem and
                  Izzat Darwazeh},
  title        = {Bandwidth Enhancement Techniques for Large-Area {VLC} Receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558437},
  doi          = {10.1109/ISCAS58744.2024.10558437},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KassemD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KattiNLAAR24,
  author       = {Prabodh Katti and
                  Anagha Nimbekar and
                  Chen Li and
                  Amit Acharyya and
                  Bashir M. Al{-}Hashimi and
                  Bipin Rajendran},
  title        = {Bayesian Inference Accelerator for Spiking Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558608},
  doi          = {10.1109/ISCAS58744.2024.10558608},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KattiNLAAR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaushikKB24,
  author       = {Nandit Kaushik and
                  Hemanth Krishna and
                  Srinivasu Bodapati},
  title        = {High-Speed Serial and Semi-Parallel IMPLY-based Approximate Adders
                  through Memristors for In-Memory Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558550},
  doi          = {10.1109/ISCAS58744.2024.10558550},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaushikKB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KavishwarS24,
  author       = {Mihir Kavishwar and
                  Naresh Shanbhag},
  title        = {Massive {MIMO} Signal Detection using SRAM-based In-Memory Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558118},
  doi          = {10.1109/ISCAS58744.2024.10558118},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KavishwarS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KeB24,
  author       = {Ye Ke and
                  Arindam Basu},
  title        = {A Low-Power Spike Detector Using In-Memory Computing for Event-based
                  Neural Frontend},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558690},
  doi          = {10.1109/ISCAS58744.2024.10558690},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KeB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KeCSXFFHXGS24,
  author       = {Xiang Ke and
                  Jin Chen and
                  Jingjing Sun and
                  Rikui Xiang and
                  Wenjing Fang and
                  Liangzun Fu and
                  Xiwei Huang and
                  Yan Xia and
                  Jinhong Guo and
                  Lingling Sun},
  title        = {A Microfluidic Impedance Cytometer for Accurate Detection and Counting
                  of Circulating Tumor Cells by Simultaneous Mechanical and Electrical
                  Sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558461},
  doi          = {10.1109/ISCAS58744.2024.10558461},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KeCSXFFHXGS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KeserBT24,
  author       = {Reyhan Kevser Keser and
                  Muhammet Sebul Beratoglu and
                  Beh{\c{c}}et Ugur T{\"{o}}reyin},
  title        = {Generated Compressed Domain Images to the Rescue: Cross Distillation
                  from Compressed Domain to Pixel Domain},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558450},
  doi          = {10.1109/ISCAS58744.2024.10558450},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KeserBT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhanMKCBW024,
  author       = {Mujeev Khan and
                  Pranjal Mahajan and
                  Gani Nawaz Khan and
                  Devansh Chaudhary and
                  Jewel Benny and
                  Mohd Wajid and
                  Abhishek Srivastava},
  title        = {Design and Implementation of {FPGA} based System for Object Detection
                  and Range Estimation used in {ADAS} Applications utilizing {FMCW}
                  Radar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558003},
  doi          = {10.1109/ISCAS58744.2024.10558003},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhanMKCBW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhilwaniLODRWCB24,
  author       = {Devesh Khilwani and
                  Sunwoo Lee and
                  Christine Ou and
                  Stuart Daudlin and
                  Anthony Rizzo and
                  Songli Wang and
                  Michael Cullen and
                  Keren Bergman and
                  Alyosha C. Molnar},
  title        = {3D-Integrated, Low Power, High Bandwidth Density Opto-Electronic Transceiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558323},
  doi          = {10.1109/ISCAS58744.2024.10558323},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhilwaniLODRWCB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KiYT24,
  author       = {Wing{-}Hung Ki and
                  Yuan Yao and
                  Chi{-}Ying Tsui},
  title        = {Time Domain Analysis of Secondary Stage With Series Resonance Driving
                  Rectifier Load},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558705},
  doi          = {10.1109/ISCAS58744.2024.10558705},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KiYT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimCJK24,
  author       = {Sohyeon Kim and
                  Injun Choi and
                  Minkyu Je and
                  Ji{-}Hoon Kim},
  title        = {Dynamic Resource Management in Reconfigurable SoC for Multi-Tenancy
                  Support},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558110},
  doi          = {10.1109/ISCAS58744.2024.10558110},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimCJK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimJHPKKC24,
  author       = {Jeong{-}Hoon Kim and
                  Soumil Jain and
                  Gopabandhu Hota and
                  Jaeseoung Park and
                  Ashwani Kumar and
                  Duygu Kuzum and
                  Gert Cauwenberghs},
  title        = {Bio-plausible Learning-on-Chip with Selector-less Memristive Crossbars},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558091},
  doi          = {10.1109/ISCAS58744.2024.10558091},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimJHPKKC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimK24,
  author       = {Hwapyong Kim and
                  Taewhan Kim},
  title        = {Net Topology Exploration and Tuning for Mitigating Congestion in Global
                  Routing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558288},
  doi          = {10.1109/ISCAS58744.2024.10558288},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimKUKY24,
  author       = {Sangyeob Kim and
                  Sangjin Kim and
                  Soyeon Um and
                  Soyeon Kim and
                  Hoi{-}Jun Yoo},
  title        = {Two-Step Spike Encoding Scheme and Architecture for Highly Sparse
                  Spiking-Neural-Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558301},
  doi          = {10.1109/ISCAS58744.2024.10558301},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimKUKY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimPHNL24,
  author       = {Jicheon Kim and
                  Chunmyung Park and
                  Eunjae Hyun and
                  Xuan Truong Nguyen and
                  Hyuk{-}Jae Lee},
  title        = {A Scalable Multi-Chip {YOLO} Accelerator With a Lightweight Inter-Chip
                  Adapter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558644},
  doi          = {10.1109/ISCAS58744.2024.10558644},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimPHNL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimPL24,
  author       = {Jiwoo Kim and
                  Gunho Park and
                  Youngjoo Lee},
  title        = {Low-Power Encoder and Compressor Design for Approximate Radix-8 Booth
                  Multiplier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558596},
  doi          = {10.1109/ISCAS58744.2024.10558596},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimPL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimSJHJ24,
  author       = {Yegeun Kim and
                  Changhun Seok and
                  Yoontae Jung and
                  Sohmyung Ha and
                  Minkyu Je},
  title        = {A Biopotential Recording {IC} with {\textless}10-ms-Settling Hybrid
                  {DC} Servo Loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558622},
  doi          = {10.1109/ISCAS58744.2024.10558622},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimSJHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KlefeRRMO24,
  author       = {Niklas Klefe and
                  Rudolf Ritter and
                  Mahdi Rajabzadeh and
                  Thomas Mayer and
                  Maurits Ortmanns},
  title        = {Overcoming Impedance-Mismatch Induced Offsets in Background Bond Wire
                  Defect Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558422},
  doi          = {10.1109/ISCAS58744.2024.10558422},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KlefeRRMO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KocaCDN24,
  author       = {Nazim Altar Koca and
                  Chip{-}Hong Chang and
                  Anh Tuan Do and
                  Vishnu P. Nambiar},
  title        = {Exploring Error Correction Circuits on {RISC-V} based Systems for
                  Space Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558401},
  doi          = {10.1109/ISCAS58744.2024.10558401},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KocaCDN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KokTKDAC24,
  author       = {Chiang Liang Kok and
                  Tee Hui Teo and
                  Yit Yan Koh and
                  Yuwei Dai and
                  Boon Kang Ang and
                  Jian Ping Chai},
  title        = {Development and Evaluation of an IoT-Driven Auto-Infusion System with
                  Advanced Monitoring and Alarm Functionalities},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558602},
  doi          = {10.1109/ISCAS58744.2024.10558602},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KokTKDAC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KollekBMKK24,
  author       = {Kevin Kollek and
                  Marco Braun and
                  Jan{-}Hendrik Meusener and
                  Jan{-}Christoph Krabbe and
                  Anton Kummert},
  title        = {Empirical Study on the Impact of Few-Cost Proxies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558088},
  doi          = {10.1109/ISCAS58744.2024.10558088},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KollekBMKK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KolonkoVK24,
  author       = {Lech Kolonko and
                  J{\"{o}}rg Velten and
                  Anton Kummert},
  title        = {Parallelized Hardware Acceleration of Automatic Differentiating Wave
                  Digital Filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558373},
  doi          = {10.1109/ISCAS58744.2024.10558373},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KolonkoVK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KomiyamaZK0S24,
  author       = {Yutaro Komiyama and
                  Wenqi Zhu and
                  Akihiro Konishi and
                  Kien Nguyen and
                  Hiroo Sekiya},
  title        = {Design of Class-{\(\Phi\)}3 Power Oscillator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558333},
  doi          = {10.1109/ISCAS58744.2024.10558333},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KomiyamaZK0S24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KongZYM24,
  author       = {Linghui Kong and
                  Zhiwei Zhang and
                  Shan Yu and
                  Jingna Mao},
  title        = {An Intracortical Wireless Bidirectional Brain-Computer Interface with
                  High Data Density},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558134},
  doi          = {10.1109/ISCAS58744.2024.10558134},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KongZYM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KooJOHHJ24,
  author       = {Jimin Koo and
                  Yoontae Jung and
                  Sein Oh and
                  Sunglim Han and
                  Sohmyung Ha and
                  Minkyu Je},
  title        = {A Reconfigurable Multimodal Sensor Interface {IC} Based on Direct-Conversion
                  {\(\Delta\)}{\(\Sigma\)} Modulator Structure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557919},
  doi          = {10.1109/ISCAS58744.2024.10557919},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KooJOHHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KotaniUN24,
  author       = {Yukinojo Kotani and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronizations in Oscillatory Networks with Memristor Couplings
                  as Ring Structure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558633},
  doi          = {10.1109/ISCAS58744.2024.10558633},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KotaniUN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KottilingalN24,
  author       = {Rajeev Kumar Kottilingal and
                  Nandakumar Nambath},
  title        = {Performance Analysis of Underwater Optical Wireless Video Communication
                  Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558680},
  doi          = {10.1109/ISCAS58744.2024.10558680},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KottilingalN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoyanagiUS24,
  author       = {Yui Koyanagi and
                  Tomoaki Ukezono and
                  Toshinori Sato},
  title        = {A Light-weight and Tamper-resistant {AES} Implementation by FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557893},
  doi          = {10.1109/ISCAS58744.2024.10557893},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoyanagiUS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kozawa24,
  author       = {Yusuke Kozawa},
  title        = {Selective Multi-pulse Pulse Position Modulation for Lighting Constrained
                  Visible Light Communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558266},
  doi          = {10.1109/ISCAS58744.2024.10558266},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Kozawa24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KrabbeBKMK24,
  author       = {Jan{-}Christoph Krabbe and
                  Adrian Bauer and
                  Kevin Kollek and
                  Jan{-}Hendrik Meusener and
                  Anton Kummert},
  title        = {FPSeg: Flexible Promptable Semantic Segmentation for Edge Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558203},
  doi          = {10.1109/ISCAS58744.2024.10558203},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KrabbeBKMK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KrishnaKB24,
  author       = {L. Hemanth Krishna and
                  Nandit Kaushik and
                  Srinivasu Bodapati},
  title        = {Energy Efficient Accurate and Approximate Modified Adders for Ternary
                  Multipliers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558406},
  doi          = {10.1109/ISCAS58744.2024.10558406},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KrishnaKB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KrishnaROCHSMT24,
  author       = {Adithya Krishna and
                  Ashwin Rajesh and
                  Hitesh Pavan Oleti and
                  Anand Chauhan and
                  Shankaranarayanan H and
                  Andr{\'{e}} van Schaik and
                  Mahesh Mehendale and
                  Chetan Singh Thakur},
  title        = {Live Demonstration: Real-time audio and visual inference on the {RAMAN}
                  TinyML accelerator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558468},
  doi          = {10.1109/ISCAS58744.2024.10558468},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KrishnaROCHSMT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KrishnakumarCKS24,
  author       = {Sriharini Krishnakumar and
                  Mingeun Choi and
                  Ramin Rahimzadeh Khorasani and
                  Rohit Sharma and
                  Madhavan Swaminathan and
                  Satish Kumar and
                  Inna Partin{-}Vaisband},
  title        = {Design Considerations for {DC-DC} Voltage Regulators in Distributed
                  Vertical Power Delivery Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558456},
  doi          = {10.1109/ISCAS58744.2024.10558456},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KrishnakumarCKS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Krishnapura24,
  author       = {Nagendra Krishnapura},
  title        = {Analysis of Signal Transmission through Time-Varying Inductively Coupled
                  Links},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558377},
  doi          = {10.1109/ISCAS58744.2024.10558377},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Krishnapura24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kuang0W24,
  author       = {Jingdong Kuang and
                  Wei Liu and
                  Zhengyu Wan},
  title        = {Deep Learning Based Source Direction Estimation with Magnitude-only
                  Array Measurements},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558455},
  doi          = {10.1109/ISCAS58744.2024.10558455},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Kuang0W24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuangCCZC0L24,
  author       = {Yonghong Kuang and
                  Yekan Chen and
                  Tianyi Cai and
                  Qi Zhang and
                  Zipeng Cheng and
                  Bo Zhao and
                  Yuxuan Luo},
  title        = {A One-Point-Trimmed 18.4 ppm/{\textdegree}C On-Chip Oscillator with
                  Capacitively-Biased-Diode-based Quasi-Digital Temperature Compensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558686},
  doi          = {10.1109/ISCAS58744.2024.10558686},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KuangCCZC0L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KudaibergenovaD24,
  author       = {Zhanel Kudaibergenova and
                  Kassen Dautov and
                  Mohammad S. Hashmi},
  title        = {Near-Field {WPT} System Design for Concurrent Charging of Two Independent
                  Loads},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558114},
  doi          = {10.1109/ISCAS58744.2024.10558114},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KudaibergenovaD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KukunuruRS24,
  author       = {Sandeep Reddy Kukunuru and
                  Farzan Rezaei and
                  Loai G. Salem},
  title        = {A Single-Inductor 5: 1 Resonant Switched-Capacitor Ladder Converter
                  with Continuous Voltage Conversion Capability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558015},
  doi          = {10.1109/ISCAS58744.2024.10558015},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KukunuruRS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kumar24,
  author       = {R. S. Ashwin Kumar},
  title        = {Flip-Around Level-Shifting For Switched-Capacitor Amplifiers to Improve
                  the Closed-Loop Settling of Floating-Inverter Amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558405},
  doi          = {10.1109/ISCAS58744.2024.10558405},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Kumar24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarGSM24,
  author       = {Nishant Kumar and
                  Hari Shanker Gupta and
                  Anuj Srivastava and
                  Nihar Ranjan Mohapatra},
  title        = {A Programmable and Adaptive Dead-Time Controller for Low-Offset Output
                  Generation for Cryo-Cooler Drive Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558210},
  doi          = {10.1109/ISCAS58744.2024.10558210},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarGSM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumariSDDA24,
  author       = {Rashmi Kumari and
                  Surita Sarkar and
                  Debeshi Dutta and
                  Pabitra Das and
                  Amit Acharyya},
  title        = {{P2E-LGAN:} {PPG} to {ECG} Reconstruction Methodology using {LSTM}
                  based Generative Adversarial Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558493},
  doi          = {10.1109/ISCAS58744.2024.10558493},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumariSDDA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KurthSNHWMG24,
  author       = {Patrick Kurth and
                  Philipp Scholz and
                  Philipp Nickel and
                  Urs Hecht and
                  Enne Wittenhagen and
                  Kai Misselwitz and
                  Friedel Gerfers},
  title        = {A mmw Low-Noise Sub-Sampling Phase-Locked Loop with a Non-Pulsed Charge
                  Pump, Frequency Calibration and a Compact Ultra-High-Q Resonator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557980},
  doi          = {10.1109/ISCAS58744.2024.10557980},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KurthSNHWMG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KushwahaJDB24,
  author       = {Dinesh Kushwaha and
                  Rajiv V. Joshi and
                  Sudeb Dasgupta and
                  Anand Bulusu},
  title        = {SRAM-Based Hybrid Analog Compute-In-memory Architecture to Enhance
                  the Signal Margin},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558054},
  doi          = {10.1109/ISCAS58744.2024.10558054},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KushwahaJDB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KwakCLY24,
  author       = {Jungyoun Kwak and
                  Gihun Choe and
                  Junmo Lee and
                  Shimeng Yu},
  title        = {Monolithic 3D Transposable 3T Embedded {DRAM} with Back-end-of-line
                  Oxide Channel Transistor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558692},
  doi          = {10.1109/ISCAS58744.2024.10558692},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KwakCLY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaleniPKJ24,
  author       = {Nellie Laleni and
                  Sahana Padma and
                  Thomas K{\"{a}}mpfe and
                  Taekwang Jang},
  title        = {Single Slope {ADC} with Reset Counting for FeFET-based In-Memory Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558638},
  doi          = {10.1109/ISCAS58744.2024.10558638},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaleniPKJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LalithammaM24,
  author       = {Snehalatha Lalithamma and
                  Saravana Manivannan},
  title        = {A Chopper-Stabilized Bandgap Reference with a Double-Sampled {FIR}
                  Filter in 180-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558104},
  doi          = {10.1109/ISCAS58744.2024.10558104},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LalithammaM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LammieVBCGRS24,
  author       = {Corey Lammie and
                  Athanasios Vasilopoulos and
                  Julian B{\"{u}}chel and
                  Giacomo Camposampiero and
                  Manuel Le Gallo and
                  Malte Rasch and
                  Abu Sebastian},
  title        = {Improving the Accuracy of Analog-Based In-Memory Computing Accelerators
                  Post-Training},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558540},
  doi          = {10.1109/ISCAS58744.2024.10558540},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LammieVBCGRS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaurencinTC24,
  author       = {Nicoleta Cucu Laurencin and
                  Charles Timmermans and
                  Sorin Dan Cotofana},
  title        = {An Energy-Efficient Graphene-based Spiking Neural Network Architecture
                  for Pattern Recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558243},
  doi          = {10.1109/ISCAS58744.2024.10558243},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaurencinTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeBL24,
  author       = {Trung{-}Khanh Le and
                  Trong{-}Tu Bui and
                  Duc{-}Hung Le},
  title        = {A Probability Method to Estimate the State of a Digital Resonate-And-Fire
                  Neuron without Running a Simulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558452},
  doi          = {10.1109/ISCAS58744.2024.10558452},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeBL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeC24,
  author       = {Tzung{-}Je Lee and
                  Ji{-}Hau Chiou},
  title        = {A 3.2-GHz 0.3/0.5 {V} 16-nm FinFET {I/O} Buffer With Low-Power {PVT}
                  Compensation Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557848},
  doi          = {10.1109/ISCAS58744.2024.10557848},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeHL24,
  author       = {Hyun{-}Bin Lee and
                  Yoon Heo and
                  Won{-}Young Lee},
  title        = {A Wide-Range Reference-Less Digital Clock and Data Recovery for Harmonic-Lock-Free
                  Frequency Acquisition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557972},
  doi          = {10.1109/ISCAS58744.2024.10557972},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeJCKBKRMFK24,
  author       = {Jaewon Lee and
                  Seoyoung Jang and
                  Yujin Choi and
                  Donggeon Kim and
                  Matthias Braendli and
                  Marcel A. Kossel and
                  Andrea Ruffino and
                  Thomas Morf and
                  Pier Andrea Francese and
                  Gain Kim},
  title        = {A 4{\texttimes}4 {MIMO} Discrete Multitone Wireline Transceiver With
                  Far-End Crosstalk Cancellation For ADC-Based High-Speed Serial Links},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558117},
  doi          = {10.1109/ISCAS58744.2024.10558117},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeJCKBKRMFK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeJJKOKJ24,
  author       = {Woobean Lee and
                  Yoontae Jung and
                  Hyuntak Jeon and
                  Jimin Koo and
                  Sein Oh and
                  Soon{-}Jae Kweon and
                  Minkyu Je},
  title        = {An Area-Efficient, DC-Coupled VCO-Based {CT} {\(\Delta\)}{\(\Sigma\)}M
                  with Input-TR-DAC for Neural Recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558380},
  doi          = {10.1109/ISCAS58744.2024.10558380},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeJJKOKJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeLK24,
  author       = {Jooyeon Lee and
                  Donghun Lee and
                  Jaeha Kung},
  title        = {A Ready-to-Use {RTL} Generator for Systolic Tensor Arrays and Analysis
                  Using Open-Source {EDA} Tools},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558043},
  doi          = {10.1109/ISCAS58744.2024.10558043},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeLK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeTC24,
  author       = {Shuenn{-}Yuh Lee and
                  Wei{-}Cheng Tseng and
                  Ju{-}Yi Chen},
  title        = {An Ultra-Lightweight Time Period {CNN} Based Model with {AI} Accelerator
                  Design for Arrhythmia Classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557831},
  doi          = {10.1109/ISCAS58744.2024.10557831},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeTJ24,
  author       = {Chia{-}Jung Lee and
                  Chung{-}Lun Tu and
                  Shyh{-}Jye Jou},
  title        = {Online Self-Adaptive Estimation and Compensation Design for {DC} Voltage
                  Offset, Frequency-Independent, and Frequency-Dependent {IQ} Mismatch
                  in Sub-THz Digital Baseband Transceiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558616},
  doi          = {10.1109/ISCAS58744.2024.10558616},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeTJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeZ0T24,
  author       = {Jaekwon Lee and
                  Lu Zhang and
                  Donghyun Kim and
                  Kar{-}Ann Toh},
  title        = {Human Activity Recognition Using Wi-Fi Signals based on Tokenized
                  Signals with Attention},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558208},
  doi          = {10.1109/ISCAS58744.2024.10558208},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeZ0T24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeiC24,
  author       = {Lei Lei and
                  Zhiming Chen},
  title        = {A Reconfigurable Fused Multiply-Accumulate For Miscellaneous Operators
                  in Deep Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558516},
  doi          = {10.1109/ISCAS58744.2024.10558516},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeiC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeiLLJXZF24,
  author       = {Faxing Lei and
                  Chao Liu and
                  Wei Li and
                  Ming{-}e Jing and
                  Xiankui Xiong and
                  Xuanpeng Zhu and
                  Yibo Fan},
  title        = {SFFTNet: Sparse Feature Fusion Transformer Network for Image Deblurring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558403},
  doi          = {10.1109/ISCAS58744.2024.10558403},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeiLLJXZF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeiMLL24,
  author       = {Xin Lei and
                  Hongwei Ma and
                  Bin Liu and
                  Zhen Li},
  title        = {Power System Events Classification Technology Based on Deep-Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558063},
  doi          = {10.1109/ISCAS58744.2024.10558063},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeiMLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Leon-SalasNEB24,
  author       = {Walter D. Leon{-}Salas and
                  Diana Narvaez{-}Bernal and
                  Rodrigo Esparza and
                  Gabriel Baquero},
  title        = {Live Demonstration: Optical Communications using Solar Cells},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558542},
  doi          = {10.1109/ISCAS58744.2024.10558542},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Leon-SalasNEB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeongWGL24,
  author       = {Chio{-}Hong Leong and
                  Chi{-}Kong Wong and
                  Cheng Gong and
                  Chi{-}Seng Lam},
  title        = {A Steady-state Operation Based Online Parameter Identification Method
                  of Output Capacitor for {DC-DC} Buck Converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558066},
  doi          = {10.1109/ISCAS58744.2024.10558066},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeongWGL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LhomelFDKRD24,
  author       = {Antoine Lhomel and
                  Maxandre Fellmann and
                  Yann Deval and
                  Eric Kerherv{\'{e}} and
                  Fran{\c{c}}ois Rivet and
                  Nathalie Deltimple},
  title        = {Co-simulation Workflow for D-Band Power Amplifier Linearization using
                  Walsh-based {DPD}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558252},
  doi          = {10.1109/ISCAS58744.2024.10558252},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LhomelFDKRD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Li0JBD24,
  author       = {Jiayang Li and
                  Yu Wu and
                  Dai Jiang and
                  Richard H. Bayford and
                  Andreas Demosthenous},
  title        = {A Current {DAC} Based Current Generator with Fourth-Order Current-Mode
                  Filter for Electrical Impedance Tomography},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558679},
  doi          = {10.1109/ISCAS58744.2024.10558679},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Li0JBD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Li0OLZYC24,
  author       = {Yike Li and
                  Zheng Wang and
                  Wenhui Ou and
                  Chen Liang and
                  Weiyu Zhou and
                  Yongkui Yang and
                  Chao Chen},
  title        = {Low-latency Buffering for Mixed-precision Neural Network Accelerator
                  with MulTAP and FQPipe},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558641},
  doi          = {10.1109/ISCAS58744.2024.10558641},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Li0OLZYC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Li0TH24,
  author       = {Lebin Li and
                  Ning Jiang and
                  Jialiang Tang and
                  Xinlei Huang},
  title        = {Amalgamating Knowledge for Comprehensive Classification with Uncertainty
                  Suppression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557913},
  doi          = {10.1109/ISCAS58744.2024.10557913},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Li0TH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiBHCH24,
  author       = {Yung{-}Pei Li and
                  Wei{-}Ting Bai and
                  Tian{-}Wei Huang and
                  Chien Chen and
                  Yuh{-}Jing Hwang},
  title        = {A 70-to-110 GHz 28-nm {CMOS} Low Noise Amplifier with 6.1-dB {NF}
                  Minimum Using Differential Noise Optimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558255},
  doi          = {10.1109/ISCAS58744.2024.10558255},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiBHCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiBLZHLDD24,
  author       = {Likai Li and
                  Yichuan Bai and
                  Shengping Liu and
                  Yang Zhao and
                  Sunan He and
                  Yaqing Li and
                  Li Du and
                  Yuan Du},
  title        = {Optoelectronic Computing Evaluation and Deployment Platform Based
                  on a 256-MAC Silicon Photonic Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558214},
  doi          = {10.1109/ISCAS58744.2024.10558214},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiBLZHLDD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiBPMBBC24,
  author       = {Zidu Li and
                  Phil David B{\"{o}}rner and
                  Soumya Shatakshi Panda and
                  Maurice M{\"{u}}ller and
                  Andreas Bablich and
                  Peter Haring Bol{\'{\i}}var and
                  Bhaskar Choubey},
  title        = {Amorphous Silicon Single Photon Avalanche Diode Integrated with Memristor
                  for Short Term Memory Based Rapid Passive Quenching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558387},
  doi          = {10.1109/ISCAS58744.2024.10558387},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiBPMBBC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiC24,
  author       = {I{-}Hsuan Li and
                  Tian{-}Sheuan Chang},
  title        = {Dynamic Gradient Sparse Update for Edge Training},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558072},
  doi          = {10.1109/ISCAS58744.2024.10558072},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiCLL24,
  author       = {Jiahe Li and
                  Ruoyu Chu and
                  Ziqi Li and
                  Hongming Lyu},
  title        = {Precise and Tunable T{\(\Omega\)} Pseudo-Resistors Based on Process-Independent
                  pA-level Current Sources and DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558047},
  doi          = {10.1109/ISCAS58744.2024.10558047},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiCLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiCZZJ24,
  author       = {Yu Li and
                  Shan Cao and
                  Beining Zhao and
                  Wei Zhang and
                  Zhiyuan Jiang},
  title        = {Hybrid-Grained Pruning and Hardware Acceleration for Convolutional
                  Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558640},
  doi          = {10.1109/ISCAS58744.2024.10558640},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiCZZJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiF24,
  author       = {Jia{-}Yu Li and
                  Wai{-}Chi Fang},
  title        = {An Edge {AI} Accelerator Design Based on {HDC} Model for Real-time
                  EEG-based Emotion Recognition System with {RISC-V} {FPGA} Platform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558319},
  doi          = {10.1109/ISCAS58744.2024.10558319},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiGL24,
  author       = {Ziqi Li and
                  Xinyue Gu and
                  Hongming Lyu},
  title        = {A 9.45-ENOB 3.84-MS/s Ping-Pong Interleaving {SAR} {ADC} with Integrated
                  Buffers and {SPI} for 96-Channel Neural Signal Acquisition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557883},
  doi          = {10.1109/ISCAS58744.2024.10557883},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiGL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiGWBMJM24,
  author       = {Manxin Li and
                  Runpeng Gao and
                  Calder Wilson and
                  Amartya Basak and
                  Evan C. Markwell and
                  Matthew L. Johnston and
                  Un{-}Ku Moon},
  title        = {An Easy-to-Drive Discrete-Time {ADC} Topology Using Digital Predictive
                  Level-Shifting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558507},
  doi          = {10.1109/ISCAS58744.2024.10558507},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiGWBMJM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHLMLZZ024,
  author       = {Weiyan Li and
                  Xianren Hao and
                  Xiaguang Li and
                  Yan Ma and
                  Jingjing Liu and
                  Huaxi Zhang and
                  Xiaoyang Zeng and
                  Zhiyuan Chen},
  title        = {A Single-Stage Four-Phase Dual-Output Regulating Rectifier With Ultrafast
                  Transient Response Using Double-Frequency Current-Wave Modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558000},
  doi          = {10.1109/ISCAS58744.2024.10558000},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHLMLZZ024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiKZN24,
  author       = {Mingyang Li and
                  Yirong Kan and
                  Renyuan Zhang and
                  Yasuhiko Nakashima},
  title        = {A Fully-Parallel Reconfigurable Spiking Neural Network Accelerator
                  with Structured Sparse Connections},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558156},
  doi          = {10.1109/ISCAS58744.2024.10558156},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiKZN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLFZT24,
  author       = {Biwei Li and
                  Dong Liu and
                  Junyuan Fang and
                  Xi Zhang and
                  Chi K. Tse},
  title        = {Strengthening Critical Power Network Branches for Cascading Failure
                  Mitigation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558306},
  doi          = {10.1109/ISCAS58744.2024.10558306},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLFZT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLLLSZK24,
  author       = {Zehao Li and
                  Wenhao Lu and
                  Yuncheng Lu and
                  Junying Li and
                  Yucen Shi and
                  Yuanjin Zheng and
                  Tony Tae{-}Hyoung Kim},
  title        = {Live Demonstration: Real-Time Object Detection {\&} Classification
                  System in IoT with Dynamic Neuromorphic Vision Sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558174},
  doi          = {10.1109/ISCAS58744.2024.10558174},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLLLSZK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLLLSZK24a,
  author       = {Zehao Li and
                  Wenhao Lu and
                  Yuncheng Lu and
                  Junying Li and
                  Yucen Shi and
                  Yuanjin Zheng and
                  Tony Tae{-}Hyoung Kim},
  title        = {An Energy-Efficient Object Detection System in IoT with Dynamic Neuromorphic
                  Vision Sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558689},
  doi          = {10.1109/ISCAS58744.2024.10558689},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLLLSZK24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLZ24,
  author       = {Guoqiang Li and
                  Yongfang Liu and
                  Yuanjin Zheng},
  title        = {Design of Magnetic Field Acquisition Probe and Front-End Signal Processing
                  Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558685},
  doi          = {10.1109/ISCAS58744.2024.10558685},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLZ24a,
  author       = {Jiebao Li and
                  Yongfu Li and
                  Yanhan Zeng},
  title        = {A 5V-Input Sub-1V-Output Single-Inductor Multi-Path Hybrid Buck Converter
                  Achieving 96.1{\%} Peak Efficiency with 250m{\(\Omega\)} {DCR} Inductor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558404},
  doi          = {10.1109/ISCAS58744.2024.10558404},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLZ24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiQX024,
  author       = {Zicheng Li and
                  Yadong Qu and
                  Hongtao Xie and
                  Yongdong Zhang},
  title        = {LATextSpotter: Empowering Transformer Decoder with Length Perception
                  Ability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558151},
  doi          = {10.1109/ISCAS58744.2024.10558151},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiQX024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiSK24,
  author       = {Che{-}Hao Li and
                  Tzu{-}Han Su and
                  Chien{-}Nan Kuo},
  title        = {A 4-7 GHz Broadband Cryogenic GaAs mHEMT {LNA} with a Flatness Gain
                  Variation of {\(\pm\)}1.2 dB},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558695},
  doi          = {10.1109/ISCAS58744.2024.10558695},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiSK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiSLW24,
  author       = {Kangning Li and
                  Qing Shen and
                  Wei Liu and
                  Min Wang},
  title        = {Wideband {DOA} Estimation Based on Tensor Completion and Decomposition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558253},
  doi          = {10.1109/ISCAS58744.2024.10558253},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiSLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWLYZZGYHLLD24,
  author       = {Weizeng Li and
                  Linfang Wang and
                  Zhi Li and
                  Wang Ye and
                  Zhidao Zhou and
                  Haiyang Zhou and
                  Hanghang Gao and
                  Jinshan Yue and
                  Hongyang Hu and
                  Fengman Liu and
                  Qing Luo and
                  Chunmeng Dou},
  title        = {A 2T P-Channel Logic Flash Cell for Reconfigurable Interconnection
                  in Chiplet-Based Computing-In-Memory Accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558443},
  doi          = {10.1109/ISCAS58744.2024.10558443},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWLYZZGYHLLD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWST024,
  author       = {Muhao Li and
                  Huizheng Wang and
                  Yifei Shen and
                  Xiaosi Tan and
                  Chuan Zhang},
  title        = {Code Length Compatible Belief Propagation Polar Decoder Based on Folding
                  and Unfolding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557937},
  doi          = {10.1109/ISCAS58744.2024.10557937},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWST024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWZLL24,
  author       = {Haoyu Li and
                  Dong Wang and
                  Jiazheng Zhou and
                  Junhua Liu and
                  Huailin Liao},
  title        = {A 0.5 {\(\mu\)}m\({}^{\mbox{2}}\) 2-T Thin-Oxide {OTP} Antifuse with
                  Reliability Enhanced by Auto Shut-off Program Logic for Low-Power
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558565},
  doi          = {10.1109/ISCAS58744.2024.10558565},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWZLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWZLYLKSWX24,
  author       = {Zixu Li and
                  Wang Wang and
                  Xin Zhong and
                  Manni Li and
                  Jiayu Yang and
                  Yinyin Lin and
                  Guhyun Kim and
                  Yosub Song and
                  Chengchen Wang and
                  Xiankui Xiong},
  title        = {LauWS: Local Adaptive Unstructured Weight Sparsity of Load Balance
                  for {DNN} in Near-Data Processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558554},
  doi          = {10.1109/ISCAS58744.2024.10558554},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWZLYLKSWX24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWZW24,
  author       = {Jianye Li and
                  Jialei Wu and
                  Yixin Zhou and
                  Keping Wang},
  title        = {A Charge-Balanced Monopolar Neural Stimulator by Utilizing Dynamic
                  Current Replication Technique Achieving {\textless}1 nA Residual Average
                  {DC} Current Error},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558517},
  doi          = {10.1109/ISCAS58744.2024.10558517},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWZW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiYWY24,
  author       = {Fanyang Li and
                  Tao Yin and
                  Faxiang Wang and
                  Zhanpeng Yuan},
  title        = {A Transient Response Improved Digital {LDO} with an Approximate {CEAG}
                  Analog-to-Frequency Domain Converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558016},
  doi          = {10.1109/ISCAS58744.2024.10558016},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiYWY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZ0Z0LZL24,
  author       = {Chunyi Li and
                  Zicheng Zhang and
                  Haoning Wu and
                  Kaiwei Zhang and
                  Lei Bai and
                  Xiaohong Liu and
                  Guangtao Zhai and
                  Weisi Lin},
  title        = {{PAPS-OVQA:} Projection-Aware Patch Sampling for Omnidirectional Video
                  Quality Assessment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558283},
  doi          = {10.1109/ISCAS58744.2024.10558283},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZ0Z0LZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZHLQL24,
  author       = {Jianzheng Li and
                  Yuchen Zhao and
                  Weimin Hu and
                  Yufei Liu and
                  Yajie Qin and
                  Ziwei Liu},
  title        = {An Improved Foreground Calibration Method for Capacitor Mismatch in
                  {NS-SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558559},
  doi          = {10.1109/ISCAS58744.2024.10558559},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZHLQL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZHZZLCHZC24,
  author       = {Mengjie Li and
                  Hongyi Zhang and
                  Siqi He and
                  Haozhe Zhu and
                  Hao Zhang and
                  Jinglei Liu and
                  Jiayuan Chen and
                  Zhenping Hu and
                  Xiaoyang Zeng and
                  Chixiao Chen},
  title        = {A 19.7 {TFLOPS/W} Multiply-less Logarithmic Floating-Point {CIM} Architecture
                  with Error-Reduced Compensated Approximate Adder},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558433},
  doi          = {10.1109/ISCAS58744.2024.10558433},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZHZZLCHZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZKKHK24,
  author       = {Dongzhu Li and
                  Tianqi Zhao and
                  Kenji Kobayashi and
                  Atsutake Kosuge and
                  Mototsugu Hamada and
                  Tadahiro Kuroda},
  title        = {Efficient {FPGA} Resource Utilization in Wired-Logic Processors Using
                  Coarse and Fine Segmentation of LUTs for Non-Linear Functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558064},
  doi          = {10.1109/ISCAS58744.2024.10558064},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZKKHK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZLQZ24,
  author       = {Zirui Li and
                  Jianwang Zhai and
                  Zixuan Li and
                  Zhongdong Qi and
                  Kang Zhao},
  title        = {Effective Resource Model and Cost Scheme for Maze Routing in 3D Global
                  Routing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558048},
  doi          = {10.1109/ISCAS58744.2024.10558048},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZLQZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZLW24,
  author       = {Shuyang Li and
                  Xilang Zhou and
                  Haodong Lu and
                  Kun Wang},
  title        = {DNNMapper: An Elastic Framework for Mapping DNNs to Multi-die FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558120},
  doi          = {10.1109/ISCAS58744.2024.10558120},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZSCH24,
  author       = {Yifei Li and
                  Yuxin Zhou and
                  Yuhao Shu and
                  Hongyu Chen and
                  Yajun Ha},
  title        = {The Optimization of Aging-aware 8T {SRAM} for {FPGA} Configuration
                  Memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558350},
  doi          = {10.1109/ISCAS58744.2024.10558350},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZSCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZTFYW024,
  author       = {Yina Li and
                  Wenwen Zhang and
                  Zhouzhuo Tang and
                  Yingmei Feng and
                  Xia Yu and
                  Qi{-}Jie Wang and
                  Zhiping Lin},
  title        = {Signal Analysis and Detection of {COVID-19} Infection with {ATR-FTIR}
                  Spectroscopy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558423},
  doi          = {10.1109/ISCAS58744.2024.10558423},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZTFYW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiZWLZ24,
  author       = {Dengquan Li and
                  Yexin Zhu and
                  Longsheng Wang and
                  Shubin Liu and
                  Zhangming Zhu},
  title        = {Low-Cost Linearity Testing of High-Resolution ADCs Using Segmentation
                  Modeling and Partial Polynomial Fitting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558217},
  doi          = {10.1109/ISCAS58744.2024.10558217},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiZWLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangC24,
  author       = {Can Liang and
                  Zeyu Cai},
  title        = {An Energy Efficient Delay Element with Self-shutoff Logic and Delay
                  Extension},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558660},
  doi          = {10.1109/ISCAS58744.2024.10558660},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangC24a,
  author       = {Can Liang and
                  Zeyu Cai},
  title        = {A 5.80 ns, 22.77 fJ, Energy Efficient Level Shifter Using Auto Switch
                  Logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558073},
  doi          = {10.1109/ISCAS58744.2024.10558073},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangC24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangD24,
  author       = {Jung{-}An Liang and
                  Jian{-}Jiun Ding},
  title        = {Swin Transformer for Pedestrian and Occluded Pedestrian Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558302},
  doi          = {10.1109/ISCAS58744.2024.10558302},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangJH24,
  author       = {Jing Liang and
                  Xiaotao Jia and
                  Yuanqi Hu},
  title        = {Miniaturized and Integrated On-Chip Ag/AgCl Micro-electrodes for Chemical
                  Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558327},
  doi          = {10.1109/ISCAS58744.2024.10558327},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangJH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiaoLLWLQ24,
  author       = {Haoyu Liao and
                  Yuan Li and
                  Puguang Liu and
                  Qiang Wang and
                  Mingche Lai and
                  Xingyun Qi},
  title        = {Optimization of {TDM} Using Single-ended Transmission for Multi-FPGA
                  Platforms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558513},
  doi          = {10.1109/ISCAS58744.2024.10558513},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiaoLLWLQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiaoTJ24,
  author       = {Feng{-}Ju Liao and
                  Chung{-}Lun Tu and
                  Shyh{-}Jye Jou},
  title        = {Channel Estimation and Equalization Design with {SNR} Decision Based
                  Universal Threshold for Sub-THz Single Carrier Baseband Receiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558005},
  doi          = {10.1109/ISCAS58744.2024.10558005},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiaoTJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lin0ZTW24,
  author       = {Kaixin Lin and
                  Dan Lin and
                  Ziye Zheng and
                  Yixiang Tan and
                  Jiajing Wu},
  title        = {Detecting Fake Deposit Attacks on Cross-chain Bridges from a Network
                  Perspective},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558359},
  doi          = {10.1109/ISCAS58744.2024.10558359},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lin0ZTW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCC24,
  author       = {Wei{-}Chung Lin and
                  Yung{-}Chi Chang and
                  Yung{-}Hui Chung},
  title        = {A 10b 400MS/s 2x-Time-Interleaved 2-Then-1b/Cycle {SAR} {ADC} in 90nm
                  {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558122},
  doi          = {10.1109/ISCAS58744.2024.10558122},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinK24,
  author       = {Kuan{-}Ting Lin and
                  Ming{-}Dou Ker},
  title        = {A Versatile 8-Channel High Voltage Stimulator for Comprehensive Neural
                  Stimulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557993},
  doi          = {10.1109/ISCAS58744.2024.10557993},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinL24,
  author       = {Rung{-}Bin Lin and
                  Pei{-}Sheng Lu},
  title        = {Sub-10nm Standard Cell Library Design Methodology for On-Grid Pin
                  Accesses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558407},
  doi          = {10.1109/ISCAS58744.2024.10558407},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLL24,
  author       = {Chun{-}An Lin and
                  Tsung{-}Jung Liu and
                  Kuan{-}Hsien Liu},
  title        = {{LIRSRN:} {A} Lightweight Infrared Image Super-Resolution Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558676},
  doi          = {10.1109/ISCAS58744.2024.10558676},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLLL24,
  author       = {Wen{-}Yue Lin and
                  Lin{-}Hung Lai and
                  Yi{-}Wei Lin and
                  Chen{-}Yi Lee},
  title        = {A Programmable {CMOS} Dielectrophoresis Array Chip with 128 {\texttimes}
                  128 Electrodes for Cell Manipulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558251},
  doi          = {10.1109/ISCAS58744.2024.10558251},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLWZPW24,
  author       = {Zhiting Lin and
                  Yunlong Liu and
                  Yaling Wang and
                  Yue Zhao and
                  Chunyu Peng and
                  Xiulong Wu},
  title        = {SRAM-Based Digital {CIM} Macro for Linear Interpolation and {MAC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558525},
  doi          = {10.1109/ISCAS58744.2024.10558525},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLWZPW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinNT0STH24,
  author       = {Jiaying Lin and
                  Ryuji Nagazawa and
                  Koichi Tokunaga and
                  Kien Nguyen and
                  Hiroo Sekiya and
                  Hiroyuki Torikai and
                  Won{-}Joo Hwang},
  title        = {{SNN} Modeling of Cricket Auditory Network with Izhikevich Model Optimized
                  by {PSO}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558612},
  doi          = {10.1109/ISCAS58744.2024.10558612},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinNT0STH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinWZC24,
  author       = {Liyu Lin and
                  Jingguo Wu and
                  Xiaoyang Zeng and
                  Yun Chen},
  title        = {A Semi-Folded Based High-Power-Efficiency {FFT} for Frequency Offset
                  Estimate},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558294},
  doi          = {10.1109/ISCAS58744.2024.10558294},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinWZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinZWWZYX24,
  author       = {Canghai Lin and
                  ZhiJiao Zhang and
                  Lei Wang and
                  Yao Wang and
                  Jingyue Zhao and
                  Zhijie Yang and
                  Xun Xiao},
  title        = {Fast and Lightweight Automatic Modulation Recognition using Spiking
                  Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558051},
  doi          = {10.1109/ISCAS58744.2024.10558051},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinZWWZYX24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LingCWZLW24,
  author       = {Zixuan Ling and
                  Xuanbang Chen and
                  Yuhao Wang and
                  Xun Zhang and
                  Xiaodong Liu and
                  Zhenghai Wang},
  title        = {Design and Implementation of Optical Fiber-based Visible Light Communication
                  System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558260},
  doi          = {10.1109/ISCAS58744.2024.10558260},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LingCWZLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LingYZZ24,
  author       = {Deyu Ling and
                  Wenxin Yu and
                  Zhiqiang Zhang and
                  Jinmei Zou},
  title        = {An Attention Network With Self-Supervised Learning for Rheumatoid
                  Arthritis Scoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558434},
  doi          = {10.1109/ISCAS58744.2024.10558434},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LingYZZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuCWSF24,
  author       = {Ruifang Liu and
                  Shijie Cheng and
                  Hao Wu and
                  Keith Siu{-}Fung Sze and
                  Qianjin Feng},
  title        = {A Frequency-domain Features Based Clustering Algorithm for Blood Pressure
                  Estimation with Photoplethysmogram Signal},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557947},
  doi          = {10.1109/ISCAS58744.2024.10557947},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuCWSF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuDL24,
  author       = {Yanwen Liu and
                  Jie Ding and
                  Xiang Li},
  title        = {New Measure for Network Controllability Robustness Based on Controllable
                  Subspace},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558485},
  doi          = {10.1109/ISCAS58744.2024.10558485},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuDL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuDL24a,
  author       = {Yirui Liu and
                  Yukun Ding and
                  Xiao Liu},
  title        = {A Lossless Neural Recording SoC for Epilepsy Monitoring with up to
                  84.9-dB Dynamic Range and Rail-to-Rail Stimulation Artifact Tolerance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558070},
  doi          = {10.1109/ISCAS58744.2024.10558070},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuDL24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuFWSF24,
  author       = {Jiahao Liu and
                  Wangchen Fan and
                  Yiqing Wang and
                  Weifeng Sun and
                  Zhongyuan Fang},
  title        = {A Tri-Loop Capacitor-Less {LDO} with Current Feedback Loop and Super
                  Source Follower Achieving 8-mV Undershoot and 99-dB {PSR}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558163},
  doi          = {10.1109/ISCAS58744.2024.10558163},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuFWSF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuH24,
  author       = {Guoao Liu and
                  Yuanqi Hu},
  title        = {A 400 MHz Voltage-Mode-Based Fully Integrated Regulating Rectifier
                  for Deep Tissue Bio-implants},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558169},
  doi          = {10.1109/ISCAS58744.2024.10558169},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuHCLF24,
  author       = {Jiahao Liu and
                  Leilei Huang and
                  Shushi Chen and
                  Wei Li and
                  Yibo Fan},
  title        = {An 8K@120fps Hardware Implementation for Decoder-Side Motion Vector
                  Refinement in {VVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558396},
  doi          = {10.1109/ISCAS58744.2024.10558396},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuHCLF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuHHYHQLZ0Z24,
  author       = {Ye Liu and
                  Shuang Hao and
                  Kun Huang and
                  Minghui Yang and
                  Zili Huang and
                  Xiuyuan Qi and
                  Yiting Li and
                  Liang Zhou and
                  Yu Long and
                  Jun Zhou},
  title        = {An FPGA-based Ultra-High Performance and Scalable Optical Flow Hardware
                  Accelerator for Autonomous Driving},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557997},
  doi          = {10.1109/ISCAS58744.2024.10557997},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuHHYHQLZ0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuHQZMLYLJ24,
  author       = {Bowen Liu and
                  Yangkun Hou and
                  Yueshan Qin and
                  Jiwei Zou and
                  Hanbin Ma and
                  Yongpan Liu and
                  Huazhong Yang and
                  Xueqing Li and
                  Chen Jiang},
  title        = {A 1024-Channel Neurostimulation System Enabled by Photolithographic
                  Organic Thin-Film Transistors with High Uniformity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558585},
  doi          = {10.1109/ISCAS58744.2024.10558585},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuHQZMLYLJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLLLLL24,
  author       = {Heng{-}Yu Liu and
                  Lin{-}Hung Lai and
                  Wen{-}Yue Lin and
                  Yu{-}Wei Lu and
                  Yi{-}Wei Lin and
                  Chen{-}Yi Lee},
  title        = {A 2.56-{\(\mathrm{\mu}\)}s Dynamic Range, 31.25-ps Resolution 2-D
                  Vernier Digital-to-Time Converter {(DTC)} for Cell-Monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558438},
  doi          = {10.1109/ISCAS58744.2024.10558438},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLLLLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLNH24,
  author       = {Liu Liu and
                  Ann Franchesca Laguna and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu},
  title        = {Design of High-Performance and Compact {CAM} for Supporting Data-Intensive
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558698},
  doi          = {10.1109/ISCAS58744.2024.10558698},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLNH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuSW24,
  author       = {Zengrun Liu and
                  Diya Shi and
                  Ying Wei},
  title        = {Multi-Kernel Attention Encoder For Time-Domain Speech Separation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557889},
  doi          = {10.1109/ISCAS58744.2024.10557889},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuSW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuSWGP24,
  author       = {Lu Liu and
                  Tao Shi and
                  Dan Wang and
                  Nan Gu and
                  Zhouhua Peng},
  title        = {Finite Set Model Predictive Control for {PWM} Rectifiers Based on
                  Data-driven Neural Network Predictor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558188},
  doi          = {10.1109/ISCAS58744.2024.10558188},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuSWGP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuT0XLL24,
  author       = {He Liu and
                  Simin Tao and
                  Zhipeng Huang and
                  Biwei Xie and
                  Xingquan Li and
                  Ge Li},
  title        = {Instance-level Timing Learning and Prediction at Placement using Res-UNet
                  Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557949},
  doi          = {10.1109/ISCAS58744.2024.10557949},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuT0XLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuWL024,
  author       = {Tianyi Liu and
                  Xuecheng Wang and
                  Guolin Li and
                  Milin Zhang},
  title        = {Design and {FPGA} Implementation of a Light-Weight Calibration-Friendly
                  Eye Gaze Tracking Algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558094},
  doi          = {10.1109/ISCAS58744.2024.10558094},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuWL024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuWLL24,
  author       = {Kuan{-}Hsien Liu and
                  Chih{-}Jung Wang and
                  Tsung{-}Jung Liu and
                  Wen{-}Ren Liu},
  title        = {{RDLNET:} Residual Dense Block based Lightweight Network for Video
                  Super-Resolution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558336},
  doi          = {10.1109/ISCAS58744.2024.10558336},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuWLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuWS024,
  author       = {Xinyan Liu and
                  Xiao Wu and
                  Haikuo Shao and
                  Zhongfeng Wang},
  title        = {A Flexible FPGA-Based Accelerator for Efficient Inference of Multi-Precision
                  CNNs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557882},
  doi          = {10.1109/ISCAS58744.2024.10557882},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuWS024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYWFL24,
  author       = {Xuelin Liu and
                  Jiebin Yan and
                  Zheng Wan and
                  Yuming Fang and
                  Hantao Liu},
  title        = {Blind Quality Assessment of Panoramic Images Based on Multiple Viewport
                  Sequences},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558321},
  doi          = {10.1109/ISCAS58744.2024.10558321},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYWFL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYWHZ24,
  author       = {Qinghao Liu and
                  Chuanshi Yang and
                  Yange Wang and
                  Chun{-}Huat Heng and
                  Yuanjin Zheng},
  title        = {A 825 MHz 2.83 {\(\mathrm{\mu}\)}W -70 dBm Sensitivity Wake-up Receiver
                  with Resonant Noise Matching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558187},
  doi          = {10.1109/ISCAS58744.2024.10558187},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYWHZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYZWC24,
  author       = {Yuxin Liu and
                  Wenxin Yu and
                  Zhiqiang Zhang and
                  Qi Wang and
                  Lu Che},
  title        = {Axial Attention Transformer for Fast High-quality Image Style Transfer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558531},
  doi          = {10.1109/ISCAS58744.2024.10558531},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYZWC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZCBDM24,
  author       = {Feng Liu and
                  Xianyue Zhao and
                  Ziang Chen and
                  Christopher Bengel and
                  Nan Du and
                  Stephan Menzel},
  title        = {Realization of Reading-based Ternary {\L}ukasiewicz Logic using Memristive
                  Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558534},
  doi          = {10.1109/ISCAS58744.2024.10558534},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZCBDM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZG24,
  author       = {Shuanghua Liu and
                  Junming Zeng and
                  Pantelis Georgiou},
  title        = {A Low Power Analogue Compressed Sensing Approach for {CMOS} {ISFET}
                  Arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558464},
  doi          = {10.1109/ISCAS58744.2024.10558464},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZYGZG24,
  author       = {Chang Liu and
                  Yi Zhao and
                  Yue Yin and
                  He Guan and
                  Hao Zhang and
                  Fadhel M. Ghannouchi},
  title        = {Broadband High-Efficiency Continuous Class-F/F-1 Power Amplifiers
                  with Active Second Harmonic Injection Technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558643},
  doi          = {10.1109/ISCAS58744.2024.10558643},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZYGZG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LoiG024,
  author       = {Dante Loi and
                  Javier Granizo and
                  Luis Hern{\'{a}}ndez},
  title        = {A Scalable and {PVT} Invariant Spiking Neuron Using Asynchronous {CMOS}
                  Logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558397},
  doi          = {10.1109/ISCAS58744.2024.10558397},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LoiG024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LotfiKUSSNA24,
  author       = {Hadi Lotfi and
                  Michal Kern and
                  Thomas Unden and
                  Jochen Scharpf and
                  Ilai Schwartz and
                  Philipp Neumann and
                  Jens Anders},
  title        = {An S-band SiGe BiCMOS Transmitter for an {NV} Center Based Quantum
                  Magnetometer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558502},
  doi          = {10.1109/ISCAS58744.2024.10558502},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LotfiKUSSNA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LouXG0Z24,
  author       = {Zhengyuan Lou and
                  Meng Xu and
                  Yuekang Guo and
                  Jing Jin and
                  Jianjun Zhou},
  title        = {A Self-Calibrated Sampling Noise Cancellation Technique for Noise-Shaping
                  {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558700},
  doi          = {10.1109/ISCAS58744.2024.10558700},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LouXG0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuCD24,
  author       = {Ping Lu and
                  Minhan Chen and
                  Shaishav Desai},
  title        = {A Reduced-Fractional-Spur {DPLL} Based on Cyclic Single-Delay-Pair
                  Vernier {TDC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558589},
  doi          = {10.1109/ISCAS58744.2024.10558589},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuCD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuCSLLLZK24,
  author       = {Yuncheng Lu and
                  Kaixiang Cui and
                  Yucen Shi and
                  Zehao Li and
                  Junying Li and
                  Wenhao Lu and
                  Yuanjin Zheng and
                  Tony Tae{-}Hyoung Kim},
  title        = {A Memory-Efficient High-Speed Event-based Object Tracking System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558212},
  doi          = {10.1109/ISCAS58744.2024.10558212},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuCSLLLZK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuHWZZZL24,
  author       = {Wangzilu Lu and
                  Jiajie Huang and
                  Chao Wang and
                  Ting Zhou and
                  Yang Zhao and
                  Jian Zhao and
                  Yongfu Li},
  title        = {A 2.5 kHz 50.57 dB Linearized {VCO} {ADC} Using 6 {\(\mathrm{\mu}\)}m
                  {LTPS} TFTs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558572},
  doi          = {10.1109/ISCAS58744.2024.10558572},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuHWZZZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuPXYLYH24,
  author       = {Shihang Lu and
                  Zhuolin Peng and
                  Zhuoling Xiao and
                  Bo Yan and
                  Shuisheng Lin and
                  Sheng Yu and
                  Di He},
  title        = {GraSS: Graph Neural Networks for Loop Closure Detection with Semantic
                  and Spatial Assistance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557928},
  doi          = {10.1109/ISCAS58744.2024.10557928},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuPXYLYH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuPYCH24,
  author       = {Wei Lu and
                  Han{-}Hsiang Pei and
                  Jheng{-}Rong Yu and
                  Hung{-}Ming Chen and
                  Po{-}Tsang Huang},
  title        = {A 28nm Energy-Area-Efficient Row-based pipelined Training Accelerator
                  with Mixed {FXP4/FP16} for On-Device Transfer Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558053},
  doi          = {10.1109/ISCAS58744.2024.10558053},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuPYCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuSZJSLLM24,
  author       = {Yu Lu and
                  Hongwei Shen and
                  Qingsong Zhang and
                  Pengfei Jiang and
                  Tianyue Sun and
                  Yuxin Liao and
                  Mengjiao Li and
                  Hao Min},
  title        = {A 91 dB {SNDR} Calibration-Free Fully-Passive Noise-Shaping {SAR}
                  {ADC} with Mismatch Error Shaping},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557948},
  doi          = {10.1109/ISCAS58744.2024.10557948},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuSZJSLLM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuZGG24,
  author       = {Jiaju Lu and
                  Siqi Zhang and
                  Wang Ling Goh and
                  Yuan Gao},
  title        = {A 99.8-dB {SNDR} 10kHz-BW Second-Order {DT} Delta-Sigma Modulator
                  with Single {OTA} and Enhanced Noise-Coupling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557994},
  doi          = {10.1109/ISCAS58744.2024.10557994},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuZGG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoHHLZ24,
  author       = {Yanshen Luo and
                  Wenjian Huang and
                  Yuying Huang and
                  Yongfu Li and
                  Yanhan Zeng},
  title        = {A 0.7-V and 10-nA CMOS-Only Voltage Reference with 1-mA Load Driving
                  Capability Based on Gate-Voltage Compensation Loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558109},
  doi          = {10.1109/ISCAS58744.2024.10558109},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoHHLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoTLW24,
  author       = {Yuan{-}June Luo and
                  Yu{-}Shan Tai and
                  Ming{-}Guang Lin and
                  An{-}Yeu Andy Wu},
  title        = {Similarity-Aware Fast Low-Rank Decomposition Framework for Vision
                  Transformers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557934},
  doi          = {10.1109/ISCAS58744.2024.10557934},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoTLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LvCT24,
  author       = {Xingyu Lv and
                  Rongyan Chen and
                  Xian Tang},
  title        = {A Circuit-Generator-Aided Design Methodology for GHz Pipelined {SAR}
                  ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558065},
  doi          = {10.1109/ISCAS58744.2024.10558065},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LvCT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LvCZWLJ24,
  author       = {Shengping Lv and
                  Zhijie Chen and
                  Peng Zhang and
                  Peiyuan Wan and
                  Liuxin Lv and
                  Hanjun Jiang},
  title        = {A Capacitor-Less {LDO} Regulator Compensated by Adaptive Zero for
                  Zero-Load Stability Enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557933},
  doi          = {10.1109/ISCAS58744.2024.10557933},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LvCZWLJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LvSSH24,
  author       = {Zhaodong Lv and
                  Hao Sun and
                  Yuhao Shu and
                  Yajun Ha},
  title        = {EarFDA: {A} Lightweight and Energy-Efficient Fall Detection Accelerator
                  for Ear-Worn Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557918},
  doi          = {10.1109/ISCAS58744.2024.10557918},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LvSSH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaLXSY024,
  author       = {Yuan Ma and
                  Shangbin Liu and
                  Chao Xie and
                  Yahao Song and
                  Lan Yin and
                  Milin Zhang},
  title        = {Design of a multi-channel high-sensitivity electrochemical interface
                  {IC} based on organic electrochemical transistors {(OECT)}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558218},
  doi          = {10.1109/ISCAS58744.2024.10558218},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaLXSY024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaYTZ24,
  author       = {Yongteng Ma and
                  Xuliang Yu and
                  Zhichao Tan and
                  Liang Zhao},
  title        = {An {SRAM} Compute-In-Memory Macro Based on Direct Coupling {SAR} {ADC}
                  and {DAC} Reuse},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558176},
  doi          = {10.1109/ISCAS58744.2024.10558176},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaYTZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MafiBAHBS24,
  author       = {Hamidreza Mafi and
                  Mohamed Amine Bensenouci and
                  Sadok Aouini and
                  Mohammad Honarparvar and
                  Naim Ben{-}Hamida and
                  Yvon Savaria},
  title        = {Utilization of Noise-Shaping in Mixed-Signal Timing-Skew Mismatch
                  Calibration of TI-ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557896},
  doi          = {10.1109/ISCAS58744.2024.10557896},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MafiBAHBS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MahajanCKKW024,
  author       = {Pranjal Mahajan and
                  Devansh Chaudhary and
                  Mujeev Khan and
                  Mohammed Hammad Khan and
                  Mohd Wajid and
                  Abhishek Srivastava},
  title        = {A Point Cloud-Based Non-Intrusive Approach for Human Posture Classification
                  by Utilizing 77 GHz {FMCW} Radar and Deep Learning Models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558331},
  doi          = {10.1109/ISCAS58744.2024.10558331},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MahajanCKKW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MajumderKJW24,
  author       = {Soumika Majumder and
                  Venkata Naveen Kolakaluri and
                  Oliver Lexter July A. Jose and
                  Chua{-}Chin Wang},
  title        = {A Wide Range 2-to-2048 Division Ratio Frequency Divider Using 40-nm
                  {CMOS} Process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558236},
  doi          = {10.1109/ISCAS58744.2024.10558236},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MajumderKJW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MalamalP24,
  author       = {Gayathri Malamal and
                  Mahesh Raveendranatha Panicker},
  title        = {{FPGA} based Adaptive Receive Apodization Design for Diagnostic Ultrasound
                  Imaging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558287},
  doi          = {10.1109/ISCAS58744.2024.10558287},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MalamalP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MalikKEACJJCH24,
  author       = {Asra Malik and
                  Soon{-}Jae Kweon and
                  Karam Ellahi and
                  Muhammad Abrar Akram and
                  Song{-}I Cheon and
                  Yoontae Jung and
                  Minkyu Je and
                  Hammad M. Cheema and
                  Sohmyung Ha},
  title        = {A High-throughput Impedance Measurement {IC} with Baseline-Canceling
                  Peak Detector},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557915},
  doi          = {10.1109/ISCAS58744.2024.10557915},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MalikKEACJJCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MalikP24,
  author       = {Adil Malik and
                  Christos Papavassiliou},
  title        = {A Memristor Circuit Implementing Tunable Stochastic Distributions
                  for Bayesian Inference and Monte Carlo Sampling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558060},
  doi          = {10.1109/ISCAS58744.2024.10558060},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MalikP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MansoorC24,
  author       = {Abdullah Mansoor and
                  Malgorzata Chrzanowska{-}Jeske},
  title        = {SERS-3DPlace: Ensemble Reinforcement Learning for 3D Monolithic Placement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558181},
  doi          = {10.1109/ISCAS58744.2024.10558181},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MansoorC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaoG0HZ24,
  author       = {Xinhao Mao and
                  Ziyu Guo and
                  Jun Han and
                  Bo Hu and
                  Xiaoyang Zeng},
  title        = {Hardware Acceleration of Phase and Gain Control for Analog Beamforming},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558355},
  doi          = {10.1109/ISCAS58744.2024.10558355},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaoG0HZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaoSL24,
  author       = {Yingchang Mao and
                  Mingyu Shu and
                  Qiang Liu},
  title        = {{PBN:} Progressive Batch Normalization for {DNN} Training on Edge
                  Device},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558569},
  doi          = {10.1109/ISCAS58744.2024.10558569},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaoSL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaoYTH0024,
  author       = {Yunwei Mao and
                  You You and
                  Xiaosi Tan and
                  Yongming Huang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {{FLAG:} Formula-LLM-Based Auto-Generator for Baseband Hardware},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558482},
  doi          = {10.1109/ISCAS58744.2024.10558482},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaoYTH0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaranhaoNSG24,
  author       = {Gabriel Maranh{\~{a}}o and
                  Deni Germano Alves Neto and
                  M{\'{a}}rcio Cherem Schneider and
                  Carlos Galup{-}Montoro},
  title        = {Live Demonstration: {A} 5-DC-parameter {MOSFET} model for circuit
                  design and simulation using open-source {EDA} tools},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558285},
  doi          = {10.1109/ISCAS58744.2024.10558285},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaranhaoNSG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarchantCBFSPCC24,
  author       = {Jared Marchant and
                  Christian Carver and
                  Austin Barlow and
                  Benjamin Fisher and
                  John Serafini and
                  Nicholas A. Peters and
                  Ryan Camacho and
                  Shiuh{-}hua Wood Chiang},
  title        = {Modeling and Validation of Offset Cancellation for Hybrid Photonic-Electronic
                  Transimpedance Amplifier Using All-Electronic Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557965},
  doi          = {10.1109/ISCAS58744.2024.10557965},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarchantCBFSPCC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaretaL24,
  author       = {Rella Mareta and
                  Hanho Lee},
  title        = {Compact 2\({}^{\mbox{17}}\) {NTT} Architecture for Fully Homomorphic
                  Encryption},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558097},
  doi          = {10.1109/ISCAS58744.2024.10558097},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaretaL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatanoWZ24,
  author       = {Haruka Matano and
                  Haixin Wang and
                  Jinjia Zhou},
  title        = {An Iterative Image Inpainting Method Using Mask Shrinking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557867},
  doi          = {10.1109/ISCAS58744.2024.10557867},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatanoWZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsubaraKH24,
  author       = {Riku Matsubara and
                  Daisuke Kanemoto and
                  Tetsuya Hirose},
  title        = {Reducing Power Consumption in {LNA} by Utilizing {EEG} Signals as
                  Basis Matrix in Compressed Sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558519},
  doi          = {10.1109/ISCAS58744.2024.10558519},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsubaraKH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsumotoDTC24,
  author       = {Tatsunori Matsumoto and
                  Lin Du and
                  Yann Thoma and
                  Sandro Carrara},
  title        = {Simultaneous Quantification of Multiple Drugs by Machine Learning
                  on Electrochemical Sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557829},
  doi          = {10.1109/ISCAS58744.2024.10557829},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsumotoDTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsumotoIKT24,
  author       = {Shigeki Matsumoto and
                  Yuki Ichikawa and
                  Nobuki Kajihara and
                  Hakaru Tamukoh},
  title        = {{FPGA} Implementation for Large Scale Reservoir Computing based on
                  Chaotic Boltzmann Machine},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558106},
  doi          = {10.1109/ISCAS58744.2024.10558106},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsumotoIKT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsushitaKK24,
  author       = {Haruna Matsushita and
                  Hiroaki Kurokawa and
                  Takuji Kousaka},
  title        = {Derivative-Free-Optimization-based Bifurcation Point Detection Method
                  without Parameter Tuning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558241},
  doi          = {10.1109/ISCAS58744.2024.10558241},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsushitaKK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MendesP24,
  author       = {Josiah Mendes and
                  Rajesh C. Panicker},
  title        = {{RISCALAR:} {A} Cycle-Approximate, Parametrisable {RISC-V} Microarchitecture
                  Explorer {\&} Simulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558557},
  doi          = {10.1109/ISCAS58744.2024.10558557},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MendesP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MengGTZT24,
  author       = {Xu Meng and
                  Jinxia Geng and
                  Xu Tang and
                  Min Zhou and
                  Hailin Teng},
  title        = {Fractional-N Injection-Locked Ring Oscillator Based on Two Points,
                  Varying Strength Injection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558367},
  doi          = {10.1109/ISCAS58744.2024.10558367},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MengGTZT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MengWC24,
  author       = {Shiyu Meng and
                  Yi Wang and
                  Lap{-}Pui Chau},
  title        = {Depth-powered Moving-obstacle Segmentation Under Bird-eye-view for
                  Autonomous Driving},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558317},
  doi          = {10.1109/ISCAS58744.2024.10558317},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MengWC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MetzBD24,
  author       = {Cl{\'{e}}ment Metz and
                  Olivier Bichler and
                  Antoine Dupret},
  title        = {Efficient Neural Compression with Inference-time Decoding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558050},
  doi          = {10.1109/ISCAS58744.2024.10558050},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MetzBD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeyerYDMSKTDII24,
  author       = {Alexander Meyer and
                  Kaoru Yamashita and
                  Adilet Dossanov and
                  Martin Maier and
                  Finn Stapelfeldt and
                  Yerzhan Kudabay and
                  Peter Toth and
                  Fa Foster Dai and
                  Hiroki Ishikuro and
                  Vadim Issakov},
  title        = {A 10-bit 100kS/s {SAR} {ADC} With a Monotonic Capacitor Switching
                  Procedure for Single-Ended Inputs in 22nm {CMOS} {FDSOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558052},
  doi          = {10.1109/ISCAS58744.2024.10558052},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeyerYDMSKTDII24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MifsudMAFC24,
  author       = {Andrea Mifsud and
                  Adil Malik and
                  Abdulaziz Alshaya and
                  Peilong Feng and
                  Timothy G. Constandinou},
  title        = {A Closed-Loop Readout Circuit with Voltage Drop Mitigation for Emerging
                  Resistive Technologies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558625},
  doi          = {10.1109/ISCAS58744.2024.10558625},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MifsudMAFC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MinZYLLZLWLPSQ24,
  author       = {Yang Min and
                  Yi Zhang and
                  Tao Yang and
                  Leliang Li and
                  Guike Li and
                  Zhao Zhang and
                  Jian Liu and
                  Nanjian Wu and
                  Yonghui Lin and
                  Huiyao Peng and
                  Jingbo Shi and
                  Nan Qi},
  title        = {A 32Gb/s {NRZ} Low-Bias {DFB} Driver with Frequency Boosting for High
                  Efficiency Data Transmission},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558095},
  doi          = {10.1109/ISCAS58744.2024.10558095},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MinZYLLZLWLPSQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiriyalaSS24,
  author       = {Pranay Kamal Miriyala and
                  P. Nitin Srinivas and
                  Nagaveni S},
  title        = {On-Chip 5{\&}6-GHz {RF} Energy Harvesting System for Implantable
                  Medical Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558085},
  doi          = {10.1109/ISCAS58744.2024.10558085},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiriyalaSS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MishraS24,
  author       = {Shubham Mishra and
                  Vishal Saxena},
  title        = {A Sub-1pJ/bit Laser Power Independent 32Gb/s Silicon Photonic {EAM}
                  Driver in 65nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558382},
  doi          = {10.1109/ISCAS58744.2024.10558382},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MishraS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MisselwitzG24,
  author       = {Kai Misselwitz and
                  Friedel Gerfers},
  title        = {A 16 GS/s Voltage-to-Time Conversion Sampler with 35.9 dB {SNDR} in
                  22 nm {CMOS} {FDSOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557988},
  doi          = {10.1109/ISCAS58744.2024.10557988},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MisselwitzG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiyamotoMZ24,
  author       = {Mizuki Miyamoto and
                  Ryugo Morita and
                  Jinjia Zhou},
  title        = {Visual question answering based evaluation metrics for text-to-image
                  generation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558259},
  doi          = {10.1109/ISCAS58744.2024.10558259},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiyamotoMZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohanTB24,
  author       = {Vivek Mohan and
                  Wee Peng Tay and
                  Arindam Basu},
  title        = {Hybrid Event-Frame Neural Spike Detector for Neuromorphic Implantable
                  {BMI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557904},
  doi          = {10.1109/ISCAS58744.2024.10557904},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohanTB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MongaH24,
  author       = {Dipesh C. Monga and
                  Kari Halonen},
  title        = {A Dual Mode All {NMOS} 7-T Temperature Sensor and Voltage Reference
                  for Biomedical Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558329},
  doi          = {10.1109/ISCAS58744.2024.10558329},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MongaH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MonjurY24,
  author       = {Mohammad Mezanur Rahman Monjur and
                  Qiaoyan Yu},
  title        = {{CTC:} Continuous-Time Convolution based Multi-Attack Detection for
                  Sensor Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557885},
  doi          = {10.1109/ISCAS58744.2024.10557885},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MonjurY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoshrefiBN24,
  author       = {Amirhossein Moshrefi and
                  Yves Blaqui{\`{e}}re and
                  Frederic Nabki},
  title        = {A Precise and Reliable Engine Knock Detection Utilizing Meta Classifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558032},
  doi          = {10.1109/ISCAS58744.2024.10558032},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoshrefiBN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MostafaMJF24,
  author       = {Ali Mostafa and
                  Jo{\~{a}}o R. R. O. Martins and
                  J{\'{e}}r{\^{o}}me Juillard and
                  Pietro M. Ferreira},
  title        = {A gm/Id based methodology to estimate {OTA} requirements in low-pass
                  discrete time {\(\Sigma\)}{\unicode{8710}}-ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557892},
  doi          = {10.1109/ISCAS58744.2024.10557892},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MostafaMJF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MuhammedAATE24,
  author       = {Dalhatu Muhammed and
                  Ehsan Ahvar and
                  Shohreh Ahvar and
                  Maria Trocan and
                  Reza Ehsani},
  title        = {A Multi-Farm Irrigation Scheduling System {(MISS)} for Arid and Semi-Arid
                  Regions: {A} Realistic Scenario},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558460},
  doi          = {10.1109/ISCAS58744.2024.10558460},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MuhammedAATE24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Murmann24,
  author       = {Boris Murmann},
  title        = {Practical Aspects of Script-Based Analog Design Using Precomputed
                  Lookup Tables},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558027},
  doi          = {10.1109/ISCAS58744.2024.10558027},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Murmann24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MurraySBH24,
  author       = {Samuel J. Murray and
                  Joseph A. Schmitz and
                  Sina Balkir and
                  Michael W. Hoffman},
  title        = {Curriculum Development for Tapeout-Ready Mixed-Signal System-on-Chip
                  Design and Assembly},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558544},
  doi          = {10.1109/ISCAS58744.2024.10558544},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MurraySBH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MustafaK24,
  author       = {Yerzhan Mustafa and
                  Sel{\c{c}}uk K{\"{o}}se},
  title        = {Side-channel Attacks Targeting Classical-Quantum Interface in Quantum
                  Computers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558006},
  doi          = {10.1109/ISCAS58744.2024.10558006},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MustafaK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NagasueMKIY24,
  author       = {Reo Nagasue and
                  Isamu Mizuno and
                  Ryo Kishida and
                  Tatsuya Iwata and
                  Takefumi Yoshikawa},
  title        = {A Fractional-N {PLL} for Multi-phase Clock Generation with Loop Bandwidth
                  Enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558144},
  doi          = {10.1109/ISCAS58744.2024.10558144},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NagasueMKIY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NairPJ24,
  author       = {Vineeta Vasudevan Nair and
                  Anilkumar P and
                  Alex James},
  title        = {High Voltage Transformer Condition Monitoring Using Memristive Echo
                  State Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558533},
  doi          = {10.1109/ISCAS58744.2024.10558533},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NairPJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NakaiY24,
  author       = {Tsunato Nakai and
                  Ryo Yamamoto},
  title        = {Co-designing Trusted Execution Environment and Model Encryption for
                  Secure High-Performance {DNN} Inference on FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558579},
  doi          = {10.1109/ISCAS58744.2024.10558579},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NakaiY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NamdariAC24,
  author       = {Ali Namdari and
                  Orazio Aiello and
                  Daniele D. Caviglia},
  title        = {0.5V 32nW Inverter-Based Gm-C Filter for Bio-Signal Processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558655},
  doi          = {10.1109/ISCAS58744.2024.10558655},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NamdariAC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NanthakumarEWM24,
  author       = {Pathmapirian Nanthakumar and
                  Chamira U. S. Edussooriya and
                  Chamith Wijenayake and
                  Arjuna Madanayake},
  title        = {Minimax Design of {M-D} Interpolated {FIR} Filters using Convex-Concave
                  Procedure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558262},
  doi          = {10.1109/ISCAS58744.2024.10558262},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NanthakumarEWM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NapoliSZM24,
  author       = {Ettore Napoli and
                  Antonio G. M. Strollo and
                  Efstratios Zacharelos and
                  Gennaro Di Meo},
  title        = {Comprehensive Analysis of Input Order Invariant Approximate 4-2 Compressors
                  for Binary Multipliers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558503},
  doi          = {10.1109/ISCAS58744.2024.10558503},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NapoliSZM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NarwariyaTDA24,
  author       = {Anmol Singh Narwariya and
                  Chetan Talele and
                  Pabitra Das and
                  Amit Acharyya},
  title        = {REVBiT: REVerse Engineering of BiTstream for {LUT} Extraction {\&}
                  Logic Identification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558465},
  doi          = {10.1109/ISCAS58744.2024.10558465},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NarwariyaTDA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Nasiri0Z24,
  author       = {Hamed Nasiri and
                  Cheng Li and
                  Lihong Zhang},
  title        = {Reinforcement-Learning-Based Successive Approximation Algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558578},
  doi          = {10.1109/ISCAS58744.2024.10558578},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Nasiri0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NazariGLCFSRHS24,
  author       = {Najmeh Nazari and
                  Kevin Immanuel Gubbi and
                  Banafsheh Saber Latibari and
                  Muhtasim Alam Chowdhury and
                  Chongzhou Fang and
                  Avesta Sasan and
                  Setareh Rafatirad and
                  Houman Homayoun and
                  Soheil Salehi},
  title        = {Securing On-Chip Learning: Navigating Vulnerabilities and Potential
                  Safeguards in Spiking Neural Network Architectures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558041},
  doi          = {10.1109/ISCAS58744.2024.10558041},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NazariGLCFSRHS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NetoMSG24,
  author       = {Deni Germano Alves Neto and
                  Gabriel Maranh{\~{a}}o and
                  M{\'{a}}rcio Cherem Schneider and
                  Carlos Galup{-}Montoro},
  title        = {A design-oriented single-piece short-channel {MOSFET} model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558311},
  doi          = {10.1109/ISCAS58744.2024.10558311},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NetoMSG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NgGG24,
  author       = {Wei Soon Ng and
                  Wang Ling Goh and
                  Yuan Gao},
  title        = {High Accuracy and Low Latency Mixed Precision Neural Network Acceleration
                  for TinyML Applications on Resource-Constrained FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558440},
  doi          = {10.1109/ISCAS58744.2024.10558440},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NgGG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Nguyen-VoRN24,
  author       = {Thanh{-}Hoang Nguyen{-}Vo and
                  Susanto Rahardja and
                  Binh P. Nguyen},
  title        = {i6mA-CNN: {A} Web-based System to Identify {DNA} N\({}^{\mbox{6}}\)-Methyladenine
                  Sites in Mouse Genomes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558061},
  doi          = {10.1109/ISCAS58744.2024.10558061},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Nguyen-VoRN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NguyenBNPH24,
  author       = {Trong{-}Hung Nguyen and
                  Nguyen The Binh and
                  Huynh Phuc Nghi and
                  Cong{-}Kha Pham and
                  Trong{-}Thuc Hoang},
  title        = {Unified-pipelined {NTT} Architecture for Polynomial Multiplication
                  in Lattice-based Cryptosystems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558374},
  doi          = {10.1109/ISCAS58744.2024.10558374},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NguyenBNPH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NiK0O24,
  author       = {Ziying Ni and
                  Ayesha Khalid and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill},
  title        = {{FPGA} Bitstream Fault Injection Attack and Countermeasures on the
                  Sampling Counter in {CRYSTALS} Kyber},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557946},
  doi          = {10.1109/ISCAS58744.2024.10557946},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NiK0O24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OdedeyiIPD24,
  author       = {Temitope Odedeyi and
                  Ali Issa and
                  Clive Poole and
                  Izzat Darwazeh},
  title        = {High-Throughput Starch Content Estimation using {RF} Return Loss:
                  Theory, Analysis and Test Instrument Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558402},
  doi          = {10.1109/ISCAS58744.2024.10558402},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OdedeyiIPD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OdelbergIMW24,
  author       = {Trevor J. Odelberg and
                  Jaeho Im and
                  Milad Moosavifar and
                  David D. Wentzloff},
  title        = {A Fully Integrated NB-IoT Wake-Up Receiver Utilizing An Optimized
                  {OFDM} 12-Point {FFT} Wake-Up Engine},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558577},
  doi          = {10.1109/ISCAS58744.2024.10558577},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OdelbergIMW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ogunfunmi24,
  author       = {Tokunbo Ogunfunmi},
  title        = {Exploration of Generative {AI} tools for an Electric Circuits Course},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558391},
  doi          = {10.1109/ISCAS58744.2024.10558391},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ogunfunmi24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Okada24,
  author       = {Kenichi Okada},
  title        = {Sub-THz {CMOS} Phased-Array Transceiver Design for 6G},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558299},
  doi          = {10.1109/ISCAS58744.2024.10558299},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Okada24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OmiC24,
  author       = {Asif Iftekhar Omi and
                  Baibhab Chatterjee},
  title        = {On the New Analytical Design of Efficient Inductive Links with Maximum
                  Biomedical Wireless Power Transfer Capability and Area Controllability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558663},
  doi          = {10.1109/ISCAS58744.2024.10558663},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OmiC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OosterhoutTFC24,
  author       = {Kyle van Oosterhout and
                  Martijn Timmermans and
                  Marco Fattori and
                  Eugenio Cantatore},
  title        = {A 250M{\(\Omega\)} Input Impedance a-IGZO Front-End for Biosignal
                  Acquisition from Non-Contact Electrodes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558081},
  doi          = {10.1109/ISCAS58744.2024.10558081},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OosterhoutTFC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OrimaHMS24,
  author       = {Takemori Orima and
                  Yoshihiko Horio and
                  Satoshi Moriya and
                  Shigeo Sato},
  title        = {Bifurcation phenomena observed from two-variable spiking neuron integrated
                  circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558075},
  doi          = {10.1109/ISCAS58744.2024.10558075},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OrimaHMS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OuCZ24,
  author       = {Zhaoting Ou and
                  Jienan Chen and
                  Jie Zheng},
  title        = {An Automatic {PCB} Imposition Method based on Reinforcement Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557973},
  doi          = {10.1109/ISCAS58744.2024.10557973},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OuCZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OwadaJ24,
  author       = {Takumi Owada and
                  Kenya Jin'no},
  title        = {Detection of Fake Images Focused on Few Local Blocks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558080},
  doi          = {10.1109/ISCAS58744.2024.10558080},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OwadaJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PAP24,
  author       = {Lakshmi Sarvaani P and
                  Subba Ramkumar Reddy Annapalli and
                  Vikramkumar Pudi},
  title        = {Redefining Clock Network Construction: The Nested Flex Paradigm for
                  Enhanced {PPA} Dynamics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558222},
  doi          = {10.1109/ISCAS58744.2024.10558222},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PAP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Palani24,
  author       = {Rakesh Kumar Palani},
  title        = {Analysis and design of Chopperless 7 ppm/{\textdegree}C Bandgap Voltage
                  Reference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558090},
  doi          = {10.1109/ISCAS58744.2024.10558090},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Palani24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PalaniAKVN24,
  author       = {Rakesh Kumar Palani and
                  Srishti Agrawal and
                  Ayan Alam Khan and
                  Aadarsh V and
                  Rajasekhar Nagulapalli},
  title        = {A Wide Range Constant Transconductance Circuit Based on Negative Feedback
                  for Analog Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557858},
  doi          = {10.1109/ISCAS58744.2024.10557858},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PalaniAKVN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PalitharathnaVD24,
  author       = {Kapila W. S. Palitharathna and
                  Anna Maria Vegni and
                  Panagiotis D. Diamantoulakis and
                  Himal A. Suraweera and
                  Ioannis Krikidis},
  title        = {Handover Management through Reconfigurable Intelligent Surfaces for
                  {VLC} under Blockage Conditions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558216},
  doi          = {10.1109/ISCAS58744.2024.10558216},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PalitharathnaVD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanYLZW24,
  author       = {Yanjie Pan and
                  Simeng Yin and
                  Xiaguang Li and
                  Yixin Zhou and
                  Keping Wang},
  title        = {A Self-Powered {P-SSHI} Active Rectifier With Energy-Efficient Adaptive
                  Switch Control for Piezoelectric Energy Harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558697},
  doi          = {10.1109/ISCAS58744.2024.10558697},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanYLZW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PangZLZL24,
  author       = {Cong Pang and
                  Wei Zhou and
                  Haoyan Li and
                  Xiangyu Zhang and
                  Xin Lou},
  title        = {Feature Map Guided Adapter Network for Object Detection in Low-light
                  Conditions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557901},
  doi          = {10.1109/ISCAS58744.2024.10557901},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PangZLZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanwarSMCDJA24,
  author       = {Sourabh Panwar and
                  Shobhit Srivastava and
                  Shashidhara M and
                  Nithin Chatterji and
                  Prabhat Dubey and
                  Deepak Joshi and
                  Abhishek Acharya},
  title        = {Proposal {\&} Investigation of Schottky Ring Engineered Reconfigurable
                  Nanowire Transistor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558522},
  doi          = {10.1109/ISCAS58744.2024.10558522},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanwarSMCDJA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkB24,
  author       = {Yoomi Park and
                  Sangjin Byun},
  title        = {An 884MHz, -41.8dBm Input Power Sensitivity, 570-Stage {CMOS} {RF-DC}
                  Rectifier With Ground Shielded Input Coupling Capacitors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558172},
  doi          = {10.1109/ISCAS58744.2024.10558172},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkBJK24,
  author       = {Sunyoung Park and
                  Wooseok Byun and
                  Minkyu Je and
                  Ji{-}Hoon Kim},
  title        = {Algorithm-Hardware Co-Design for Wearable BCIs: An Evolution from
                  Linear Algebra to Transformers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558514},
  doi          = {10.1109/ISCAS58744.2024.10558514},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkBJK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkJL24,
  author       = {Eunbin Park and
                  Myungjun Jin and
                  Youngjoo Lee},
  title        = {Cost-Efficient {SIMD} {ASIP} Architecture for Mobile Touchscreen Controllers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557834},
  doi          = {10.1109/ISCAS58744.2024.10557834},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkJL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkKPSY24,
  author       = {Jongjun Park and
                  Seryeong Kim and
                  Wonhoon Park and
                  Seokchan Song and
                  Hoi{-}Jun Yoo},
  title        = {A 3.55 mJ/frame Energy-efficient Mixed-Transformer based Semantic
                  Segmentation Accelerator for Mobile Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558649},
  doi          = {10.1109/ISCAS58744.2024.10558649},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkKPSY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkRK24,
  author       = {Juhong Park and
                  Johnny Rhe and
                  Jong Hwan Ko},
  title        = {{KARS:} Kernel-Grouping Aided Row-Skipping for SDK-based Weight Compression
                  in {PIM} Arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558607},
  doi          = {10.1109/ISCAS58744.2024.10558607},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkRK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkYSLKR24,
  author       = {Taehyung Park and
                  Seungjin Yang and
                  Jongmin Seok and
                  Hyuk{-}Jae Lee and
                  Ju{-}Hyun Kim and
                  Chae{-}Eun Rhee},
  title        = {Accelerating Large-Scale {DLRM} Inference through Dynamic Hot Data
                  Rearrangement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558132},
  doi          = {10.1109/ISCAS58744.2024.10558132},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkYSLKR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PashrashidHC24,
  author       = {Arash Pashrashid and
                  Ali Hajiabadi and
                  Trevor E. Carlson},
  title        = {Efficient Detection and Mitigation Schemes for Speculative Side Channels},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558385},
  doi          = {10.1109/ISCAS58744.2024.10558385},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PashrashidHC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PastorERABA24,
  author       = {Arnau Pastor and
                  Pau Escofet and
                  Sahar Ben Rached and
                  Eduard Alarc{\'{o}}n and
                  Pere Barlet{-}Ros and
                  Sergi Abadal},
  title        = {Circuit Partitioning for Multi-Core Quantum Architectures with Deep
                  Reinforcement Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557956},
  doi          = {10.1109/ISCAS58744.2024.10557956},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PastorERABA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PatelRKD24,
  author       = {Jyoti Patel and
                  Sankalp Rai and
                  Vivek Kumar and
                  Sudeb Dasgupta},
  title        = {Interface Trap Analysis in Multi-Fin FinFET Technology: a Crucial
                  Reliability Issue in Digital Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558629},
  doi          = {10.1109/ISCAS58744.2024.10558629},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PatelRKD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Patino-SaucedoM24,
  author       = {Alberto Pati{\~{n}}o{-}Saucedo and
                  Roy Meijer and
                  Paul Detterer and
                  Amirreza Yousefzadeh and
                  Laura Garrido{-}Regife and
                  Bernab{\'{e}} Linares{-}Barranco and
                  Manolis Sifalakis},
  title        = {Co-optimized training of models with synaptic delays for digital neuromorphic
                  accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558209},
  doi          = {10.1109/ISCAS58744.2024.10558209},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Patino-SaucedoM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pavan24,
  author       = {Shanthi Pavan},
  title        = {Systematic Development of {CMOS} {PTAT} Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557945},
  doi          = {10.1109/ISCAS58744.2024.10557945},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Pavan24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PeiNCGD24,
  author       = {Zhangyi Pei and
                  Vishnu P. Nambiar and
                  Yi Sheng Chong and
                  Wang Ling Goh and
                  Anh Tuan Do},
  title        = {3881 Gbps/W, 3005 {\(\mathrm{\mu}\)}m {AES} Core with State Based
                  Clock Gating for IoT applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558366},
  doi          = {10.1109/ISCAS58744.2024.10558366},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PeiNCGD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PengDZD24,
  author       = {Wenyu Peng and
                  Willem D. van Driel and
                  Guoqi Zhang and
                  Sijun Du},
  title        = {An Efficient Rectifier Hybridizing Synchronized Electric Charge Extraction
                  and Bias-Flipping for Triboelectric Energy Harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558007},
  doi          = {10.1109/ISCAS58744.2024.10558007},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PengDZD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PerczakY24,
  author       = {Ian Perczak and
                  Fei Yuan},
  title        = {An 800 kS/s 1.83 fJ/conv. 12b {ADC} via Voltage Successive Approximation
                  and Gated Cyclic Vernier Time Digitization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557916},
  doi          = {10.1109/ISCAS58744.2024.10557916},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PerczakY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pereira-RialGVL24,
  author       = {{\'{O}}scar Pereira{-}Rial and
                  Daniel Garc{\'{\i}}a{-}Lesta and
                  Lorenzo Vaquero and
                  P. L{\'{o}}pez and
                  V{\'{\i}}ctor M. Brea and
                  Diego Cabello},
  title        = {Live Demonstration: 5-bit signed SRAM-based {DNN} {CIM} for Image
                  Recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558078},
  doi          = {10.1109/ISCAS58744.2024.10558078},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Pereira-RialGVL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PerezBMOAP24,
  author       = {Emeric Perez and
                  Carlos Augusto Berlitz and
                  Yasser Moursy and
                  Sami Oukassi and
                  Bruno Allard and
                  Ga{\"{e}}l Pillonnet},
  title        = {Miniaturized Solid-State Battery-Based {DC-DC} Switched Converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558604},
  doi          = {10.1109/ISCAS58744.2024.10558604},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PerezBMOAP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PetrouAG24,
  author       = {Loukas Petrou and
                  Marco A. Antoniades and
                  Julius Georgiou},
  title        = {Dynamic Control of Reconfigurable Intelligent Surfaces: An IC-Based
                  {MOS} Varactor Approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558020},
  doi          = {10.1109/ISCAS58744.2024.10558020},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PetrouAG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PietzkoUAKO24,
  author       = {Michael Pietzko and
                  Jonathan Ungeth{\"{u}}m and
                  Ahmed Abdelaal and
                  John G. Kauffman and
                  Maurits Ortmanns},
  title        = {A 600MS/s 10-bit {SAR} {ADC} with unit via-based delta-length {C-DAC}
                  in 22nm {FDSOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558087},
  doi          = {10.1109/ISCAS58744.2024.10558087},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PietzkoUAKO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PorrasmaaJKSKR24,
  author       = {Santeri Porrasmaa and
                  Okko J{\"{a}}rvinen and
                  Ilia Kempi and
                  Kari Stadius and
                  Marko Kosunen and
                  Jussi Ryyn{\"{a}}nen},
  title        = {Analysis and Design of Constant-Slope Voltage-to-Time Converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558037},
  doi          = {10.1109/ISCAS58744.2024.10558037},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PorrasmaaJKSKR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PouponBCB24,
  author       = {Julien Poupon and
                  Manuel J. Barrag{\'{a}}n and
                  Andreia Cathelin and
                  Sylvain Bourdel},
  title        = {Dynamic Analysis of {RF} {CMOS} Inverter-Based Ring Oscillators using
                  an All-Region {MOSFET} Charge-Based Model in 28nm {FD-SOI} {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558153},
  doi          = {10.1109/ISCAS58744.2024.10558153},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PouponBCB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PrasadHM24,
  author       = {Kondapalli Surya Prasad and
                  Pudi Hemanth and
                  Debashis Mandal},
  title        = {A Rail-to-Rail Input Class-AB Linear Amplifier with Improved Bandwidth
                  and Slew-Rate for Envelope Tracking Supply Modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558486},
  doi          = {10.1109/ISCAS58744.2024.10558486},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PrasadHM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PriviteraBGA24,
  author       = {Marco Privitera and
                  Andrea Ballo and
                  Alfio Dario Grasso and
                  Massimo Alioto},
  title        = {A 15-nA quiescent current capacitor-less {LDO} for sub-1V {\(\mu\)}W-powered
                  fully-harvested systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558388},
  doi          = {10.1109/ISCAS58744.2024.10558388},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PriviteraBGA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QaisarAFKCH24,
  author       = {Shirin Qaisar and
                  Muhammad Abrar Akram and
                  Muhammad Haris Farooq and
                  Soon{-}Jae Kweon and
                  Hammad M. Cheema and
                  Sohmyung Ha},
  title        = {An Analog-assisted Fast-transient Digital {LDO} with a Charge-pump-based
                  Fine Loop Achieving 0.14-mV Output Voltage Ripples},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557926},
  doi          = {10.1109/ISCAS58744.2024.10557926},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QaisarAFKCH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiGDFGY24,
  author       = {Yuanrui Qi and
                  Zejun Gan and
                  Jinghao Ding and
                  Zhaoqi Fu and
                  Mengshi Gong and
                  Wenxin Yu},
  title        = {Track Assignment Using Gradient Indication and Simulated Annealing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557940},
  doi          = {10.1109/ISCAS58744.2024.10557940},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiGDFGY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiLHLHYZ024,
  author       = {Xiuyuan Qi and
                  Ye Liu and
                  Shuang Hao and
                  Zherong Liu and
                  Kun Huang and
                  Minghui Yang and
                  Liang Zhou and
                  Jun Zhou},
  title        = {A High-Performance {ORB} Accelerator with Algorithm and Hardware Co-design
                  for Visual Localization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558318},
  doi          = {10.1109/ISCAS58744.2024.10558318},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiLHLHYZ024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiWFZ24,
  author       = {Pengfei Qi and
                  Yi Wang and
                  Xue Feng and
                  Yuanjin Zheng},
  title        = {FIRNet: Forward-Inverse Reinforcement Network For Image Restoration
                  Through Scattering Media},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557998},
  doi          = {10.1109/ISCAS58744.2024.10557998},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiWFZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QianLZLZ24,
  author       = {Lingxiao Qian and
                  Congwei Liao and
                  Yuhan Zhang and
                  Yong Le and
                  Shengdong Zhang},
  title        = {A Highly Parallel Capacitive Sensing Circuit for High-Throughput Thin-Film
                  Transistor Digital Microfluidic Chips},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557962},
  doi          = {10.1109/ISCAS58744.2024.10557962},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QianLZLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiuKL24,
  author       = {Wei Qiu and
                  Andy W. H. Khong and
                  Fun Siong Lim},
  title        = {Enhanced Student-graph Representation for At-risk Student Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557981},
  doi          = {10.1109/ISCAS58744.2024.10557981},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiuKL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiuL24,
  author       = {Jiacong Qiu and
                  Junrui Liang},
  title        = {A Synchronous Current Inversion and Energy Extraction Circuit for
                  Electromagnetic Energy Harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558233},
  doi          = {10.1109/ISCAS58744.2024.10558233},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiuL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RKSSBG24,
  author       = {Gaurav R and
                  Abhishek A. Kadam and
                  Ajay Kumar Singh and
                  Laxmeesha Somappa and
                  Maryam Shojaei Baghini and
                  Udayan Ganguly},
  title        = {A Compact 140nW/input Winner-Take-All Circuit for Spiking Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558381},
  doi          = {10.1109/ISCAS58744.2024.10558381},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RKSSBG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RachedAAA24,
  author       = {Sahar Ben Rached and
                  Carmen G. Almud{\'{e}}ver and
                  Eduard Alarc{\'{o}}n and
                  Sergi Abadal},
  title        = {Spatio-Temporal Characterization of Qubit Routing in Connectivity-Constrained
                  Quantum Processors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558197},
  doi          = {10.1109/ISCAS58744.2024.10558197},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RachedAAA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RadfarC24,
  author       = {Sara Radfar and
                  Glenn E. R. Cowan},
  title        = {Interleaving Active Feedback in Inverter-Based Optical Receivers for
                  Bandwidth Extension and Linearity Improvement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558128},
  doi          = {10.1109/ISCAS58744.2024.10558128},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RadfarC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RadmanS24,
  author       = {Majid Radman and
                  Amir M. Sodagar},
  title        = {High-CMRR, Operational Transconductance Amplifier for Low-Voltage
                  Applications Based on a Degenerative Current {TRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558096},
  doi          = {10.1109/ISCAS58744.2024.10558096},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RadmanS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaghavendraAA24,
  author       = {Nouduru Venkata Raghavendra and
                  Deepthi Amuru and
                  Zia Abbas},
  title        = {MetaCirc: {A} Meta-learning Approach for Statistical Leakage Estimation
                  Improvement in Digital Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558185},
  doi          = {10.1109/ISCAS58744.2024.10558185},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaghavendraAA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RahmanKCSM24,
  author       = {Nael Mizanur Rahman and
                  Uday Kamal and
                  Venkata Chaitanya Krishna Chekuri and
                  Arvind Singh and
                  Saibal Mukhopadhyay},
  title        = {Passive Lightweight On-chip Sensors for Power Side Channel Attack
                  Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558247},
  doi          = {10.1109/ISCAS58744.2024.10558247},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RahmanKCSM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RahmanRFHKW24,
  author       = {Habibur Rahman and
                  Adrian M. Llop Recha and
                  Stefano Fasciani and
                  P{\aa}l Gunnar Hogganvik and
                  Kristian Gjertsen Kjelg{\aa}rd and
                  Dag Trygve Wisland},
  title        = {Conure: Surrogate-based Artwork Generator for {RFCMOS} Integrated
                  Inductors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558598},
  doi          = {10.1109/ISCAS58744.2024.10558598},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RahmanRFHKW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajBC24,
  author       = {Kamal Raj and
                  Srinivasu Bodapati and
                  Anupam Chattopadhyay},
  title        = {PUF-based Lightweight Mutual Authentication Protocol for Internet
                  of Things (IoT) Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558672},
  doi          = {10.1109/ISCAS58744.2024.10558672},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajBC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaoJS24,
  author       = {Vaibhav Venugopal Rao and
                  Kyle Juretus and
                  Ioannis Savidis},
  title        = {{DNA:} {DC} Nodal Analysis Attack for Analog Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557905},
  doi          = {10.1109/ISCAS58744.2024.10557905},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaoJS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RasheedMM24,
  author       = {Hira Rasheed and
                  Peyman Mirtaheri and
                  Ali Muhtaroglu},
  title        = {A Reduced Spiking Neural Network Architecture for Energy Efficient
                  Context-Dependent Reinforcement Learning Tasks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558211},
  doi          = {10.1109/ISCAS58744.2024.10558211},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RasheedMM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RathoreR24,
  author       = {Manu Rathore and
                  Garrett S. Rose},
  title        = {AnSpiCS-Net: Reconfigurable Network-on-Chip for Analog Spiking Recurrent
                  Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558368},
  doi          = {10.1109/ISCAS58744.2024.10558368},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RathoreR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RautKSSBG24,
  author       = {Atharva Raut and
                  Abhishek A. Kadam and
                  Ajay Kumar Singh and
                  Laxmeesha Somappa and
                  Maryam Shojaei Baghini and
                  Udayan Ganguly},
  title        = {A sub-100 nW Power, Compact {CTDSM} with a Band-To-Band Tunnelling
                  Loop Filter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558269},
  doi          = {10.1109/ISCAS58744.2024.10558269},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RautKSSBG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaveraFLOS24,
  author       = {Alessandro Ravera and
                  Andrea Formentini and
                  Matteo Lodi and
                  Alberto Oliveri and
                  Marco Storace},
  title        = {A nonlinear model of air-gapped ferrite-core inductors for {SMPS}
                  applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558476},
  doi          = {10.1109/ISCAS58744.2024.10558476},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaveraFLOS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RavibabuGP24,
  author       = {Perakalapudi Ravibabu and
                  Prema Kumar Govindaswamy and
                  Vijay Shankar Pasupureddi},
  title        = {A 15-Gb/s, 0.036 pJ/bit, Half-Rate, Low Power {PRBS} Generator in
                  1.2 V, 65 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558115},
  doi          = {10.1109/ISCAS58744.2024.10558115},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RavibabuGP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RayapatiRKGRR24,
  author       = {Vinay Rayapati and
                  Gogireddy Ravi Kiran Reddy and
                  Gandi Ajay Kumar and
                  Saketh Gajawada and
                  Sanampudi Gopala Krishna Reddy and
                  Nanditha Rao},
  title        = {FPGA-based Hardware Software Co-design to Accelerate Brain Tumour
                  Segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558230},
  doi          = {10.1109/ISCAS58744.2024.10558230},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RayapatiRKGRR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RenLHD24,
  author       = {Xu Ren and
                  Liqiao Liu and
                  Yandong He and
                  Gang Du},
  title        = {A Dual-Mode {CMOS} Image Sensor Based on in-Pixel Frame Differencing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558601},
  doi          = {10.1109/ISCAS58744.2024.10558601},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RenLHD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RenLLYWQ24,
  author       = {Erxiang Ren and
                  Jiahui Liu and
                  Li Luo and
                  Xinghua Yang and
                  Qi Wei and
                  Fei Qiao},
  title        = {NS-Engine: Near-Sensor Neural Network Engine with SRAM-Based Compute-in-Memory
                  Macro},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557977},
  doi          = {10.1109/ISCAS58744.2024.10557977},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RenLLYWQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RezazadehGLSBDC24,
  author       = {Navid Rezazadeh and
                  John Gosson and
                  Roger Levinson and
                  Paramjeet Sahni and
                  Mark Bury and
                  Juan Diaz and
                  Shufan Chan and
                  Eve Boyer and
                  Niraj Mathur and
                  Hamid Taheri},
  title        = {A Charge-Trap-Transistor-Based Fully Analog Machine Learning Inference
                  Engine for Audio Keyword Spotting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558145},
  doi          = {10.1109/ISCAS58744.2024.10558145},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RezazadehGLSBDC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RezzoukiFTL24,
  author       = {Marwane Rezzouki and
                  Guillaume Ferr{\'{e}} and
                  Guillaume Terrasson and
                  Alvaro Llaria},
  title        = {Net Fishing Localization: Performance of TDOA-based Positioning Technique
                  in Underwater Acoustic Channels Using Chirp Signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558370},
  doi          = {10.1109/ISCAS58744.2024.10558370},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RezzoukiFTL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RiboulletNBC24,
  author       = {Allan Riboullet and
                  Fr{\'{e}}d{\'{e}}ric Nabki and
                  Yves Blaqui{\`{e}}re and
                  Glenn E. R. Cowan},
  title        = {Configurable and Intelligent Switched {CMOS} Current Driver Powering
                  Arrays of Electrothermal Actuators for {MEMS} Switches},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558666},
  doi          = {10.1109/ISCAS58744.2024.10558666},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RiboulletNBC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RieselerABK24,
  author       = {Jonas David Rieseler and
                  Christian Adam and
                  Andreas Bahr and
                  Matthias Kuhl},
  title        = {A Compressed Sensing Integrate-and-Fire Neuron Concept for Massively
                  Parallel Recordings},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558142},
  doi          = {10.1109/ISCAS58744.2024.10558142},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RieselerABK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Rivera-OrozcoSS24,
  author       = {David Rivera{-}Orozco and
                  Federico Sandoval{-}Ibarra and
                  Gerardo Molina Salgado},
  title        = {On the Application of Data Weighted Averaging to Noise Shaping {SAR}
                  ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558432},
  doi          = {10.1109/ISCAS58744.2024.10558432},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Rivera-OrozcoSS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RodovalhoA24,
  author       = {Luis Henrique Rodovalho and
                  Orazio Aiello},
  title        = {Inverter-Based Amplifier with Active Frequency Compensation and Adaptive
                  Voltage Scaling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558561},
  doi          = {10.1109/ISCAS58744.2024.10558561},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RodovalhoA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ruan0HGWL24,
  author       = {Cihan Ruan and
                  Liang Yang and
                  Rongduo Han and
                  Shan Gao and
                  Haoyu Wu and
                  Nam Ling},
  title        = {Robust {DNA} Image Storage Decoding with Residual {CNN}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558127},
  doi          = {10.1109/ISCAS58744.2024.10558127},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ruan0HGWL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuanJCJ24,
  author       = {Bo Ruan and
                  Limin Jiang and
                  Shan Cao and
                  Zhiyuan Jiang},
  title        = {Dynamically Configurable {FIR} Filters Based on Serial MACs and Systolic
                  Arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558148},
  doi          = {10.1109/ISCAS58744.2024.10558148},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuanJCJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RussoBPAPC24,
  author       = {Enrico Russo and
                  Francesco Giulio Blanco and
                  Maurizio Palesi and
                  Giuseppe Ascia and
                  Davide Patti and
                  Vincenzo Catania},
  title        = {Towards Fair and Firm Real-Time Scheduling in {DNN} Multi-Tenant Multi-Accelerator
                  Systems via Reinforcement Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558467},
  doi          = {10.1109/ISCAS58744.2024.10558467},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RussoBPAPC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SR24,
  author       = {Kavitha S and
                  Bhupendra S. Reniwal},
  title        = {In-Memory Encryption using XOR-based Feistel Cipher in {SRAM} Array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558309},
  doi          = {10.1109/ISCAS58744.2024.10558309},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SRK24,
  author       = {Ajay B. S and
                  Madhav Rao and
                  Phani Pavan K},
  title        = {Neuromorphic Energy Efficient Stress Detection System using Spiking
                  Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558058},
  doi          = {10.1109/ISCAS58744.2024.10558058},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SRK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SabahiAS24,
  author       = {Farzad Sabahi and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {Adaptive Weighting Feature Aggregation using Particle Swarm Optimization
                  for Image Retrieval},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558632},
  doi          = {10.1109/ISCAS58744.2024.10558632},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SabahiAS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SadrafshariDZ24,
  author       = {Mirvala Sadrafshari and
                  Octavia Dobre and
                  Lihong Zhang},
  title        = {Reinforcement-Learning-Based Foggy-Aware Optimal Placement Method
                  for Analog and MixedSignal Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558520},
  doi          = {10.1109/ISCAS58744.2024.10558520},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SadrafshariDZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahishnaviAYDZB24,
  author       = {Bhartipudi Sahishnavi and
                  Samriddhi Agarwal and
                  Shameer Basha Yerragudi and
                  Naveen Dasari and
                  Andleeb Zahra and
                  Prabhakar Bhimalapuram and
                  Syed Azeemuddin and
                  Zia Abbas},
  title        = {An inductor-less, cost-effective On-chip {CMOS} {VNA} for bio-molecule
                  detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557866},
  doi          = {10.1109/ISCAS58744.2024.10557866},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SahishnaviAYDZB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahuSSS24,
  author       = {Hitesh Kumar Sahu and
                  Emon Sarkar and
                  Pushkar Sathe and
                  Laxmeesha Somappa},
  title        = {All-Digital High-Resolution Frequency Measurement SoC for Rapid {MEMS}
                  Readouts},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558651},
  doi          = {10.1109/ISCAS58744.2024.10558651},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SahuSSS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SajeebKS24,
  author       = {M. Mahmudul Hasan Sajeeb and
                  Sandeep Reddy Kukunuru and
                  Loai G. Salem},
  title        = {A Tunable Switched-Capacitor 2-Way Power Divider Based on N-Path Filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558511},
  doi          = {10.1109/ISCAS58744.2024.10558511},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SajeebKS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalahiK24,
  author       = {Rojin Salahi and
                  Hossein Kassiri},
  title        = {NMM-Based Patient-Specific Temporally-Adaptive Stimulation Optimization
                  for Seizure Control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558419},
  doi          = {10.1109/ISCAS58744.2024.10558419},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalahiK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Salem24,
  author       = {Loai G. Salem},
  title        = {A Switched-Photovoltaic Ladder {DC-DC} Converter for High Harvesting
                  Efficiency under Nonuniform Illumination},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557846},
  doi          = {10.1109/ISCAS58744.2024.10557846},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Salem24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Salem24a,
  author       = {Loai G. Salem},
  title        = {A Wide-Bandwidth Supply Modulator using Binary Switched-Capacitor
                  dc-to-dc Converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558038},
  doi          = {10.1109/ISCAS58744.2024.10558038},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Salem24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SandersonH24,
  author       = {Jonathan J. Sanderson and
                  Syed Rafay Hasan},
  title        = {System Integration of Xilinx {DPU} and {HDMI} for Real-Time Inference
                  in {PYNQ} Environment With Image Enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558393},
  doi          = {10.1109/ISCAS58744.2024.10558393},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SandersonH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SarkarCWY24,
  author       = {Md. Rubel Sarkar and
                  Shirazush Salekin Chowdhury and
                  Jeffrey Sean Walling and
                  Yang Cindy Yi},
  title        = {An In-Memory Power Efficient Computing Architecture with Emerging
                  {VGSOT} {MRAM} Device},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557835},
  doi          = {10.1109/ISCAS58744.2024.10557835},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SarkarCWY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SarkarYKT24,
  author       = {Sayan Sarkar and
                  Yuan Yao and
                  Wing{-}Hung Ki and
                  Chi{-}Ying Tsui},
  title        = {Adaptive Digitally-Controlled Active Rectifier-Based Receiver for
                  Bioimplants},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558205},
  doi          = {10.1109/ISCAS58744.2024.10558205},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SarkarYKT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SasakiN24,
  author       = {Tomoyuki Sasaki and
                  Hidehiro Nakano},
  title        = {Analysis for optimizer based on spiking-neural oscillator networks
                  with a simple network topology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557908},
  doi          = {10.1109/ISCAS58744.2024.10557908},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SasakiN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SawaNMA24,
  author       = {Takao Sawa and
                  Takeshi Nakatani and
                  Yosaku Maeda and
                  Tatsuya Asou},
  title        = {Data harvesting from seabed-mounted observation instruments using
                  optical wireless communication on underewater drone},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557969},
  doi          = {10.1109/ISCAS58744.2024.10557969},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SawaNMA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SayadiHMA24,
  author       = {Hossein Sayadi and
                  Zhangying He and
                  Tahereh Miari and
                  Mehrdad Aliasgari},
  title        = {Redefining Trust: Assessing Reliability of Machine Learning Algorithms
                  in Intrusion Detection Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558202},
  doi          = {10.1109/ISCAS58744.2024.10558202},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SayadiHMA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchoepeDSMSCS24,
  author       = {Thorben Schoepe and
                  Damien Drix and
                  Franz Marcus Sch{\"{u}}ffny and
                  Rebecca Miko and
                  Samuel Sutton and
                  Elisabetta Chicca and
                  Michael Schmuker},
  title        = {Odour Localization in Neuromorphic Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558186},
  doi          = {10.1109/ISCAS58744.2024.10558186},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchoepeDSMSCS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Schramm0A24,
  author       = {Lukas Schramm and
                  Peter Baumgartner and
                  Jasmin Aghassi{-}Hagmann},
  title        = {Quantization-Robust On-Chip Jitter Measurement Technique for Multiple
                  Local Oscillator Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558206},
  doi          = {10.1109/ISCAS58744.2024.10558206},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Schramm0A24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeoJYKK24,
  author       = {Sanghyuk Seo and
                  Yong{-}Un Jeong and
                  Jaekwang Yun and
                  Jaewook Kim and
                  Suhwan Kim},
  title        = {A 0.77-pJ/bit 40-Gb/s/pin Single-Ended Hybrid DAC-Based Transmitter
                  for Memory Interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558361},
  doi          = {10.1109/ISCAS58744.2024.10558361},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SeoJYKK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SerranoDSNIHP24,
  author       = {Ronaldo Serrano and
                  Ckristian Duran and
                  Marco Sarmiento and
                  Khai{-}Duy Nguyen and
                  Tetsuya Iizuka and
                  Trong{-}Thuc Hoang and
                  Cong{-}Kha Pham},
  title        = {A Unified {OTP} and {PUF} Exploiting Post-Program Current on Standard
                  {CMOS} Technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558343},
  doi          = {10.1109/ISCAS58744.2024.10558343},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SerranoDSNIHP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShabanpourS24,
  author       = {Javad Shabanpour and
                  Constantin Simovski},
  title        = {On the design of reflecting intelligent surfaces for multi-user {NOMA}
                  communication networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558543},
  doi          = {10.1109/ISCAS58744.2024.10558543},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShabanpourS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShadmehriRSS24,
  author       = {Hossein Yaghoobzadeh Shadmehri and
                  Ehsan Rahiminejad and
                  Mehdi Saberi and
                  Alexandre Schmid},
  title        = {A Low-Power and High-Precision Time- Domain Winner-Take-All Circuit
                  Based on the Group Search Algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558552},
  doi          = {10.1109/ISCAS58744.2024.10558552},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShadmehriRSS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahR24,
  author       = {Jay Shah and
                  Nanditha Rao},
  title        = {Hybrid Multi-tile Vector Systolic Architecture for Accelerating Convolution
                  on FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558268},
  doi          = {10.1109/ISCAS58744.2024.10558268},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahRKKJO24,
  author       = {Yasir Ali Shah and
                  Ciara Rafferty and
                  Ayesha Khalid and
                  Safiullah Khan and
                  Khalid Javeed and
                  M{\'{a}}ire O'Neill},
  title        = {Efficient Soft Core Multiplier for Post Quantum Digital Signatures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558234},
  doi          = {10.1109/ISCAS58744.2024.10558234},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahRKKJO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaoSM024,
  author       = {Haikuo Shao and
                  Huihong Shi and
                  Wendong Mao and
                  Zhongfeng Wang},
  title        = {An FPGA-Based Reconfigurable Accelerator for Convolution-Transformer
                  Hybrid EfficientViT},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557992},
  doi          = {10.1109/ISCAS58744.2024.10557992},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaoSM024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sharma0P24,
  author       = {Richa Sharma and
                  G. K. Sharma and
                  Manisha Pattanaik},
  title        = {Adversarial Label Flipping Attack on Supervised Machine Learning-Based
                  {HT} Detection Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557844},
  doi          = {10.1109/ISCAS58744.2024.10557844},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Sharma0P24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharmaPGZ24,
  author       = {Anamika Sharma and
                  Luv Pandey and
                  Paras Garg and
                  Rajesh Zele},
  title        = {Loop Filter Design Considerations for Noise-Shaping in {SAR} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558220},
  doi          = {10.1109/ISCAS58744.2024.10558220},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharmaPGZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharmaS24,
  author       = {Anal Prakash Sharma and
                  Laxmeesha Somappa},
  title        = {Hardware Implementation of a 16 Channel 0.16 {\(\mu\)}J/class Neural
                  Tree for on-chip Seizure Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558342},
  doi          = {10.1109/ISCAS58744.2024.10558342},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharmaS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenPSG24,
  author       = {Yukai Shen and
                  Carlos Perez and
                  Dietmar Straeussnigg and
                  Eric Gutierrez},
  title        = {Time-Encoded Mostly Digital Feature Extraction for Voice Activity
                  Detection Tasks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558694},
  doi          = {10.1109/ISCAS58744.2024.10558694},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenPSG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenPSL24,
  author       = {Tianma Shen and
                  Wen{-}Hsiao Peng and
                  Huang{-}Chia Shih and
                  Ying Liu},
  title        = {Learning-Based Conditional Image Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558571},
  doi          = {10.1109/ISCAS58744.2024.10558571},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenPSL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenWHSZL24,
  author       = {Da Shen and
                  Zhongrong Wang and
                  Fei He and
                  Zhijie Sun and
                  Ce Zhu and
                  Yipeng Liu},
  title        = {Epilepsy Detection with Personal Identification Based on Regularized
                  O-minus Decomposition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558582},
  doi          = {10.1109/ISCAS58744.2024.10558582},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenWHSZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShiBHLZ24,
  author       = {Huiwen Shi and
                  Yuchen Bao and
                  Zihong He and
                  Yongfu Li and
                  Yanhan Zeng},
  title        = {A 1.37 {\(\mu\)}W, 1.68 {\(\mu\)}Vrms {ECG} {AFE} with Embedded DC-Servo
                  Loop, Digital Calibration Unit and Three-State Ripple Reduction Loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558664},
  doi          = {10.1109/ISCAS58744.2024.10558664},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShiBHLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShiZHZCLS0LK24,
  author       = {Ao Shi and
                  Yizhou Zhang and
                  Lixia Han and
                  Zheng Zhou and
                  Yiyang Chen and
                  Lifeng Liu and
                  Linxiao Shen and
                  Peng Huang and
                  Xiaoyan Liu and
                  Jinfeng Kang},
  title        = {Low Quantization Error Readout Circuit with Fully Charge-Domain Calculation
                  for Computation-in-Memory Deep Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558346},
  doi          = {10.1109/ISCAS58744.2024.10558346},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShiZHZCLS0LK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShihD24,
  author       = {Chun{-}Jen Shih and
                  Jian{-}Jiun Ding},
  title        = {Interpolation and Extrapolation by Prolate Spheroidal Wave Functions
                  Using Nonuniform Division and Generalized Chirp Modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558292},
  doi          = {10.1109/ISCAS58744.2024.10558292},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShihD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Shimizu24,
  author       = {Kuniyasu Shimizu},
  title        = {Spectral mode decomposition of propagating wave in five-coupled bistable
                  oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558348},
  doi          = {10.1109/ISCAS58744.2024.10558348},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Shimizu24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinPKHPLKLS24,
  author       = {Yunjeong Shin and
                  Daehyeok Park and
                  Dohun Koh and
                  Dongryul Heo and
                  Jieun Park and
                  Hyundong Lee and
                  Jongbeom Kim and
                  Hyunsoo Lee and
                  Taigon Song},
  title        = {{FS2K:} {A} Forksheet {FET} Technology Library and a Study of {VLSI}
                  Prediction for 2nm and Beyond},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558224},
  doi          = {10.1109/ISCAS58744.2024.10558224},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinPKHPLKLS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShindoI24,
  author       = {Takuya Shindo and
                  Nobuhiko Itoh},
  title        = {A Study on Hybrid Optimization Methods using L{\'{e}}vy Flight
                  and Differential Evolution Mechanisms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558605},
  doi          = {10.1109/ISCAS58744.2024.10558605},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShindoI24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinomiyaY24,
  author       = {Fumito Shinomiya and
                  Masayuki Yamauchi},
  title        = {Development of an Initial Value Input System for a Ring of Coupled
                  Four Oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558223},
  doi          = {10.1109/ISCAS58744.2024.10558223},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinomiyaY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShirmohammadiMM24,
  author       = {Bahareh Shirmohammadi and
                  Reza Molavi and
                  Shahriar Mirabbasi},
  title        = {A Low-Power Non-Uniform Third-Derivative-Based Sampling Technique
                  for {ECG} Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558325},
  doi          = {10.1109/ISCAS58744.2024.10558325},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShirmohammadiMM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShrotiG24,
  author       = {Ajay Shroti and
                  Anuj Grover},
  title        = {Design Of High-Density Iso-Stable Asymmetric Memory Cell With Upto
                  10X Reduced Leakage},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558626},
  doi          = {10.1109/ISCAS58744.2024.10558626},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShrotiG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShuM024,
  author       = {Mingyu Shu and
                  Yingchang Mao and
                  Qiang Liu},
  title        = {A Data-Distribution Aware Approximate Multiplier Design Based on {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557930},
  doi          = {10.1109/ISCAS58744.2024.10557930},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShuM024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SicaKHT24,
  author       = {Nicholas Sica and
                  Ragh Kuttappa and
                  Vinayak Honkote and
                  Baris Taskin},
  title        = {High-Speed Phase-Based Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558674},
  doi          = {10.1109/ISCAS58744.2024.10558674},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SicaKHT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SilvaLBSN24,
  author       = {Josefredo Gadelha da Silva and
                  M{\'{a}}rcio J. Lacerda and
                  Ari{\'{a}}dne L. J. Bertolin and
                  Jander Santos and
                  Erivelton Geraldo Nepomuceno},
  title        = {{LQR} and Genetic Algorithms: An Effective Duo for Assessing Control
                  Expenditure and Performance in Dynamic Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558029},
  doi          = {10.1109/ISCAS58744.2024.10558029},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SilvaLBSN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SimSPJKJ24,
  author       = {Yonguk Sim and
                  Choongseok Song and
                  Eun Chan Park and
                  Jongwook Jeon and
                  Daewoong Kwon and
                  Doo Seok Jeong},
  title        = {Optimal data distribution in FeFET-based computing-in-memory macros},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558611},
  doi          = {10.1109/ISCAS58744.2024.10558611},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SimSPJKJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SinghD24,
  author       = {Amandeep Singh and
                  Bishnu Prasad Das},
  title        = {PVT-Insensitive Time-Domain-based In-Memory Computation with Improved
                  Linearity for Binary Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558168},
  doi          = {10.1109/ISCAS58744.2024.10558168},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SinghD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SoneT24,
  author       = {Koki Sone and
                  Hiroyuki Torikai},
  title        = {A Novel Design of Ergodic Sequential Logic Integrated Cochlear Model
                  for Reproduction of Nonlinear Compression Characteristics of Mammalian
                  Cochlea and Efficient Implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558508},
  doi          = {10.1109/ISCAS58744.2024.10558508},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SoneT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SongXWWWW24,
  author       = {HongRui Song and
                  Liang Xu and
                  Ya Wang and
                  Xiao Wu and
                  Meiqi Wang and
                  Zhongfeng Wang},
  title        = {HSViT: {A} Hardware and Software Collaborative Design for Vision Transformer
                  via Multi-level Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557837},
  doi          = {10.1109/ISCAS58744.2024.10557837},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SongXWWWW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SonnadaraS24,
  author       = {Charana Sonnadara and
                  Sahil Shah},
  title        = {On-Chip Adaptation for Reducing Mismatch in Analog Non-Volatile Device
                  Based Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557839},
  doi          = {10.1109/ISCAS58744.2024.10557839},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SonnadaraS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SrivastavaDPMGY24,
  author       = {Shobhit Srivastava and
                  Sachin Doge and
                  Sourabh Panwar and
                  Shashidhara M and
                  Vivek Garg and
                  Shivendra Yadav and
                  Lomash Chandra and
                  Abhishek Acharya},
  title        = {Impact of {S/D} Extension Length and Sheet Stacking on Transient Behavior
                  of Nanosheet FETs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558475},
  doi          = {10.1109/ISCAS58744.2024.10558475},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SrivastavaDPMGY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SrivastavaKA24,
  author       = {Prasha Srivastava and
                  Pawan Kumar and
                  Zia Abbas},
  title        = {Enhancing {ML} model accuracy for Digital {VLSI} circuits using diffusion
                  models: {A} study on synthetic data generation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558345},
  doi          = {10.1109/ISCAS58744.2024.10558345},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SrivastavaKA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StanchieriAM24,
  author       = {Guido Di Patrizio Stanchieri and
                  Orazio Aiello and
                  Andrea De Marcellis},
  title        = {A 0.4 {V} 180 nm {CMOS} Sub-{\(\mu\)}W Ultra-Compact and Low-Effort
                  Design PWM-Based {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558592},
  doi          = {10.1109/ISCAS58744.2024.10558592},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StanchieriAM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuH24,
  author       = {Liang{-}Ying Su and
                  Shih{-}Hsu Huang},
  title        = {Design Flow for Incorporating Camouflaged Logic Gates to Enhance Hardware
                  Security While Considering Timing Closure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558231},
  doi          = {10.1109/ISCAS58744.2024.10558231},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuLLSLRH24,
  author       = {Zexin Su and
                  Bo Li and
                  Chang Liu and
                  Xiaohui Su and
                  Qian Luo and
                  Hongyu Ren and
                  Zhengsheng Han},
  title        = {SRAM-Based {PUF} with Noise Immunity Achieving 0.58{\%} Native {BER}
                  in 55-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558439},
  doi          = {10.1109/ISCAS58744.2024.10558439},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuLLSLRH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuSWT24,
  author       = {Fukun Su and
                  Mingqi Sun and
                  Chao Wang and
                  Xian Tang},
  title        = {High-Precision Noise-Shaping {SAR} {ADC} using {KT/C} Noise Cancellation
                  within {CIFF} Path for Brain-Machine Interface Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558426},
  doi          = {10.1109/ISCAS58744.2024.10558426},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuSWT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuWYC24,
  author       = {Yuejiao Su and
                  Yi Wang and
                  Lei Yao and
                  Lap{-}Pui Chau},
  title        = {Few-shot Class-agnostic Counting with Occlusion Augmentation and Localization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558069},
  doi          = {10.1109/ISCAS58744.2024.10558069},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuWYC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunHTC24,
  author       = {Tsung{-}Wen Sun and
                  Yung{-}Tang Hsu and
                  Tsung{-}Heng Tsai and
                  Chia{-}Chan Chang},
  title        = {A GaN-Based Gate Driver with Adaptive Charge Sharing Bootstrap Technique
                  to Improve the Conduction Loss},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558178},
  doi          = {10.1109/ISCAS58744.2024.10558178},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunHTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunLJH24,
  author       = {Yidan Sun and
                  Siew{-}Kei Lam and
                  Guiyuan Jiang and
                  Peilan He},
  title        = {Streamlining {DNN} Obfuscation to Defend Against Model Stealing Attacks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558566},
  doi          = {10.1109/ISCAS58744.2024.10558566},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunLJH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunWKFFM24,
  author       = {Yufei Sun and
                  Wan Wang and
                  Na Kang and
                  Jing Fu and
                  Xiaoya Fan and
                  Yanzhao Ma},
  title        = {A Fully Integrated {LDO} Using Synchronous {VTC} and Asynchronous
                  Step Detection Recovery for Under-1 {V} Supply Voltage Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558228},
  doi          = {10.1109/ISCAS58744.2024.10558228},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunWKFFM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunYHK24,
  author       = {Peiyao Sun and
                  Haosen Yu and
                  Basel Halak and
                  Tomasz Kazmierski},
  title        = {A Method for Swift Selection of Appropriate Approximate Multipliers
                  for {CNN} Hardware Accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558159},
  doi          = {10.1109/ISCAS58744.2024.10558159},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunYHK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunZLXTL24,
  author       = {Chang Sun and
                  Yifan Zuo and
                  Jinyue Lu and
                  Yongxiang Xia and
                  Haicheng Tu and
                  Chunshan Liu},
  title        = {Fault Diagnosis for Hybrid {AC/DC} Power System Based on Convolutional
                  Neural Network with Transfer Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558704},
  doi          = {10.1109/ISCAS58744.2024.10558704},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunZLXTL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunZZZB24,
  author       = {Sicheng Sun and
                  Yijiu Zhao and
                  Yanze Zheng and
                  Naixin Zhou and
                  Yongling Ban},
  title        = {A 1536-Element Ku-Band Dual-Polarized Transmit Phased Array for {SATCOM}
                  Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558532},
  doi          = {10.1109/ISCAS58744.2024.10558532},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunZZZB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunnyD24,
  author       = {Shine Parekkadan Sunny and
                  Satyajit Das},
  title        = {Efficient FFT-Based {CNN} Acceleration with Intra-Patch Parallelization
                  and Flex-Stationary Dataflow},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558092},
  doi          = {10.1109/ISCAS58744.2024.10558092},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunnyD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SutisnaBSHAJ24,
  author       = {Nana Sutisna and
                  Elkhan J. Brillianshah and
                  Infall Syafalni and
                  Muhammad Ogin Hasanuddin and
                  Trio Adiono and
                  Tutun Juhana},
  title        = {Low-Complexity and High-Throughput Number Theoretic Transform Architecture
                  for Polynomial Multiplication in Homomorphic Encryption},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557845},
  doi          = {10.1109/ISCAS58744.2024.10557845},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SutisnaBSHAJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SweeneyLML24,
  author       = {Clint Sweeney and
                  Donald Y. C. Lie and
                  Jill C. Mayeda and
                  Jerry Lopez},
  title        = {Broadband High-Efficiency Watt-Level Millimeter-Wave GaN Power Amplifier
                  for Potential Robust and Cost-Effective 5G {RF} Front-End Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557894},
  doi          = {10.1109/ISCAS58744.2024.10557894},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SweeneyLML24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TakadaKKY24,
  author       = {Azuki Takada and
                  Masayuki Kinoshita and
                  Koji Kamakura and
                  Takaya Yamazato},
  title        = {Experimental Demonstration of Dual Camera Receivers in {M-PAM} Rolling
                  Shutter Based Visible Light Communication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558076},
  doi          = {10.1109/ISCAS58744.2024.10558076},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TakadaKKY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TakemaeTKS24,
  author       = {Yunosuke Takemae and
                  Hiroyuki Torikai and
                  Masaya Kudo and
                  Koki Sone},
  title        = {A Novel Hardware-Efficient Wireless Functional Electrical Stimulation
                  Device Based on Nonlinear Dynamics of Ergodic Cellular Automaton},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558229},
  doi          = {10.1109/ISCAS58744.2024.10558229},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TakemaeTKS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TakharOT24,
  author       = {Hardeep Kaur Takhar and
                  Luiz Felipe Oliveira and
                  Ljiljana Trajkovic},
  title        = {Case Study: Understanding Internet Anomalies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558079},
  doi          = {10.1109/ISCAS58744.2024.10558079},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TakharOT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TammisettiMK24,
  author       = {H. S. Trinath Tammisetti and
                  Nikhil Malgundkar and
                  Abhishek Kumar},
  title        = {A Tunable {FDD} Duplexer using Electrical Balance with N-Path Filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558353},
  doi          = {10.1109/ISCAS58744.2024.10558353},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TammisettiMK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanHY0Z24,
  author       = {Shihang Tan and
                  Jiayu Huang and
                  Quanshu Yan and
                  Lirong Zheng and
                  Zhuo Zou},
  title        = {A Near-Eye DVS-Based End-to-End Eye Tracking Processor for {AR/VR}
                  Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558200},
  doi          = {10.1109/ISCAS58744.2024.10558200},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanHY0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanLP24,
  author       = {Weihang Tan and
                  Yingjie Lao and
                  Keshab K. Parhi},
  title        = {Area-Efficient Matrix-Vector Polynomial Multiplication Architecture
                  for {ML-KEM} Using Interleaving and Folding Transformation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558584},
  doi          = {10.1109/ISCAS58744.2024.10558584},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanLP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanWLW0L24,
  author       = {Yixiang Tan and
                  Zhiying Wu and
                  Jieli Liu and
                  Jiajing Wu and
                  Ting Chen and
                  Kaixin Lin},
  title        = {Bubble or Not: An Analysis of Ethereum {ERC721} and {ERC1155} Non-fungible
                  Token Ecosystem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558166},
  doi          = {10.1109/ISCAS58744.2024.10558166},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanWLW0L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangCWLCG24,
  author       = {Yibin Tang and
                  Linxiang Cui and
                  Xiaotong Wang and
                  Min Li and
                  Ying Chen and
                  Yuan Gao},
  title        = {{ADHD} Classification with Robust Biomarker Detection Using Knowledge
                  Distillation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558413},
  doi          = {10.1109/ISCAS58744.2024.10558413},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangCWLCG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangCWZ24,
  author       = {Min Tang and
                  Shuwen Chen and
                  Shuihua Wang and
                  Yudong Zhang},
  title        = {Machine Learning for X-ray and CT-based {COVID-19} Diagnosis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557954},
  doi          = {10.1109/ISCAS58744.2024.10557954},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangCWZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangWCK24,
  author       = {Jie Tang and
                  Shuai Wang and
                  Song Chen and
                  Yi Kang},
  title        = {{DP-FFN:} Block-Based Dynamic Pooling for Accelerating Feed-Forward
                  Layers in Transformers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558119},
  doi          = {10.1109/ISCAS58744.2024.10558119},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangWCK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaoHG024,
  author       = {Shuailin Tao and
                  Jinhai Hu and
                  Wang Ling Goh and
                  Yuan Gao},
  title        = {Squeeze-Excite Fusion Based Multimodal Neural Network for Sleep Stage
                  Classification with Flexible {EEG/ECG} Signal Acquisition Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557984},
  doi          = {10.1109/ISCAS58744.2024.10557984},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TaoHG024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaoZSM0024,
  author       = {Yunsong Tao and
                  Yi Zhong and
                  Jin Shao and
                  Changyou Men and
                  Lu Jie and
                  Nan Sun},
  title        = {A Dithered-Digital-Mixing Background Timing-Skew Calibration Method
                  for Time-Interleaved ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558642},
  doi          = {10.1109/ISCAS58744.2024.10558642},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TaoZSM0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThathsaraLKP24,
  author       = {Miyuru Thathsara and
                  Siew{-}Kei Lam and
                  Damith Kawshan and
                  Duvindu Piyasena},
  title        = {Hardware Accelerator for Feature Matching with Binary Search Tree},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558431},
  doi          = {10.1109/ISCAS58744.2024.10558431},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThathsaraLKP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThomasVVDOS24,
  author       = {Sidharth Thomas and
                  Jaskirat Singh Virdi and
                  Anshul Verma and
                  Bishnu Prasad Das and
                  Kenichi Okada and
                  Pratap Narayan Singh},
  title        = {A Peak-detector-based Ultra Low Power {ECG} {ASIC} for Early Detection
                  of Cardio-Vascular Diseases},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558031},
  doi          = {10.1109/ISCAS58744.2024.10558031},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThomasVVDOS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThysALFDRP24,
  author       = {Cel Thys and
                  Rodney Martinez Alonso and
                  Antoine Lhomel and
                  Maxandre Fellmann and
                  Nathalie Deltimple and
                  Francois Rivet and
                  Sofie Pollin},
  title        = {Walsh-domain Neural Network for Power Amplifier Behavioral Modelling
                  and Digital Predistortion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557970},
  doi          = {10.1109/ISCAS58744.2024.10557970},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThysALFDRP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TianCL24,
  author       = {Cheng Tian and
                  Zijie Chen and
                  Junrui Liang},
  title        = {A Battery-free and Sensor-less Photovoltaic Tag for Real-time Indoor
                  Light Illuminance Evaluation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557975},
  doi          = {10.1109/ISCAS58744.2024.10557975},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TianCL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TianZHCWFYSTC24,
  author       = {Fengshi Tian and
                  Jiakun Zheng and
                  Jingyu He and
                  Jinbo Chen and
                  Xiaomeng Wang and
                  Chaoming Fang and
                  Jie Yang and
                  Mohamad Sawan and
                  Chi{-}Ying Tsui and
                  Kwang{-}Ting Cheng},
  title        = {{BOLS:} {A} Bionic Sensor-direct On-chip Learning System with Direct-Feedback-Through-Time
                  for Personalized Wearable Health Monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558462},
  doi          = {10.1109/ISCAS58744.2024.10558462},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TianZHCWFYSTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TogashiT24,
  author       = {Yuta Togashi and
                  Tadashi Tsubone},
  title        = {Synchronization phenomena in coupled impact oscillator model of rocking
                  robots on a suspension bridge},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558490},
  doi          = {10.1109/ISCAS58744.2024.10558490},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TogashiT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TogawaJ24,
  author       = {Sora Togawa and
                  Kenya Jin'no},
  title        = {Examination of the Relationship between Feature Extraction by Kernels
                  and {CNN} Performance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557842},
  doi          = {10.1109/ISCAS58744.2024.10557842},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TogawaJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TongCG0R024,
  author       = {Yuzhou Tong and
                  Yongming Chen and
                  Bah{-}Hwee Gwee and
                  Qi Cao and
                  Sirajudeen Gulam Razul and
                  Zhiping Lin},
  title        = {A Method for Out-of-Distribution Detection in Encrypted Mobile Traffic
                  Classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558146},
  doi          = {10.1109/ISCAS58744.2024.10558146},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TongCG0R024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TraffordCR24,
  author       = {Russell Trafford and
                  Dwaipayan Chakraborty and
                  Ravi P. Ramachandran},
  title        = {Early Impacts on Retention and Curriculum After Introducing {A} First-Year
                  Experience Course},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557887},
  doi          = {10.1109/ISCAS58744.2024.10557887},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TraffordCR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TranCGAA24,
  author       = {Vince Tran and
                  Demeng Chen and
                  Roman Genov and
                  Mostafa Rahimi Azghadi and
                  Amirali Amirsoleimani},
  title        = {{BITLITE:} Light Bit-wise Operative Vector Matrix Multiplication for
                  Low-Resolution Platforms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558615},
  doi          = {10.1109/ISCAS58744.2024.10558615},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TranCGAA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TranHLHP24,
  author       = {Thai{-}Ha Tran and
                  Van{-}Phuc Hoang and
                  Duc{-}Hung Le and
                  Trong{-}Thuc Hoang and
                  Cong{-}Kha Pham},
  title        = {An Efficient Hiding Countermeasure with Xilinx {MMCM} Primitive in
                  Spread Mode},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558293},
  doi          = {10.1109/ISCAS58744.2024.10558293},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TranHLHP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsaoH24,
  author       = {Yi{-}Fan Tsao and
                  Heng{-}Tung Hsu},
  title        = {A Wideband Linear GaN-on-SiC Power Amplifier using Harmonic-Tuning
                  Technique for 5G NewRadio {FR2} Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558277},
  doi          = {10.1109/ISCAS58744.2024.10558277},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsaoH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsengL24,
  author       = {Chien{-}Cheng Tseng and
                  Su{-}Ling Lee},
  title        = {A Generalized Heat Kernel Smoothing Filter for Signal Denoising over
                  Graph},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558098},
  doi          = {10.1109/ISCAS58744.2024.10558098},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsengL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsengYL24,
  author       = {Yu{-}Hsiang Tseng and
                  Shao{-}Hong Yang and
                  Tsung{-}Te Liu},
  title        = {Highly Reliable {PUF} Circuits Using Efficient Post-Processing Stabilization
                  Technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558347},
  doi          = {10.1109/ISCAS58744.2024.10558347},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsengYL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TusharDR24,
  author       = {SNB Tushar and
                  Hritom Das and
                  Garrett S. Rose},
  title        = {HfO2-Based Synaptic Spiking Neural Network Evaluation to Optimize
                  Design and Testing Cost},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558518},
  doi          = {10.1109/ISCAS58744.2024.10558518},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TusharDR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TyagiK24,
  author       = {Arjun Tyagi and
                  Shahar Kvatinsky},
  title        = {Assessing the Performance of Stateful Logic in 1-Selector-1-RRAM Crossbar
                  Arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558539},
  doi          = {10.1109/ISCAS58744.2024.10558539},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TyagiK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UwateOFN24,
  author       = {Yoko Uwate and
                  Marie Engelene J. Obien and
                  Urs Frey and
                  Yoshifumi Nishio},
  title        = {Feature Extraction of Neuronal Activity by Attractor Reconstruction
                  in Neural Networks with Delayed Couplings},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557836},
  doi          = {10.1109/ISCAS58744.2024.10557836},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UwateOFN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VashishthaSRG24,
  author       = {Sameer Vashishtha and
                  Prashant Kumar Singh and
                  Mohd. Rizvi and
                  Paras Garg},
  title        = {A Novel 2.7 pJ/bit, Low Supply, Power Efficient, Wide-Range 2.5-6
                  Gb/s Transmitter for 4-Channel High-Speed Serial Transmit Port {(HSSTP)}
                  in 28nm {FD-SOI} Technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558140},
  doi          = {10.1109/ISCAS58744.2024.10558140},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VashishthaSRG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VenkateshSMO24,
  author       = {Madhan Venkatesh and
                  Gerardo Molina Salgado and
                  Kevin G. McCarthy and
                  Ivan John O'Connell},
  title        = {A Low Power Programmable Switch Supply Dynamic Comparator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558322},
  doi          = {10.1109/ISCAS58744.2024.10558322},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VenkateshSMO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VillaniMBM24,
  author       = {Federico Villani and
                  Enea Masina and
                  Thomas Burger and
                  Michele Magno},
  title        = {A 36nW Ultra-Wideband Wake-Up Receiver with -86dBm Sensitivity and
                  Addressing Capabilities},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558556},
  doi          = {10.1109/ISCAS58744.2024.10558556},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VillaniMBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Vudadha24,
  author       = {Chetan Vudadha},
  title        = {Design of CNFET-based Ternary Conditional Sum Adders using Binary
                  Carry Propagation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558451},
  doi          = {10.1109/ISCAS58744.2024.10558451},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Vudadha24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WahidBT24,
  author       = {Asif Wahid and
                  Rajath Bindiganavile and
                  Armin Tajalli},
  title        = {Hadamard Multi-Tone Signaling in Multi-Wire Pulse Amplitude Modulation
                  for Next Generation Wireline Communication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558074},
  doi          = {10.1109/ISCAS58744.2024.10558074},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WahidBT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wakamiya24,
  author       = {Naoki Wakamiya},
  title        = {Investigation of Influence of APCMA-based Wireless Communication on
                  Neural Computation in Wireless Spiking Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558057},
  doi          = {10.1109/ISCAS58744.2024.10558057},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wakamiya24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WalterBHWHT24,
  author       = {Dominik Walter and
                  Marcel Brand and
                  Christian Heidorn and
                  Michael Witterauf and
                  Frank Hannig and
                  J{\"{u}}rgen Teich},
  title        = {{ALPACA:} An Accelerator Chip for Nested Loop Programs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558549},
  doi          = {10.1109/ISCAS58744.2024.10558549},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WalterBHWHT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WaltersCKAGEA24,
  author       = {Ben Walters and
                  Zhengyu Cai and
                  Hamid Rahimian Kalatehbali and
                  Amirali Amirsoleimani and
                  Roman Genov and
                  Jason Eshraghian and
                  Mostafa Rahimi Azghadi},
  title        = {Spiking Auto-Encoder Using Error Modulated Spike Timing Dependant
                  Plasticity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558103},
  doi          = {10.1109/ISCAS58744.2024.10558103},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WaltersCKAGEA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WanCLY24,
  author       = {Zhiquan Wan and
                  Zhipeng Cao and
                  Shunbin Li and
                  Dehao Ye},
  title        = {Modeling and Analysis of Waferscale Switching Network with Multiple
                  System Faults},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558627},
  doi          = {10.1109/ISCAS58744.2024.10558627},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WanCLY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wang0HSZ24,
  author       = {He Wang and
                  Qitong Wang and
                  Leilei Huang and
                  Chunqi Shi and
                  Runxi Zhang},
  title        = {A {PCA} Acceleration Algorithm For WiFi Sensing And Its Hardware Implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557859},
  doi          = {10.1109/ISCAS58744.2024.10557859},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wang0HSZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangBLVMKMHWH24,
  author       = {Lantao Wang and
                  Johannes Bastl and
                  Tim Lauber and
                  Kenny Vohl and
                  Jonas Meier and
                  Andreas K{\"{o}}llmann and
                  Ulrich M{\"{o}}hlmann and
                  Michael Hanhart and
                  Ralf Wunderlich and
                  Stefan Heinen},
  title        = {A 28 nm 8.2-11.1 GHz Class-C Digitally Controlled Oscillator with
                  40 kHz Tuning Resolution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558448},
  doi          = {10.1109/ISCAS58744.2024.10558448},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangBLVMKMHWH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCF24,
  author       = {Yimin Wang and
                  Yunuo Cen and
                  Xuanyao Fong},
  title        = {Design Framework for Ising Machines with Bistable Latch-Based Spins
                  and All-to-All Resistive Coupling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558300},
  doi          = {10.1109/ISCAS58744.2024.10558300},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCKLYLPBHDA24,
  author       = {Zhongkai Wang and
                  Minsoo Choi and
                  Paul Kwon and
                  Zhaokai Liu and
                  Bozhi Yin and
                  Kyoungtae Lee and
                  Kwanseo Park and
                  Ayan Biswas and
                  Jaeduk Han and
                  Sijun Du and
                  Elad Alon},
  title        = {A 24.6-29.6GHz Hybrid Sub-Sampling {PLL} with Tri-State Integral Path
                  Achieving 44fs Jitter and -254.8dB {FOM} in 28nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558449},
  doi          = {10.1109/ISCAS58744.2024.10558449},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCKLYLPBHDA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangF24,
  author       = {Yimin Wang and
                  Xuanyao Fong},
  title        = {Energy-Efficient Ising Machines Using Capacitance-Coupled Latches
                  for MaxCut Solving},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558647},
  doi          = {10.1109/ISCAS58744.2024.10558647},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangFW0024,
  author       = {Chuanning Wang and
                  Chao Fang and
                  Xiao Wu and
                  Zhongfeng Wang and
                  Jun Lin},
  title        = {A Scalable {RISC-V} Vector Processor Enabling Efficient Multi-Precision
                  {DNN} Inference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558028},
  doi          = {10.1109/ISCAS58744.2024.10558028},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangFW0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangGZL24,
  author       = {Yue Wang and
                  Aiying Guo and
                  Jianhua Zhang and
                  Jingjing Liu},
  title        = {A Constant-Quiescent-Current and Fast-Transient {CL-LDO} with 99.99{\%}
                  Efficiency Using Dynamic Embedded Slew-Rate Enhancement Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558479},
  doi          = {10.1109/ISCAS58744.2024.10558479},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangGZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangH24,
  author       = {Chen Wang and
                  Yuanqi Hu},
  title        = {A 174.8 dB FoMs CT-{\(\Delta\)}{\(\Sigma\)} {ADC} with Integrated
                  {ISFET} Sensor and Noise-Shaping Enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558235},
  doi          = {10.1109/ISCAS58744.2024.10558235},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHLQ24,
  author       = {Pei Wang and
                  Hongzhan Huang and
                  Xiaotong Luo and
                  Yanyun Qu},
  title        = {Data-Free Learning for Lightweight Multi-Weather Image Restoration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558147},
  doi          = {10.1109/ISCAS58744.2024.10558147},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHLQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHRZ24,
  author       = {Chengliang Wang and
                  Zhetong Huang and
                  Ao Ren and
                  Xun Zhang},
  title        = {An FPGA-based kNN Seach Accelerator for point cloud registration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558303},
  doi          = {10.1109/ISCAS58744.2024.10558303},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHRZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHZZL24,
  author       = {Xuexin Wang and
                  Yunxiang He and
                  Xiangyu Zhang and
                  Pingqiang Zhou and
                  Xin Lou},
  title        = {An Efficient Hardware Volume Renderer for Convolutional Neural Radiance
                  Fields},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558395},
  doi          = {10.1109/ISCAS58744.2024.10558395},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHZZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangJK24,
  author       = {Zhaowen Wang and
                  Hongzhe Jiang and
                  Peter R. Kinget},
  title        = {A Digital Pre-Distortion Technique for High-Linearity, Low-Power,
                  Compact, Phase Interpolators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557886},
  doi          = {10.1109/ISCAS58744.2024.10557886},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangJK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangK24,
  author       = {Xu Wang and
                  Michael Peter Kennedy},
  title        = {Comparison of {DTC} Segmentation Methods in Fractional-N Frequency
                  Synthesizers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558510},
  doi          = {10.1109/ISCAS58744.2024.10558510},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLC24,
  author       = {Siyi Wang and
                  Eugene Lim and
                  Anupam Chattopadhyay},
  title        = {Boosting the Efficiency of Quantum Divider through Effective Design
                  Space Exploration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557991},
  doi          = {10.1109/ISCAS58744.2024.10557991},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLCKJ24,
  author       = {Jiayue Wang and
                  Hyuk{-}Jae Lee and
                  Hansang Cho and
                  Byungsoo Kang and
                  Hyunmin Jung},
  title        = {Tri-Directional Decoder for Edge Discontinuity Classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558011},
  doi          = {10.1109/ISCAS58744.2024.10558011},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLCKJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLDBWWLL24,
  author       = {Yang Wang and
                  Chenhao Li and
                  Qingyang Dong and
                  Chunyue Bo and
                  Xiuhao Wu and
                  Ke Wei and
                  Xinyu Liu and
                  Weijun Luo},
  title        = {A 14{\textasciitilde}18 GHz Compact Double-Pole Triple-Throw Switch
                  Based Multi-Phase Integrated 6-bit Passive Phase Shifter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557951},
  doi          = {10.1109/ISCAS58744.2024.10557951},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLDBWWLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLDJC24,
  author       = {Jiawei Wang and
                  Li Lun and
                  Zhenhui Dai and
                  Yuanyuan Jiang and
                  Xiaoxin Cui},
  title        = {A 16.41 {TOPS/W} {CNN} Accelerator with Event-Based Layer Fusion for
                  Real-Time Inference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558289},
  doi          = {10.1109/ISCAS58744.2024.10558289},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLDJC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLPYLL24,
  author       = {Junjie Wang and
                  Shuang Liu and
                  Ruicheng Pan and
                  Shiqin Yan and
                  Yihe Liu and
                  Yang Liu},
  title        = {Live Demonstration for Input-Sparsity-Aware {RRAM} Processing-in-Memory
                  Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558412},
  doi          = {10.1109/ISCAS58744.2024.10558412},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLPYLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLW0LHHSL24,
  author       = {Yaning Wang and
                  Zhenguo Li and
                  Peng Wang and
                  Yihang Cheng and
                  Fule Li and
                  Yi Hu and
                  Jiali Hou and
                  Meng Su and
                  Mengjiao Li},
  title        = {A Floating-Ring Hybrid Amplifier Insensitive to {PVT} and Common-mode
                  Variation without {CMFB} for High-Speed ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558189},
  doi          = {10.1109/ISCAS58744.2024.10558189},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLW0LHHSL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLW24,
  author       = {Xiaoting Wang and
                  Jingyu Liu and
                  Xiaozhe Wang},
  title        = {Efficient Probabilistic Optimal Power Flow Assessment Using an Adaptive
                  Stochastic Spectral Embedding Surrogate Model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558077},
  doi          = {10.1109/ISCAS58744.2024.10558077},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLWDHZ24,
  author       = {Baowei Wang and
                  Xinyu Lv and
                  Yufeng Wu and
                  Changyu Dai and
                  Zhengyu Hu and
                  Xingyuan Zhao},
  title        = {Embedding Guide: Improving Watermarking Robustness and Imperceptibility
                  based on Attention and Edge Information},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558558},
  doi          = {10.1109/ISCAS58744.2024.10558558},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLWDHZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangMK24,
  author       = {Shuai Wang and
                  Yuang Ma and
                  Yi Kang},
  title        = {{AFT-CIM:} An Energy Efficient ADC-Free Transpose Computing-in-Memory
                  Macro for {MAC} Operations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558546},
  doi          = {10.1109/ISCAS58744.2024.10558546},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangMK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangMM24,
  author       = {Biao Wang and
                  Xiangyu Meng and
                  Fangfei Ming},
  title        = {A V-Band Low-Phase-Noise {VCO} with Transformer-Based Gm-Boosting
                  Technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558682},
  doi          = {10.1109/ISCAS58744.2024.10558682},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangMM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangPLPHT24,
  author       = {Qiao Wang and
                  Xizhu Peng and
                  Zhifei Lu and
                  Yutao Peng and
                  Zhe Hu and
                  He Tang},
  title        = {Digital Background Calibration Techniques for Interstage Gain Error
                  and Nonlinearity in Pipelined ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558193},
  doi          = {10.1109/ISCAS58744.2024.10558193},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangPLPHT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangS024,
  author       = {Zezhong Wang and
                  Masayuki Shimoda and
                  Atsushi Takahashi},
  title        = {{BCA} Channel Routing to Minimize Wirelength for Generalized Channel
                  Problem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558428},
  doi          = {10.1109/ISCAS58744.2024.10558428},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangS024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangSCC24,
  author       = {Li{-}Wen Wang and
                  Wan{-}Chi Siu and
                  Yi{-}Hao Cheng and
                  H. Anthony Chan},
  title        = {Video Assisted Face Recognition in Smart Classroom},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558195},
  doi          = {10.1109/ISCAS58744.2024.10558195},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangSCC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangSX0Q024,
  author       = {Tianyue Wang and
                  Rujun Song and
                  Zhuoling Xiao and
                  Bo Yan and
                  Haojie Qin and
                  Di He},
  title        = {CLFusion: 3D Semantic Segmentation Based on Camera and Lidar Fusion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558356},
  doi          = {10.1109/ISCAS58744.2024.10558356},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangSX0Q024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangSZZWZ24,
  author       = {Chongxi Wang and
                  Penghao Song and
                  Haoyu Zhao and
                  Fuxin Zhang and
                  Jian Wang and
                  Longbing Zhang},
  title        = {High-Utilization {GPGPU} Design for Accelerating {GEMM} Workloads:
                  An Incremental Approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558334},
  doi          = {10.1109/ISCAS58744.2024.10558334},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangSZZWZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangTXKMYHG24,
  author       = {Xin Wang and
                  Khayle Torres and
                  Yuting Xu and
                  Stefan Karolc{\'{\i}}k and
                  Damien K. Ming and
                  Sophie Yacoub and
                  Alison H. Holmes and
                  Pantelis Georgiou},
  title        = {Live Demonstration: {A} Low-cost Wearable Continuous Monitoring Platform
                  for Dengue},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558693},
  doi          = {10.1109/ISCAS58744.2024.10558693},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangTXKMYHG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangW0024,
  author       = {Miaoxin Wang and
                  Xiao Wu and
                  Jun Lin and
                  Zhongfeng Wang},
  title        = {An FPGA-Based Accelerator Enabling Efficient Support for CNNs with
                  Arbitrary Kernel Sizes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558221},
  doi          = {10.1109/ISCAS58744.2024.10558221},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangW0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangW24,
  author       = {Siyu Wang and
                  David D. Wentzloff},
  title        = {A 1.41{\(\mathrm{\mu}\)}W Motion Sensing Front-End for Passive Infrared
                  Sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557971},
  doi          = {10.1109/ISCAS58744.2024.10557971},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWJLF24,
  author       = {Muyao Wang and
                  Bo Wang and
                  Lu Jia and
                  Haicheng Li and
                  Xue Feng},
  title        = {Flexible Integrated Circuits via Stress-minimized Layout and Ultra-thin
                  Chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558471},
  doi          = {10.1109/ISCAS58744.2024.10558471},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWJLF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWSGWZ24,
  author       = {Yange Wang and
                  Wensong Wang and
                  Zhou Shu and
                  Yanshu Guo and
                  Shiquan Wang and
                  Yuanjin Zheng},
  title        = {Novel High Frequency Antenna Sensor to Detect On-Line Partial Discharge
                  Signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558415},
  doi          = {10.1109/ISCAS58744.2024.10558415},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWSGWZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangXVZZ24,
  author       = {Wenyi Wang and
                  Yingzhan Xu and
                  Bharath Vishwanath and
                  Kai Zhang and
                  Li Zhang},
  title        = {Improved Geometry Coding for Spinning LiDAR Point Cloud Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558526},
  doi          = {10.1109/ISCAS58744.2024.10558526},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangXVZZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangXXHL24,
  author       = {Wen Wang and
                  Bingjie Xia and
                  Bing Xiong and
                  Xiaoxia Han and
                  Peng Liu},
  title        = {Mantissa-Aware Floating-Point Eight-Term Fused Dot Product Unit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558082},
  doi          = {10.1109/ISCAS58744.2024.10558082},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangXXHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangXZJG24,
  author       = {Runxi Wang and
                  Ruge Xu and
                  Xiaotian Zhao and
                  Kai Jiang and
                  Xinfei Guo},
  title        = {{CINEMA:} {A} Configurable Binary Segmentation Based Arithmetic Module
                  for Mixed-Precision In-Memory Acceleration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557983},
  doi          = {10.1109/ISCAS58744.2024.10557983},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangXZJG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangYZL024,
  author       = {Zhikai Wang and
                  Zuochang Ye and
                  Jingbo Zhou and
                  Xiaosen Liu and
                  Yan Wang},
  title        = {A Two-step Fine-tuning Assisted Layout Sizing Scheme for Analog/RF
                  Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558541},
  doi          = {10.1109/ISCAS58744.2024.10558541},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangYZL024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZH24,
  author       = {Yi Wang and
                  Yuanjin Zheng and
                  Yajun Ha},
  title        = {Machine Learning with Real-time and Small Footprint Anomaly Detection
                  System for In-Vehicle Gateway},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558008},
  doi          = {10.1109/ISCAS58744.2024.10558008},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZHZT0L24,
  author       = {Yu Wang and
                  Haoyu Zhang and
                  Wei Hu and
                  Xin Zhang and
                  Xinyu Tian and
                  Fei Lyu and
                  Yuanyong Luo},
  title        = {An Optimized Architecture for Computing the Square Root of Complex
                  Numbers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558444},
  doi          = {10.1109/ISCAS58744.2024.10558444},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZHZT0L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZW0H24,
  author       = {Defa Wang and
                  Zhiwei Zhu and
                  Shuai Wan and
                  Fei Yang and
                  Luis Herranz},
  title        = {Rate Control for Slimmable Video Codec using Multilayer Perceptron},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558606},
  doi          = {10.1109/ISCAS58744.2024.10558606},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZW0H24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZWWJWGF24,
  author       = {Jianze Wang and
                  Wei Zhang and
                  Zhen Wu and
                  Yimin Wang and
                  Leming Jiao and
                  Xiaolin Wang and
                  Xiao Gong and
                  Xuanyao Fong},
  title        = {Transposable Memory Based on the Ferroelectric Field-Effect Transistor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558599},
  doi          = {10.1109/ISCAS58744.2024.10558599},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZWWJWGF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZYX024,
  author       = {Hengchao Wang and
                  Ziyu Zhong and
                  Jiaoyang Yin and
                  Yiling Xu and
                  Le Yang},
  title        = {Enhancing Real-Time Video Streaming with Joint Frame Size and Rate
                  Adaptation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557847},
  doi          = {10.1109/ISCAS58744.2024.10557847},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZYX024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZZSZZ24,
  author       = {Wenjie Wang and
                  Jianan Zheng and
                  Yang Zhou and
                  Risheng Su and
                  Longbin Zhu and
                  Zhijun Zhou},
  title        = {A Stimulation Artifacts Removal Technique Employing {VCO} and Phase
                  Detector for Simultaneous Neural Stimulation and Recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558281},
  doi          = {10.1109/ISCAS58744.2024.10558281},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZZSZZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WehrZHVWWH24,
  author       = {Erik Wehr and
                  Tobias Zekorn and
                  Michael Hanhart and
                  Kenny Vohl and
                  L{\'{e}}on Weihs and
                  Ralf Wunderlich and
                  Stefan Heinen},
  title        = {A Trimming-Less External-RC Relaxation Oscillator With Self-Calibrating
                  Current Reference for a SiC Active Gate Driver Application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558102},
  doi          = {10.1109/ISCAS58744.2024.10558102},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WehrZHVWWH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeiDSWYLWKZ24,
  author       = {Zhengzhe Wei and
                  Boyi Dong and
                  Yuqi Su and
                  Yi Wang and
                  Chuanshi Yang and
                  Yuncheng Lu and
                  Chao Wang and
                  Tony Tae{-}Hyoung Kim and
                  Yuanjin Zheng},
  title        = {A 2.793{\(\mathrm{\mu}\)}W Near-Threshold Neuronal Population Dynamics
                  Simulator for Reliable Simultaneous Localization and Mapping},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557911},
  doi          = {10.1109/ISCAS58744.2024.10557911},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeiDSWYLWKZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeiL24,
  author       = {Jiashuo Wei and
                  Qiang Liu},
  title        = {A Fault Attack Resistant Method for {RISC-V} Based on Interrupt Handlers
                  and Instruction Extensions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558427},
  doi          = {10.1109/ISCAS58744.2024.10558427},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeiL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WengPZJQ24,
  author       = {Yuze Weng and
                  Jinlei Pan and
                  Yang Zhao and
                  Junmin Jiang and
                  Liang Qi},
  title        = {A 2.3-ppm/{\unicode{8451}} High-Order Compensated Bandgap Reference
                  With Low-Cost Current Trimming},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558263},
  doi          = {10.1109/ISCAS58744.2024.10558263},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WengPZJQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WiensDO24,
  author       = {David{-}Peter Wiens and
                  Bj{\"{o}}rn Driemeyer and
                  Maurits Ortmanns},
  title        = {A Mixed-Signal {TIA} with Input Restoring {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557979},
  doi          = {10.1109/ISCAS58744.2024.10557979},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WiensDO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WilsonJ24,
  author       = {Calder Wilson and
                  Matthew L. Johnston},
  title        = {Multi-segment Stretchable Strain Sensor using Time Domain Reflectometry},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558477},
  doi          = {10.1109/ISCAS58744.2024.10558477},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WilsonJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WineLNH24,
  author       = {Kevin Wine and
                  Demetrios Lambropoulos and
                  Laleh Najafizadeh and
                  Sasan Haghani},
  title        = {Incorporating Design Skills in an Introductory Electric Circuits Laboratory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558030},
  doi          = {10.1109/ISCAS58744.2024.10558030},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WineLNH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WittenhagenWKLB24,
  author       = {Enne Wittenhagen and
                  Dominik Wilding and
                  Patrick Kurth and
                  Sebastian Linnhoff and
                  Frowin Buballa and
                  Urs Hecht and
                  Patrick J. Artz and
                  Friedel Gerfers},
  title        = {An 11-Bit 12 GS/s Beam-Forming Receiver {ADC} for a 2x2 Antenna Array
                  utilizing True Time-Delay with 68 dBc {SFDR} and 55 dB {SNDR}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558495},
  doi          = {10.1109/ISCAS58744.2024.10558495},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WittenhagenWKLB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WooJM24,
  author       = {Jongseok Woo and
                  Kuchul Jung and
                  Saibal Mukhopadhyay},
  title        = {Efficient Hardware Design of {DNN} for {RF} Signal Modulation Recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558307},
  doi          = {10.1109/ISCAS58744.2024.10558307},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WooJM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WorseyTKKP24,
  author       = {Elliott Worsey and
                  Qi Tang and
                  Manu Bala Krishnan and
                  Mukesh Kumar Kulsreshath and
                  Dinesh Pamunuwa},
  title        = {Nanoelectromechanical analog-to-digital converter for low power and
                  harsh environments},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558630},
  doi          = {10.1109/ISCAS58744.2024.10558630},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WorseyTKKP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu0D24,
  author       = {Zhentao Wu and
                  Yu Wu and
                  Andreas Demosthenous},
  title        = {A 199 {\(\mu\)}W, 82.9{\%} Efficiency Current Driver with Active Common-Mode
                  Reduction for Impedance-Based Tactile Sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558093},
  doi          = {10.1109/ISCAS58744.2024.10558093},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu0D24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuS24,
  author       = {Zhengfeng Wu and
                  Ioannis Savidis},
  title        = {Edge-weighted Graph Neural Networks for Post-placement Interconnect
                  Capacitance Estimation of Analog Circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558681},
  doi          = {10.1109/ISCAS58744.2024.10558681},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuSBVAG24,
  author       = {Yizhuo Wu and
                  Gagan Deep Singh and
                  Mohammadreza Beikmirza and
                  Leo C. N. de Vreede and
                  Morteza S. Alavi and
                  Chang Gao},
  title        = {OpenDPD: An Open-Source End-to-End Learning {\&} Benchmarking
                  Framework for Wideband Power Amplifier Modeling and Digital Pre-Distortion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558162},
  doi          = {10.1109/ISCAS58744.2024.10558162},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuSBVAG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuSLFL24,
  author       = {Hantian Wu and
                  Qing Shen and
                  Wei Liu and
                  Zheng Fu and
                  Chenxi Liao},
  title        = {2-D Wideband {DOA} Estimation with Circular Arrays Based on the Difference
                  Co-Array Concept},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558313},
  doi          = {10.1109/ISCAS58744.2024.10558313},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuSLFL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuTCCLHC24,
  author       = {Yu{-}Ting Wu and
                  Hung{-}Wen Tsai and
                  Pau{-}Choo Chung and
                  Chein{-}I Chang and
                  Nien{-}Tsu Li and
                  Yu{-}Xian Huang and
                  Kuo{-}Sheng Cheng},
  title        = {Domain Generalization with Anti-background Perturbation Consistency
                  and Texture Reduction Ensemble Models for Hepatocyte Nucleus Segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558446},
  doi          = {10.1109/ISCAS58744.2024.10558446},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuTCCLHC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuWFSF24,
  author       = {Zetong Wu and
                  Hao Wu and
                  Kaiqun Fang and
                  Keith Siu{-}Fung Sze and
                  Qianjin Feng},
  title        = {A Transformer-Based Deep Learning Model for Sleep Apnea Detection
                  and Application on RingConn Smart Ring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558425},
  doi          = {10.1109/ISCAS58744.2024.10558425},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuWFSF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuXXCTZWYL24,
  author       = {Liangshun Wu and
                  Lisheng Xie and
                  Jianwei Xue and
                  Faquan Chen and
                  Qingyang Tian and
                  Yifan Zhou and
                  Ziren Wu and
                  Rendong Ying and
                  Peilin Liu},
  title        = {SPRCPl: An Efficient Tool for {SNN} Models Deployment on Multi-Core
                  Neuromorphic Chips via Pilot Running},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558055},
  doi          = {10.1109/ISCAS58744.2024.10558055},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuXXCTZWYL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuYHJ24,
  author       = {Tsung{-}Han Wu and
                  Ching Liang Yeh and
                  Yi{-}Shan Huang and
                  Shyh{-}Jye Jou},
  title        = {A 128 Gb/s {LDPC} Decoder Using Partial Syndrome-based Dynamic Decoding
                  Scheme for Terahertz Wireless Multi-Media Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558369},
  doi          = {10.1109/ISCAS58744.2024.10558369},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuYHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuZTJLHLWM24,
  author       = {Taotao Wu and
                  Yuxiao Zhao and
                  Kuanfeng Tang and
                  Haoyu Jiang and
                  Wentao Liu and
                  Tuo Hu and
                  Meng Liu and
                  Hanyang Wang and
                  Hao Min},
  title        = {Backscatter Sensing with Single-tag Path Variation Cancelling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558528},
  doi          = {10.1109/ISCAS58744.2024.10558528},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuZTJLHLWM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiXLZL0LQ24,
  author       = {Ruijie Xi and
                  Chenkang Xue and
                  Jiping Li and
                  Tianting Zhao and
                  Mengjiao Li and
                  Yong Ding and
                  Wuhua Li and
                  Wanyuan Qu},
  title        = {A 60-nA {IQ} 96.5{\%} Peak Efficiency Buck Converter with Wide Load
                  Range for Internet of Things},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558536},
  doi          = {10.1109/ISCAS58744.2024.10558536},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiXLZL0LQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaFLCZ24,
  author       = {Yunjia Xia and
                  Elisabetta Maria Frijia and
                  Rui Loureiro and
                  Robert J. Cooper and
                  Hubin Zhao},
  title        = {An FPGA-based, multi-channel, real-time, motion artifact detection
                  technique for fNIRS/DOT systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558489},
  doi          = {10.1109/ISCAS58744.2024.10558489},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaFLCZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaLFW0S24,
  author       = {Jianlin Xia and
                  Yongjia Li and
                  Zhongyuan Fang and
                  Jin Wu and
                  Feng Lin and
                  Weifeng Sun},
  title        = {A Non-trimmed, 7 MHz and 52 ppm/{\textdegree}C Relaxation Oscillator
                  with Loop Errors Compensation from -40{\textdegree}C to 165{\textdegree}C},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557878},
  doi          = {10.1109/ISCAS58744.2024.10557878},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaLFW0S24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaYZLZC24,
  author       = {Qingjiang Xia and
                  You You and
                  Yacong Zhang and
                  Wengao Lu and
                  Runkun Zhu and
                  Zhongjian Chen},
  title        = {A 27.5 fJ/step {SAR} Capacitance-to-Digital Converter Based on Correlated
                  Double Sampling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558248},
  doi          = {10.1109/ISCAS58744.2024.10558248},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaYZLZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaZZCL24,
  author       = {Chenjie Xia and
                  Xuanhao Zhang and
                  Zihan Zou and
                  Hao Cai and
                  Bo Liu},
  title        = {Live Demonstration: {A} Target-Separable {BWN} Inspired Speech Recognition
                  Processor with Low-power Precision-adaptive Approximate Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558484},
  doi          = {10.1109/ISCAS58744.2024.10558484},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaZZCL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XianLMLYY24,
  author       = {Yujie Xian and
                  Jiyi Liu and
                  Shang Ma and
                  Bowen Li and
                  Runsen Yan and
                  Mengtao Yang},
  title        = {A {DEM} Structure Based on Random Combination Group Encoding toward
                  a Reduced Circuit Area},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557873},
  doi          = {10.1109/ISCAS58744.2024.10557873},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XianLMLYY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiangLZH24,
  author       = {Lingrui Xiang and
                  Xiaofen Lu and
                  Rui Zhang and
                  Zheng Hu},
  title        = {{SSDC:} {A} Scalable Sparse Differential Checkpoint for Large-scale
                  Deep Recommendation Models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557880},
  doi          = {10.1109/ISCAS58744.2024.10557880},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiangLZH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiangZZZR024,
  author       = {Yuguo Xiang and
                  Yutong Zhao and
                  Dayan Zhou and
                  Danfeng Zhai and
                  Junyan Ren and
                  Fan Ye},
  title        = {Hardware-Implemented Calibration Based on Sinusoidal Fitting for Hybrid
                  Pipeline {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557864},
  doi          = {10.1109/ISCAS58744.2024.10557864},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiangZZZR024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaoMJLSTWN24,
  author       = {Cancheng Xiao and
                  Yuxuan Ma and
                  Dingsong Jiang and
                  Jianle Liu and
                  Bingqian Song and
                  Jianshi Tang and
                  Huaqiang Wu and
                  Tianxiang Nan},
  title        = {{HXNOR-PBNN:} {A} Scalable and Parallel Spintronics Synaptic Architecture
                  for Probabilistic Binary Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558067},
  doi          = {10.1109/ISCAS58744.2024.10558067},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaoMJLSTWN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaoZY0Z24,
  author       = {Anqin Xiao and
                  Xin Zhang and
                  Jinqiao Yang and
                  Lirong Zheng and
                  Zhuo Zou},
  title        = {Spiking-HDC: {A} Spiking Neural Network Processor with {HDC} Classifier
                  Enabling Transfer Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558378},
  doi          = {10.1109/ISCAS58744.2024.10558378},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaoZY0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieDSGLJ0024,
  author       = {Lin Xie and
                  Zizheng Dong and
                  Jialei Sun and
                  Sai Gao and
                  Shuaipeng Li and
                  Naifeng Jing and
                  Qin Wang and
                  Jianfei Jiang},
  title        = {A 0.8-ps {RMS} Precision Period Jitter Measurement Circuit with Offset
                  Reduction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557978},
  doi          = {10.1109/ISCAS58744.2024.10557978},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieDSGLJ0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieLLL24,
  author       = {Jiyu Xie and
                  Li Li and
                  Dong Liu and
                  Houqiang Li},
  title        = {Content-adaptive Variable Resolution Framework for Intra Coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558245},
  doi          = {10.1109/ISCAS58744.2024.10558245},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieLLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XingHCFW24,
  author       = {Xipeng Xing and
                  Qiji Huang and
                  Tinghua Chen and
                  Haigang Feng and
                  Zhongfeng Wang},
  title        = {A 14-bit 6GS/s {DAC} Achieving {\textgreater}65dBc {SFDR} with Bilateral
                  Output Impedance Compensation in 22nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557879},
  doi          = {10.1109/ISCAS58744.2024.10557879},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XingHCFW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiongJLYHLYC24,
  author       = {An{-}Nan Xiong and
                  Yuzhong Jiao and
                  Xuejiao Liu and
                  Manto Yung and
                  Xianghong Hu and
                  Luhong Liang and
                  Jie Yuan and
                  Mansun Chan},
  title        = {An End-to-End Deep-Learning-Based Indirect Time-of-Flight Image Signal
                  Processor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558105},
  doi          = {10.1109/ISCAS58744.2024.10558105},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiongJLYHLYC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiongYMGHL24,
  author       = {Bingjun Xiong and
                  Feng Yan and
                  Wenji Mo and
                  Jian Guan and
                  Yuxuan Huang and
                  Jingjing Liu},
  title        = {A 1.02 ppm/{\textdegree}C Precision Bandgap Reference with High-order
                  Curvature Compensation for Fluorescence Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557967},
  doi          = {10.1109/ISCAS58744.2024.10557967},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiongYMGHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Xu0TH24,
  author       = {Ruijian Xu and
                  Ning Jiang and
                  Jialiang Tang and
                  Xinlei Huang},
  title        = {Adaptive Informative Semantic Knowledge Transfer for Knowledge Distillation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557974},
  doi          = {10.1109/ISCAS58744.2024.10557974},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Xu0TH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuLWLDYJ24,
  author       = {Xinrun Xu and
                  Zhanbiao Lian and
                  Yurong Wu and
                  Manying Lv and
                  Zhiming Ding and
                  Jin Yan and
                  Shan Jiang},
  title        = {A Multi-constraint and Multi-objective Allocation Model for Emergency
                  Rescue in IoT Environment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558384},
  doi          = {10.1109/ISCAS58744.2024.10558384},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuLWLDYJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuT00SO24,
  author       = {Dongfan Xu and
                  Minzhe Tang and
                  Yi Zhang and
                  Zheng Li and
                  Atsushi Shirane and
                  Kenichi Okada},
  title        = {A 24-71-GHz Tri-Mode Mixer Using Harmonic Selection for Multi-Band
                  5G {NR}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558040},
  doi          = {10.1109/ISCAS58744.2024.10558040},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuT00SO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuWLHZC24,
  author       = {Zhubin Xu and
                  Tianlei Wang and
                  Dekang Liu and
                  Dinghan Hu and
                  Huanqiang Zeng and
                  Jiuwen Cao},
  title        = {Audio-Visual Cross-Modal Generation with Multimodal Variational Generative
                  Model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557902},
  doi          = {10.1109/ISCAS58744.2024.10557902},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuWLHZC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuYLDYH24,
  author       = {Siyuan Xu and
                  Huiru Yang and
                  Longhuang Li and
                  Yiyang Du and
                  Huaiyu Ye and
                  Huan Hu},
  title        = {An Ultra-Low Power Wearable Sensing System with a Highly Sensitive
                  Three-Dimensional {LIG} Sensor and Energy-Efficient Time Domain Readout},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558125},
  doi          = {10.1109/ISCAS58744.2024.10558125},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuYLDYH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuZLZZ24,
  author       = {Feifei Xu and
                  Wang Zhou and
                  Guangzhen Li and
                  Zheng Zhong and
                  Yingchen Zhou},
  title        = {Enhancing Cross-Modal Understanding for Audio Visual Scene-Aware Dialog
                  Through Contrastive Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558240},
  doi          = {10.1109/ISCAS58744.2024.10558240},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuZLZZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuZMM24,
  author       = {Xiangsheng Xu and
                  Qihang Zhang and
                  Tengfei Ma and
                  Songping Mai},
  title        = {A High Efficiency, Low {EMI} Non-inverting Buck-Boost Converter in
                  Wireless Power and Data Transfer System for Brain Computer Interface},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558688},
  doi          = {10.1109/ISCAS58744.2024.10558688},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuZMM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XueZLX24,
  author       = {Jiawen Xue and
                  Xuguang Zhang and
                  Guolin Li and
                  Xiang Xie},
  title        = {Tangible User Interface Everywhere Based on Imperceptible Structured
                  Light},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558671},
  doi          = {10.1109/ISCAS58744.2024.10558671},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XueZLX24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YadavADNT24,
  author       = {Satyapreet Singh Yadav and
                  Shreyansh Anand and
                  Adithya M. D and
                  Dasari Sai Nikitha and
                  Chetan Singh Thakur},
  title        = {tinyRadar: LSTM-based Real-time Multi-target Human Activity Recognition
                  for Edge Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558474},
  doi          = {10.1109/ISCAS58744.2024.10558474},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YadavADNT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YamamotoT24,
  author       = {Isaki Yamamoto and
                  Hiroyuki Torikai},
  title        = {A Novel Ergodic Cellular Automaton Asthma Model: Reproductions of
                  Nonlinear Dynamics of Asthma and Efficient {FPGA} Implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558560},
  doi          = {10.1109/ISCAS58744.2024.10558560},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YamamotoT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanHJ24,
  author       = {Zhuoya Yan and
                  Yingna Huang and
                  Hailong Jiao},
  title        = {A Low-Power Single-Phase Split-Controlled Flip-Flop With No Redundant
                  Switching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558244},
  doi          = {10.1109/ISCAS58744.2024.10558244},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanHJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanLLZHCW024,
  author       = {Aibin Yan and
                  Zhuoyuan Lin and
                  Guangzhu Liu and
                  Qingyang Zhang and
                  Zhengfeng Huang and
                  Jie Cui and
                  Xiaoqing Wen and
                  Patrick Girard},
  title        = {Nonvolatile and SEU-Recoverable Latch Based on FeFET and {CMOS} for
                  Energy-Harvesting Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558033},
  doi          = {10.1109/ISCAS58744.2024.10558033},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanLLZHCW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanXMSGL24,
  author       = {Feng Yan and
                  Bingjun Xiong and
                  Wenji Mo and
                  Kangkang Sun and
                  Jian Guan and
                  Jingjing Liu},
  title        = {A 0.816nW 12.3pS Tunable Low-Gm Transconductor for Bio-electrical
                  Signal Acquisition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558562},
  doi          = {10.1109/ISCAS58744.2024.10558562},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanXMSGL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangC24,
  author       = {Bangda Bender Yang and
                  Anthony Chan Carusone},
  title        = {Design of a Linearized Power-Efficient Dynamic Amplifier in 22nm {FDSOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558034},
  doi          = {10.1109/ISCAS58744.2024.10558034},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangCYDH24,
  author       = {Yunzhe Yang and
                  Qiujin Chen and
                  Zaitian Yang and
                  Sijun Du and
                  Mo Huang},
  title        = {A GaN Driver with Almost Constant dv/dt during Miller Plateau for
                  {V-I} Overlap Loss Reduction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558071},
  doi          = {10.1109/ISCAS58744.2024.10558071},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangCYDH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangCZH24,
  author       = {Zaitian Yang and
                  Qiujin Chen and
                  Shaowei Zhen and
                  Mo Huang},
  title        = {A {LDO} with 5-nA Quiescent Current and Improved Transient Response
                  within a 50-mA Load Current Range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558610},
  doi          = {10.1109/ISCAS58744.2024.10558610},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangCZH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangDJ24,
  author       = {Shuqian Yang and
                  Henhui Ding and
                  Xudong Jiang},
  title        = {Generalized Few-Shot 3D Point Cloud Segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557923},
  doi          = {10.1109/ISCAS58744.2024.10557923},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangDJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangF24,
  author       = {Yang Yang and
                  Wai{-}Chi Fang},
  title        = {A Highly Reliable {PPG} Authentication System Based on an Improved
                  {AI} Model with Dynamic Weighted Triplet Loss Function},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557922},
  doi          = {10.1109/ISCAS58744.2024.10557922},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangHM0Z24,
  author       = {Fanxi Yang and
                  Yuhan He and
                  Ning Ma and
                  Lirong Zheng and
                  Zhuo Zou},
  title        = {{TSCM:} {A} TCAM-Based Sparse Connection Memory Architecture in Neuromorphic
                  Computing System for Cortical Simulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558463},
  doi          = {10.1109/ISCAS58744.2024.10558463},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangHM0Z24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangLDKA24,
  author       = {Qing Yang and
                  Hadi Lotfi and
                  Frederik Dreyer and
                  Michal Kern and
                  Jens Anders},
  title        = {A Miniaturized Chip-based {ODNP} Platform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558466},
  doi          = {10.1109/ISCAS58744.2024.10558466},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangLDKA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangLLLZS024,
  author       = {Botao Yang and
                  Nayu Li and
                  Yiwei Liu and
                  Hang Lu and
                  Ying Zhan and
                  Chunyi Song and
                  Zhiwei Xu},
  title        = {A K-Band Eight-Element Dual-Beam Receiver With Current-Sharing-Based
                  Low-Power Technique for {LEO} {SATCOM} in 65-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557950},
  doi          = {10.1109/ISCAS58744.2024.10557950},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangLLLZS024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangLYMXLZGL24,
  author       = {Yuye Yang and
                  Xi Liu and
                  Ruixuan Yang and
                  Shuaizhe Ma and
                  Yifei Xia and
                  Jia Li and
                  Bing Zhang and
                  Li Geng and
                  Dan Li},
  title        = {A Low-Power Multimode Eight-Channel {AFE} for dToF LiDAR},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557862},
  doi          = {10.1109/ISCAS58744.2024.10557862},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangLYMXLZGL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangMM0G24,
  author       = {Li Yang and
                  Mohamed Malki and
                  Jos{\'{e}} Maria Mu{\~{n}}oz{-}Ferreras and
                  Xi Zhu and
                  Roberto G{\'{o}}mez{-}Garc{\'{\i}}a},
  title        = {High-Order Multilayer Input-Absorptive {RF} Filter With Wideband Quasi-Flat
                  Group Delay and Multiple Stopband Transmission Zeros},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558678},
  doi          = {10.1109/ISCAS58744.2024.10558678},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangMM0G24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangPJ24,
  author       = {Minkyu Yang and
                  Changjoo Park and
                  Wanyeong Jung},
  title        = {A Compact and Low-Power Column Readout Circuit based on Digital Delay
                  Chain},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558326},
  doi          = {10.1109/ISCAS58744.2024.10558326},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangPJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangXWFZ24,
  author       = {Jian Yang and
                  Kun Xiang and
                  Haixin Wang and
                  Yibo Fan and
                  Jinjia Zhou},
  title        = {{ASAUN-CS:} Adaptive Stage Activated Unfolding Network for Compressive
                  Sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557871},
  doi          = {10.1109/ISCAS58744.2024.10557871},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangXWFZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangZW24,
  author       = {Jie Yang and
                  Xi Zhang and
                  Xingtang Wu},
  title        = {An emergent {EV} dispatching method to enhance the resilience of power-transportation
                  coupling systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558481},
  doi          = {10.1109/ISCAS58744.2024.10558481},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangZW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangZXZLLWC24,
  author       = {Weiping Yang and
                  Shilin Zhou and
                  Hui Xu and
                  Qimin Zhou and
                  Jingyu Li and
                  Qingjiang Li and
                  Yinan Wang and
                  Changlin Chen},
  title        = {An Integration and Time-Sampling based Readout Circuit with Current
                  Compensation for Parallel {MAC} operations in {RRAM} Arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558621},
  doi          = {10.1109/ISCAS58744.2024.10558621},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangZXZLLWC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YaoZGZLCA24,
  author       = {Ruoheng Yao and
                  Shengming Zhou and
                  Zhiyue Gao and
                  Yangyi Zhang and
                  Yiwei Luo and
                  Lei Chen and
                  Fengwei An},
  title        = {Live Demonstration: {A} Video Denoising Co-processor with Non-local
                  Means Algorithm for {FHD} 30fps Image Sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558261},
  doi          = {10.1109/ISCAS58744.2024.10558261},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YaoZGZLCA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YapeterGML0SKG24,
  author       = {Calista Adele Yapeter and
                  Costanza Gulli and
                  Katerina{-}Theresa Mantikas and
                  Francis Lali and
                  Nicolas Moser and
                  Constantinos Simillis and
                  Melpomeni Kalofonou and
                  Pantelis Georgiou},
  title        = {Rapid Diagnostics for Colorectal Cancer using Lab-on-Chip Technology
                  with Machine Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558018},
  doi          = {10.1109/ISCAS58744.2024.10558018},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YapeterGML0SKG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YasudaY24,
  author       = {Kyosuke Yasuda and
                  Masayuki Yamauchi},
  title        = {Behavior of Phase-Inversion Waves on Coupled Van der Pol Oscillators
                  as a Torus},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557897},
  doi          = {10.1109/ISCAS58744.2024.10557897},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YasudaY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YasufukuUN24,
  author       = {Kazuki Yasufuku and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Analysis of Reservoir Computing Using Oscillator Circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558653},
  doi          = {10.1109/ISCAS58744.2024.10558653},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YasufukuUN24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YinPGS24,
  author       = {Jun Yin and
                  Elisa Pantoja and
                  Yimin Gao and
                  Mircea R. Stan},
  title        = {A Feedback Self-adaptive Body Biasing-based {RF-DC} Rectifier for
                  Highly-sensitive {RF} Energy Harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558291},
  doi          = {10.1109/ISCAS58744.2024.10558291},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YinPGS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YokoyamaJ24,
  author       = {Kenta Yokoyama and
                  Kenya Jin'no},
  title        = {Performance Study by Changing the Internal Structure of Hysteresis
                  Reservoir Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557960},
  doi          = {10.1109/ISCAS58744.2024.10557960},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YokoyamaJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YouSSZQ24,
  author       = {Heng You and
                  Dashan Shi and
                  Delong Shang and
                  Yumei Zhou and
                  Shushan Qiao},
  title        = {A 409mV, Sub-10nW Power-on Reset Circuit Using Adaptive Accuracy Adjustment
                  for Low Voltage Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558131},
  doi          = {10.1109/ISCAS58744.2024.10558131},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YouSSZQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YouXAAG24,
  author       = {Hao You and
                  Jianxiong Xu and
                  Amirali Amirsoleimani and
                  Mostafa Rahimi Azghadi and
                  Roman Genov},
  title        = {SAR-MemPipe: {A} Hybrid Pipeline-SAR Memristive {ADC} for Analog Resistive
                  Arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557966},
  doi          = {10.1109/ISCAS58744.2024.10557966},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YouXAAG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YounKSK24,
  author       = {Da{-}Hyeon Youn and
                  Gyuwon Kam and
                  Minkyu Song and
                  Soo Youn Kim},
  title        = {Two-step Classification Neuron Circuits for Low-power and High-integration
                  {SNN} Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557917},
  doi          = {10.1109/ISCAS58744.2024.10557917},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YounKSK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuM024,
  author       = {Zhewen Yu and
                  Fangyu Mao and
                  Yan Lu},
  title        = {Prediction of Subharmonic Oscillation in {SIMO} {DC-DC} Converter
                  with Ordered Power Distributive Control in {CCM} and Peak Current
                  Mode},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558010},
  doi          = {10.1109/ISCAS58744.2024.10558010},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuM024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuTLWC24,
  author       = {Zichuan Yu and
                  Lu Tang and
                  Jianxun Li and
                  Kai Wang and
                  Yongchen Chen},
  title        = {Interference Technology of Microphone Equipment Based on Time-Frequency
                  Mosaic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558591},
  doi          = {10.1109/ISCAS58744.2024.10558591},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuTLWC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuWLW24,
  author       = {Yun{-}Chia Yu and
                  Mao{-}Chi Weng and
                  Ming{-}Guang Lin and
                  An{-}Yeu Andy Wu},
  title        = {Retraining-free Constraint-aware Token Pruning for Vision Transformer
                  on Edge Devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558603},
  doi          = {10.1109/ISCAS58744.2024.10558603},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuWLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Yuan24,
  author       = {Fei Yuan},
  title        = {Gated Ring Oscillator Time Amplifier with Pico-Second Sensitivity
                  and Applications in All-Digital Variable-Gain Time Integrator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558435},
  doi          = {10.1109/ISCAS58744.2024.10558435},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Yuan24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanLGY24,
  author       = {Ming Yuan and
                  Qiang Liu and
                  Lin Gan and
                  Guangwen Yang},
  title        = {{ESFLOW:} Mapping Large-Scale Earthquake Simulation to Spatial Computing
                  Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558278},
  doi          = {10.1109/ISCAS58744.2024.10558278},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanLGY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanYLYXSJ24,
  author       = {Zelong Yuan and
                  Siwei Yuan and
                  Pengyu Liu and
                  Chen Yin and
                  Lei Xu and
                  Weiguang Sheng and
                  Naifeng Jing},
  title        = {A Flexible and High-Precision Activation Function Unit Based on Equi-Error
                  Partitioning Algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558019},
  doi          = {10.1109/ISCAS58744.2024.10558019},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanYLYXSJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YunSK24,
  author       = {Su Yeon Yun and
                  Min Kyu Song and
                  Soo Youn Kim},
  title        = {Low-noise Image Sensors with Shifted Pseudo-correlated Multiple Sampling
                  Method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558389},
  doi          = {10.1109/ISCAS58744.2024.10558389},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YunSK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZbidaPG24,
  author       = {Nordin Zbida and
                  Susana Pat{\'{o}}n and
                  Eric Gutierrez},
  title        = {A 3\({}^{\mbox{rd}}\)-order Noise Shaped Multistage Open-Loop Current
                  Controlled Oscillator-based {ADC} with Non-Linearity Compensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558135},
  doi          = {10.1109/ISCAS58744.2024.10558135},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZbidaPG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZekornSVWWH24,
  author       = {Tobias Zekorn and
                  Florian Schimkat and
                  Kenny Vohl and
                  Erik Wehr and
                  Ralf Wunderlich and
                  Stefan Heinen},
  title        = {A High-Voltage Single-Inductor Multiple-Output {DC-DC} Buck Converter
                  for the Power Management Unit of a Gate-Shaping Digital Gate Driver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557929},
  doi          = {10.1109/ISCAS58744.2024.10557929},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZekornSVWWH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZengMZ024,
  author       = {Haoran Zeng and
                  Wendong Mao and
                  Siyu Zhang and
                  Zhongfeng Wang},
  title        = {A Precision-Scalable Vision Accelerator for Robotic Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558398},
  doi          = {10.1109/ISCAS58744.2024.10558398},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZengMZ024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZengTC24,
  author       = {Qi{-}Fen Zeng and
                  Chia{-}Hui Tien and
                  Yung{-}Hui Chung},
  title        = {A 105-dB {SFDR} 16-bit {SAR} {ADC} with a Window Capacitor Calibration
                  Scheme},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558376},
  doi          = {10.1109/ISCAS58744.2024.10558376},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZengTC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Zhang0HZPY24,
  author       = {Chiyuan Zhang and
                  Nan Chen and
                  Douming Hu and
                  Fang Zhu and
                  Yuesheng Pu and
                  Libin Yao},
  title        = {A 0.002-mm\({}^{\mbox{2}}\), 2.9-{\(\mu\)}W Pulse-Frequency-Modulation
                  based Temperature Sensor with 40-mK Resolution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558581},
  doi          = {10.1109/ISCAS58744.2024.10558581},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Zhang0HZPY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangCCXZL24,
  author       = {Zhang Zhang and
                  Zhihao Chen and
                  Sikai Chen and
                  Guangjun Xie and
                  Jianmin Zeng and
                  Gang Liu},
  title        = {A 10T {SRAM} with Two Read and Write Modes across Row and Column for
                  {CAM} Operation and Computing In-Memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558593},
  doi          = {10.1109/ISCAS58744.2024.10558593},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangCCXZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangCYH24,
  author       = {Yu{-}Kai Zhang and
                  Che{-}Yu Chou and
                  Shang{-}Hua Yang and
                  Yuan{-}Hao Huang},
  title        = {Two-stage Adaptive Compressive Sensing and Reconstruction for Terahertz
                  Single-Pixel Imaging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558158},
  doi          = {10.1109/ISCAS58744.2024.10558158},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangCYH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangG024,
  author       = {Zhongyi Zhang and
                  Wang Ling Goh and
                  Yuan Gao},
  title        = {A Nanowatt Area-Efficient 16-Channel Bandpass Filterbank with Floating
                  Active Capacitance Multiplier for Acoustic Signal Processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557888},
  doi          = {10.1109/ISCAS58744.2024.10557888},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangG024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangHCZW24,
  author       = {Chen Zhang and
                  Zhijie Huang and
                  QianXi Cheng and
                  Changchun Zhou and
                  Xin'an Wang},
  title        = {An Energy-Efficient Configurable Coprocessor Based on 1-D {CNN} for
                  {ECG} Anomaly Detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557838},
  doi          = {10.1109/ISCAS58744.2024.10557838},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangHCZW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangHTMTLZ24,
  author       = {Xiang Zhang and
                  Run He and
                  Kai Tong and
                  Shuquan Man and
                  Jingyu Tong and
                  Haodong Li and
                  Huiping Zhuang},
  title        = {Complex Motion Planning for Quadruped Robots Using Large Language
                  Models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558349},
  doi          = {10.1109/ISCAS58744.2024.10558349},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangHTMTLZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangJD24,
  author       = {Yaohua Zhang and
                  Dai Jiang and
                  Andreas Demosthenous},
  title        = {A Differential {SPDT} {T/R} Switch for {PMUT} Biomedical Ultrasound
                  Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558310},
  doi          = {10.1109/ISCAS58744.2024.10558310},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangJD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangJW24,
  author       = {Jingqi Zhang and
                  Yujie Jiang and
                  An Wang},
  title        = {Modular Inversion Architecture over GF(2\({}^{\mbox{m}}\)) Using Optimal
                  Exponentiation Blocks for {ECC} Cryptosystems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558204},
  doi          = {10.1109/ISCAS58744.2024.10558204},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangJW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangL24,
  author       = {Zhongpeng Zhang and
                  Ying Liu},
  title        = {Redundancy Removal Module for Reducing the Bitrates of Image Coding
                  for Machines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557851},
  doi          = {10.1109/ISCAS58744.2024.10557851},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLCLW24,
  author       = {Jiarui Zhang and
                  Songnan Lin and
                  Hao Cheng and
                  Weixian Liu and
                  Bihan Wen},
  title        = {Learning-Based Human Detection via Radar for Dynamic and Cluttered
                  Indoor Environments},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557968},
  doi          = {10.1109/ISCAS58744.2024.10557968},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLCLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLJD24,
  author       = {Jiaxing Zhang and
                  Jiayang Li and
                  Dai Jiang and
                  Andreas Demosthenous},
  title        = {Three Coils, High-Resolution Receiver Positioning System for Wireless
                  Power Transfer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557854},
  doi          = {10.1109/ISCAS58744.2024.10557854},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLJD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLWZS24,
  author       = {Danqing Zhang and
                  Baoting Li and
                  Hang Wang and
                  Xuchong Zhang and
                  Hongbin Sun},
  title        = {An Efficient Sparse-Aware Summation Optimization Strategy for {DNN}
                  Accelerator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558588},
  doi          = {10.1109/ISCAS58744.2024.10558588},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLWZS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLYHW024,
  author       = {Xiangwei Zhang and
                  Wenhao Liu and
                  Han Yang and
                  Ying Hou and
                  Xiaosong Wang and
                  Yu Liu},
  title        = {A 6-{\(\mathrm{\mu}\)}W AC-Coupled, Two-Step Incremental {\unicode{8710}}{\(\Sigma\)}
                  {ADC} for High-Density Neural Recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558179},
  doi          = {10.1109/ISCAS58744.2024.10558179},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLYHW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLZ0RW024,
  author       = {Hanbo Zhang and
                  Yuqing Lou and
                  Zhihang Zhang and
                  Yongfu Li and
                  Fakhrul Z. Rokhani and
                  Guoxing Wang and
                  Jian Zhao},
  title        = {A Large-Area LTPS-TFT-Based Bi-directional Biomedical Interface with
                  Process-Invariant In-pixel Biopotential-to-Digital Converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558410},
  doi          = {10.1109/ISCAS58744.2024.10558410},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLZ0RW024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangQLLS24,
  author       = {Kun Zhang and
                  Hongxin Qiu and
                  Zhikai Liu and
                  Fan Liang and
                  Wei Sun},
  title        = {Self-aware Cross-component Prediction Model Based on Template for
                  Screen Content Coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558494},
  doi          = {10.1109/ISCAS58744.2024.10558494},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangQLLS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangQXH24,
  author       = {Xiaowei Zhang and
                  Fuyue Qian and
                  Jianxiong Xi and
                  Lenian He},
  title        = {A BJT-Based Fully Integrated 16-bit {ZOOM} Temperature Sensor with
                  an Inaccuracy of 0.28{\textdegree}C (3{\(\sigma\)}) from -40{\textdegree}C
                  to 125{\textdegree}C using improved 1-point Calibration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558646},
  doi          = {10.1109/ISCAS58744.2024.10558646},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangQXH24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangRSZB0B24,
  author       = {Leyu Zhang and
                  Yuqing Ren and
                  Yifei Shen and
                  Wuyang Zhou and
                  Alexios Balatsoukas{-}Stimming and
                  Chuan Zhang and
                  Andreas Burg},
  title        = {A Low-Latency and High-Performance {SCL} Decoder with Frame-Interleaving},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558152},
  doi          = {10.1109/ISCAS58744.2024.10558152},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangRSZB0B24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangSSW24,
  author       = {Yuxuan Zhang and
                  Miaojing Shi and
                  Taiyi Su and
                  Hanli Wang},
  title        = {Memory-Based Contrastive Learning with Optimized Sampling for Incremental
                  Few-Shot Semantic Segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558084},
  doi          = {10.1109/ISCAS58744.2024.10558084},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangSSW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangSZJFRTFJD24,
  author       = {Yaohua Zhang and
                  Bruno Grandi Sgambato and
                  Jiaxing Zhang and
                  Anette Jakob and
                  Marc Fournelle and
                  Mohamad Rahal and
                  Meng{-}Xing Tang and
                  Dario Farina and
                  Dai Jiang and
                  Andreas Demosthenous},
  title        = {Live Demonstration: {A} Wearable Eight-Channel A-Mode Ultrasound System
                  for Hand Gesture Recognition and Interactive Gaming},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558600},
  doi          = {10.1109/ISCAS58744.2024.10558600},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangSZJFRTFJD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangT024,
  author       = {Gai Zhang and
                  Lv Tang and
                  Xinfeng Zhang},
  title        = {VQNeRV: Vector Quantization Neural Representation for Video Compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558613},
  doi          = {10.1109/ISCAS58744.2024.10558613},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangT024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangUGQXZMMS24,
  author       = {Ran Zhang and
                  Ka{-}Fai Un and
                  Mingqiang Guo and
                  Liang Qi and
                  Dengke Xu and
                  Weibing Zhao and
                  Rui Paulo Martins and
                  Franco Maloberti and
                  Sai{-}Weng Sin},
  title        = {A Delta-Sigma-Based Computing-In-Memory Macro Targeting Edge Computation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558023},
  doi          = {10.1109/ISCAS58744.2024.10558023},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangUGQXZMMS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWXZ24,
  author       = {Xiaowei Zhang and
                  Fangcong Wang and
                  Dezhi Xing and
                  Longxiang Zhu},
  title        = {Three-Phase Motor Driver with Overcurrent Protection Function},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558184},
  doi          = {10.1109/ISCAS58744.2024.10558184},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWXZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangXSLX24,
  author       = {Xuguang Zhang and
                  Jiawen Xue and
                  Wei Song and
                  Guolin Li and
                  Xiang Xie},
  title        = {Improved Camera Calibration Method Using Complementary Patterns},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558017},
  doi          = {10.1109/ISCAS58744.2024.10558017},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangXSLX24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangYLTWJ0T24,
  author       = {Junwen Zhang and
                  Xiaopeng Yu and
                  Zhenghao Lu and
                  Nianxiong Nick Tan and
                  Xinjie Wu and
                  Chenxu Jiang and
                  Haowei Lu and
                  Zhong Tang},
  title        = {A 2.1/5.2-NEF/PEF Capacitively Coupled Instrumentation Amplifier with
                  Fast - Settling for Biosensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557920},
  doi          = {10.1109/ISCAS58744.2024.10557920},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangYLTWJ0T24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZCDXLYCW24,
  author       = {Zhaotong Zhang and
                  Yi Zhong and
                  Yingying Cui and
                  Yawei Ding and
                  Yukun Xue and
                  Qibin Li and
                  Ruining Yang and
                  Jian Cao and
                  Yuan Wang},
  title        = {An End-to-End SoC for Brain-Inspired {CNN-SNN} Hybrid Applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558308},
  doi          = {10.1109/ISCAS58744.2024.10558308},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZCDXLYCW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZJMW24,
  author       = {Tong Zhang and
                  Dingguo Zhang and
                  Jing Jin and
                  Patrick P. Mercier and
                  Hui Wang},
  title        = {Design and Analysis of a Family of pW-Level Sub-1V {CMOS} VRGs by
                  Stacking a Current-Source Transistor and a Resistive-Load Transistor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557912},
  doi          = {10.1109/ISCAS58744.2024.10557912},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZJMW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZLL24,
  author       = {Ningyuan Zhang and
                  Sihao Zhang and
                  Junhua Liu and
                  Huailin Liao},
  title        = {A low in-band phase noise Fractional-N {ADPLL} based on Switched-Capacitor-DPI},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558414},
  doi          = {10.1109/ISCAS58744.2024.10558414},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZLL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoCZY24,
  author       = {Yan Zhao and
                  Chao Chen and
                  Wenjing Zhang and
                  Jun Yang},
  title        = {A 2.4GHz Sub-passive {RF} Down-converter with Trans-frequency Current-reusing
                  scheme achieving Low Flicker Noise and High Linearity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558447},
  doi          = {10.1109/ISCAS58744.2024.10558447},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoCZY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoH024,
  author       = {Xin Zhao and
                  Zhicheng Hu and
                  Liang Chang},
  title        = {{USR-LUT:} {A} High-Efficient Universal Super Resolution Accelerator
                  with Lookup Table},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558295},
  doi          = {10.1109/ISCAS58744.2024.10558295},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoH024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoJ024,
  author       = {Haoyun Zhao and
                  Xiongfei Jiang and
                  Shiwei Wang},
  title        = {A 4\({}^{\mbox{th}}\) Order {CIFB} High Dynamic Range Sigma-Delta
                  Modulator with Multi-level Quantizer and Intrinsically Linear Capacitive
                  DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558399},
  doi          = {10.1109/ISCAS58744.2024.10558399},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoJ024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoLCJQXCL24,
  author       = {Zhiyuan Zhao and
                  Jixing Li and
                  Gang Chen and
                  Zhelong Jiang and
                  Ruixiu Qiao and
                  Peng Xu and
                  Yihao Chen and
                  Huaxiang Lu},
  title        = {An FPGA-Based High-Throughput Dataflow Accelerator for Lightweight
                  Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558315},
  doi          = {10.1109/ISCAS58744.2024.10558315},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoLCJQXCL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoLL0024,
  author       = {Xiaoqing Zhao and
                  Longjun Liu and
                  Yuyi Liu and
                  Bin Gao and
                  Hongbin Sun},
  title        = {Compensation Architecture to Alleviate Noise Effects in RRAM-based
                  Computing-in-memory Chips with Residual Resource},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558665},
  doi          = {10.1109/ISCAS58744.2024.10558665},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoLL0024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengCLOL24,
  author       = {Jie Zheng and
                  Jienan Chen and
                  Peizhi Lei and
                  Zhaoting Ou and
                  Zeyan Lu},
  title        = {Fast Decoupling Capacitor Optimization for Power Delivery Network
                  Based on Model and Data Fusion Method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558597},
  doi          = {10.1109/ISCAS58744.2024.10558597},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengCLOL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengDL24,
  author       = {Huiyong Zheng and
                  Yukun Ding and
                  Xiao Liu},
  title        = {A 0.04 mm\({}^{\mbox{2}}\)/Channel Neural Amplifier with An Input-Referred
                  Noise of 4.6 {\(\mathrm{\mu}\)}Vrms and Power Consumption of 3 {\(\mathrm{\mu}\)}W},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558392},
  doi          = {10.1109/ISCAS58744.2024.10558392},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengDL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengLWL0L24,
  author       = {Qilin Zheng and
                  Shiyu Li and
                  Yitu Wang and
                  Ziru Li and
                  Yiran Chen and
                  Hai (Helen) Li},
  title        = {Hybrid Digital/Analog Memristor-based Computing Architecture for Sparse
                  Deep Learning Acceleration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558703},
  doi          = {10.1109/ISCAS58744.2024.10558703},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengLWL0L24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengLWRZL24,
  author       = {Xiaozhen Zheng and
                  Yu Liu and
                  Jianglin Wang and
                  Zihao Ren and
                  Shuyuan Zhu and
                  Qingmin Liao},
  title        = {Region Motion-based Adaptive Composite Long-Term Reference Coding
                  for {VVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558298},
  doi          = {10.1109/ISCAS58744.2024.10558298},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengLWRZL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengZZCZ24,
  author       = {Yanze Zheng and
                  Yi Zhang and
                  Naixin Zhou and
                  Shibo Chen and
                  Yijiu Zhao},
  title        = {Noise Decomposition Based on {VGG} and {LSTM} Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558009},
  doi          = {10.1109/ISCAS58744.2024.10558009},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengZZCZ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhongNFEIW24,
  author       = {Zhaofeng Zhong and
                  Pathmapirian Nanthakumar and
                  Gabriel Field and
                  Chamira U. S. Edussooriya and
                  Aleksandar Ignjatovic and
                  Chamith Wijenayake},
  title        = {Acquisition and Processing of Chromatic Derivatives using FPGA-based
                  Digital Hardware},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558136},
  doi          = {10.1109/ISCAS58744.2024.10558136},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhongNFEIW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouH0JC24,
  author       = {Sihan Zhou and
                  Dinghan Hu and
                  Feng Gao and
                  Tiejia Jiang and
                  Jiuwen Cao},
  title        = {Automatic EEG-based Spike Ripples Detection with Multi-band Frequency
                  Analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558280},
  doi          = {10.1109/ISCAS58744.2024.10558280},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouH0JC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouHL24,
  author       = {Zhining Zhou and
                  Zichen Hu and
                  Hongming Lyu},
  title        = {A {\(\mu\)}W-level Multi-channel Calibration-free Spike Detector with
                  High Accuracy based on Stationary Wavelet Transform and Teager Energy
                  Operators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558305},
  doi          = {10.1109/ISCAS58744.2024.10558305},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouHL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouHSLFL24,
  author       = {Xiaodan Zhou and
                  Weipeng He and
                  Chen Su and
                  Tao Liu and
                  Dongbing Fu and
                  Qiang Li},
  title        = {A Low Power 16-bit 125MS/s Pipeline {ADC} with 100dB {SFDR}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558191},
  doi          = {10.1109/ISCAS58744.2024.10558191},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouHSLFL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouLQL24,
  author       = {Zili Zhou and
                  Cong Li and
                  Bo Qu and
                  Xiang Li},
  title        = {Predicting Higher-order Dynamics without Network Topology by Ridge
                  Regression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558445},
  doi          = {10.1109/ISCAS58744.2024.10558445},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouLQL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouLSC24,
  author       = {Rong Zhou and
                  Bo Liu and
                  Xin Si and
                  Hao Cai},
  title        = {Complementary Series-connected {STT-MTJ} for Time-based Computing-in-Memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557936},
  doi          = {10.1109/ISCAS58744.2024.10557936},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouLSC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouYCWMZNLHQ24,
  author       = {P. J. Zhou and
                  Q. Yu and
                  M. Chen and
                  Y. C. Wang and
                  L. W. Meng and
                  Y. Zuo and
                  N. Ning and
                  Y. Liu and
                  S. G. Hu and
                  G. C. Qiao},
  title        = {A 0.96pJ/SOP, 30.23K-neuron/mm\({}^{\mbox{2}}\) Heterogeneous Neuromorphic
                  Chip With Fullerene-like Interconnection Topology for Edge-AI Computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557924},
  doi          = {10.1109/ISCAS58744.2024.10557924},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouYCWMZNLHQ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouYWLWLPLW24,
  author       = {Yongliang Zhou and
                  Zhen Yang and
                  Yiming Wei and
                  Xiao Lin and
                  Saiai Wu and
                  Wenjuan Lu and
                  Chunyu Peng and
                  Xin Li and
                  Xiulong Wu},
  title        = {A Timing-Shared Adaptive Sensing Methodology for Low-Voltage {SRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558668},
  doi          = {10.1109/ISCAS58744.2024.10558668},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouYWLWLPLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouZR24,
  author       = {Zhizhuo Zhou and
                  Jing Zhang and
                  Zhihai Rong},
  title        = {Cooperative Emergence in Structured Populations Mixed with Imitation
                  and Aspiration Learning Dynamics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558138},
  doi          = {10.1109/ISCAS58744.2024.10558138},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouZR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuKZ0J24,
  author       = {Lingfeng Zhu and
                  Wing{-}Hung Ki and
                  Yue Zhong and
                  Xiaofei Ma and
                  Junmin Jiang},
  title        = {D\({}^{\mbox{2}}\) Buck Converter With Delay-Insensitive Response
                  and Adaptive On-Time Extension During Load Transient},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558657},
  doi          = {10.1109/ISCAS58744.2024.10558657},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuKZ0J24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuLX024,
  author       = {Buyuan Zhu and
                  Qinglai Liu and
                  Saihua Xu and
                  Zhiping Lin},
  title        = {A Simulated Annealing Based Approach for Near-Optimal Sensor Selection
                  in {TDOA} Localization System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558400},
  doi          = {10.1109/ISCAS58744.2024.10558400},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuLX024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuQLW24,
  author       = {Jiang Zhu and
                  Yuanyuan Qing and
                  Zhiping Lin and
                  Bihan Wen},
  title        = {Fusing {EO} and LiDAR for {SAR} Image Translation with Multi-Modal
                  Generative Adversarial Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558250},
  doi          = {10.1109/ISCAS58744.2024.10558250},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuQLW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuYWZLYYW24,
  author       = {Wenming Zhu and
                  Weitao Yuan and
                  Dan Wu and
                  Yuansheng Zhao and
                  Zhenghao Lu and
                  Guoyi Yu and
                  Yu Yu and
                  Chao Wang},
  title        = {A Novel Balanced Detection Based Optoelectronic Front End Circuit
                  for {FMCW} LiDAR System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558267},
  doi          = {10.1109/ISCAS58744.2024.10558267},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuYWZLYYW24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZoppoCG24,
  author       = {Gianluca Zoppo and
                  Fernando Corinto and
                  Marco Gilli},
  title        = {Exploring the Global Dynamics of Networks Trained through Equilibrium
                  Propagation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10557843},
  doi          = {10.1109/ISCAS58744.2024.10557843},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZoppoCG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZouWYZLX24,
  author       = {Shaofeng Zou and
                  Xuyang Wang and
                  Tao Yuan and
                  Kaihui Zeng and
                  Guolin Li and
                  Xiang Xie},
  title        = {Moving Object Detection in Shallow Underwater using Multi-Scale Spatial-Temporal
                  Lacunarity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024.10558473},
  doi          = {10.1109/ISCAS58744.2024.10558473},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZouWYZLX24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iscas/2024,
  title        = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024,
                  Singapore, May 19-22, 2024},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ISCAS58744.2024},
  doi          = {10.1109/ISCAS58744.2024},
  isbn         = {979-8-3503-3099-1},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/2024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics