Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2000.bht:"
@inproceedings{DBLP:conf/iscas/0018J00, author = {Lei Wang and Licheng Jiao}, title = {A novel genetic algorithim based on immunity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {385--388}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857446}, doi = {10.1109/ISCAS.2000.857446}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/iscas/0018J00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbbasD00, author = {Jamal K. Abbas and Marek Domanski}, title = {Median-based filters with prediction error processing for video restoration}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {689--692}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858845}, doi = {10.1109/ISCAS.2000.858845}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbbasD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbeysekeraP00, author = {Saman S. Abeysekera and Kabi Prakash Padhi}, title = {Design of multiplier free {FIR} filters using a {LADF} sigma-delta ({\(\Sigma\)}-{\(\Delta\)}) modulator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {65--68}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856259}, doi = {10.1109/ISCAS.2000.856259}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbeysekeraP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbeysekeraY00, author = {Saman S. Abeysekera and Xue Yao}, title = {Optimum Laguerre filter design technique for sigma-delta demodulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {405--408}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857457}, doi = {10.1109/ISCAS.2000.857457}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbeysekeraY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbshireA00, author = {Pamela Abshire and Andreas G. Andrew}, title = {A comparative study of information capacity for biophysical and silicon photoreceptors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {145--148}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857384}, doi = {10.1109/ISCAS.2000.857384}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbshireA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Abu-QahouqB00, author = {Jaber Abu{-}Qahouq and Issa Batarseh}, title = {Generalized analysis of soft-switching {DC-DC} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {507--510}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856108}, doi = {10.1109/ISCAS.2000.856108}, timestamp = {Wed, 09 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Abu-QahouqB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Abu-QahouqWGB00, author = {Jaber Abu{-}Qahouq and Huai Wei and Wei Gu and Issa Batarseh}, title = {Analysis and design of soft-switching power factor correction converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {235--238}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856040}, doi = {10.1109/ISCAS.2000.856040}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Abu-QahouqWGB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdhamARR00, author = {Saman Adham and Dhamin Al{-}Khalili and C{\^{o}}me Rozon and Douglas Racz}, title = {Comprehensive defect analysis and testability of current-mode logic circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {339--342}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857099}, doi = {10.1109/ISCAS.2000.857099}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AdhamARR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AggounAI00, author = {Amar Aggoun and Ahmed S. Ashur and Mohammad K. Ibrahim}, title = {Area-time efficient serial-serial multipliers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {585--588}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857502}, doi = {10.1109/ISCAS.2000.857502}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AggounAI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgrawalB00, author = {R. Agrawal and Fran{\c{c}}ois Baccelli}, title = {Dominating tails in a tandem of queues with long range dependent arrival and service processes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {369--372}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858765}, doi = {10.1109/ISCAS.2000.858765}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AgrawalB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadiS00, author = {Sassan Ahmadi and Andreas S. Spanias}, title = {Minimum-variance phase prediction and frame interpolation algorithms for low bit rate sinusoidal speech coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {730--733}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856164}, doi = {10.1109/ISCAS.2000.856164}, timestamp = {Mon, 25 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AhmadiS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedSHR00, author = {Ayman I. Ahmed and Khalid Sharaf and Hisham S. Haddara and Hani F. Ragai}, title = {{CMOS} VCO-prescaler cell-based design for {RF} {PLL} frequency synthesizers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {737--740}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856434}, doi = {10.1109/ISCAS.2000.856434}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AhmedSHR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhnCKH00, author = {Taekyoon Ahn and Kiyoung Choi and Ki{-}Hyun Kim and Seong{-}Kwan Hon}, title = {A new cost model for high-level power optimization and its application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {573--576}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856393}, doi = {10.1109/ISCAS.2000.856393}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhnCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Akami00, author = {Masayuki Mur Akami}, title = {A routing technique using fuzzy sets theory}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {395--398}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856080}, doi = {10.1109/ISCAS.2000.856080}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Akami00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkihoTHK00, author = {Masaichi Akiho and Masakatsu Tamura and Miki Haseyama and Hideo Kitajima}, title = {Performance improvements on {MEFX-LMS} based noise cancellation system in vehicle cabin}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {353--356}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858761}, doi = {10.1109/ISCAS.2000.858761}, timestamp = {Fri, 26 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkihoTHK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkkarakaranV00, author = {Sony Akkarakaran and Palghat P. Vaidyanathan}, title = {Principal component filter banks: existence issues, and application to modulated filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {523--526}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857147}, doi = {10.1109/ISCAS.2000.857147}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AkkarakaranV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-MuallaCB00, author = {Mohammed Ebrahim Al{-}Mualla and Nishan Canagarajah and David R. Bull}, title = {Simplex minimisation for multiple-reference motion estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {733--736}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858856}, doi = {10.1109/ISCAS.2000.858856}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Al-MuallaCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlbuquerqueS00, author = {Edgar F. M. Albuquerque and Manuel Silva}, title = {A comparative study of low-noise logic cells for mixed mode integrated circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {73--76}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857366}, doi = {10.1109/ISCAS.2000.857366}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlbuquerqueS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Alchin00, author = {Marty Alchin}, title = {An active development environment for structured audio performance and composition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {583--585}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856127}, doi = {10.1109/ISCAS.2000.856127}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Alchin00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoP00, author = {Massimo Alioto and Gaetano Palumbo}, title = {Evaluation of power consumption in adiabatic circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {629--632}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856407}, doi = {10.1109/ISCAS.2000.856407}, timestamp = {Sat, 10 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AliotoP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoP00a, author = {Massimo Alioto and Gaetano Palumbo}, title = {High-speed bipolar {MUX} modeling and design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857348}, doi = {10.1109/ISCAS.2000.857348}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoP00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlonsoPM00, author = {Diego M. Alonso and Eduardo E. Paolini and Jorge L. Moiola}, title = {Bifurcation control in an underactuated pendulum}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {385--388}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856342}, doi = {10.1109/ISCAS.2000.856342}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlonsoPM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Altshuller00, author = {Dmitry A. Altshuller}, title = {Chaos in Josephson junction circuits with voltage-dependent supercurrent}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {497--500}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857480}, doi = {10.1109/ISCAS.2000.857480}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Altshuller00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlvandpourLS00, author = {Atila Alvandpour and Per Larsson{-}Edefors and Christer Svensson}, title = {{GLMC:} interconnect length estimation by growth-limited multifold clustering}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {465--468}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857472}, doi = {10.1109/ISCAS.2000.857472}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlvandpourLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlvesAVC00, author = {Lu{\'{\i}}s Nero Alves and Rui L. Aguiar and Eduardo de Vasconcelos and Jos{\'{e}} Luis Cura}, title = {A sectored receiver for infrared wireless networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {429--432}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857463}, doi = {10.1109/ISCAS.2000.857463}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlvesAVC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlySR00, author = {Mohamed M. Aly and Khaled M. Sharaf and Hani F. Ragai}, title = {On the utilization of strength-reduced architectures for adaptive equalizers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {287--290}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856053}, doi = {10.1109/ISCAS.2000.856053}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AlySR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndreaniM00, author = {Pietro Andreani and Sven Mattisson}, title = {A 1.8-GHz {CMOS} {VCO} tuned by an accumulation-mode {MOS} varactor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {315--318}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857093}, doi = {10.1109/ISCAS.2000.857093}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndreaniM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndreopoulosKS00, author = {loannis Andreopoulos and Yorgos A. Karayiannis and Thanos Stouraitis}, title = {A hybrid image compression algorithm based on fractal coding and wavelet transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {37--40}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855990}, doi = {10.1109/ISCAS.2000.855990}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AndreopoulosKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndreyevDE00, author = {Yuri V. Andreyev and Alexander S. Dmitriev and Elena V. Efremova}, title = {Multiplexing chaotic signals in the presence of noise}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {441--444}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858783}, doi = {10.1109/ISCAS.2000.858783}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndreyevDE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AngCE00, author = {Li{-}Minn Ang and Hon Nin Cheung and Kamran Eshraghian}, title = {A dataflow-oriented {VLSI} architecture for a modified {SPIHT} algorithm using depth-first search bit stream processing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {291--294}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857087}, doi = {10.1109/ISCAS.2000.857087}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AngCE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ApselKASCDK00, author = {Alyssa B. Apsel and Zaven K. Kalayjian and Andreas G. Andreou and George Simonis and Wayne Chang and Madhumita Datta and Bikash Koley}, title = {Edge orientation enhancement using optoelectronic {VLSI} and asynchronous pulse coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {297--300}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856320}, doi = {10.1109/ISCAS.2000.856320}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ApselKASCDK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArditiC00, author = {Laurent Arditi and Ga{\"{e}}l Clav{\'{e}}}, title = {A semi-formal methodology for the functional validation of an industrial {DSP} system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {205--208}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858724}, doi = {10.1109/ISCAS.2000.858724}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ArditiC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Arellano-Cardenas00, author = {Oliverio Arellano{-}C{\'{a}}rdenas and Her{\'{o}}n Molina{-}Lozano and Jose Antonio Moreno{-}Cadenas and Felipe Gomez{-}Casta{\~{n}}eda and Luis M. Flores{-}Nava}, title = {{CMOS} analog neurofuzzy prototype based on {ANFIS}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {726--729}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856163}, doi = {10.1109/ISCAS.2000.856163}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Arellano-Cardenas00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaBBLO00, author = {Paolo Arena and Marco Branciforte and Giovanni Di Bernardo and Mario Lavorgna and Luigi G. Occhipinti}, title = {Reaction-diffusion {CNN} chip. I. {IC} implementation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {419--422}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856086}, doi = {10.1109/ISCAS.2000.856086}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ArenaBBLO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaBBLO00a, author = {Paolo Arena and Marco Branciforte and Giovanni Di Bernardo and Mario Lavorgna and Luigi G. Occhipinti}, title = {Reaction-diffusion {CNN} chip. {II.} Applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {427--430}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856088}, doi = {10.1109/ISCAS.2000.856088}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ArenaBBLO00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaFG00, author = {Paolo Arena and Luigi Fortuna and Francesco Giuffre}, title = {Multidimensional RD-CNNs: a circuit realization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {132--135}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856276}, doi = {10.1109/ISCAS.2000.856276}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArenaFG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaFRX00, author = {Paolo Arena and Luigi Fortuna and Alessandro Rizzo and Maria Gabriella Xibilia}, title = {Extending the {CNN} paradigm to approximate chaotic systems with multivariable nonlinearities}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {141--144}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857383}, doi = {10.1109/ISCAS.2000.857383}, timestamp = {Thu, 23 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArenaFRX00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AsakuraSSN00, author = {Kunihiko Asakura and Masakuyo Suzuki and Hirofumi Sanada and Nobuo Nagai}, title = {Regularity in difference in transmission characteristics for Gaussian superlattices and periodic superlattices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {533--536}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858806}, doi = {10.1109/ISCAS.2000.858806}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AsakuraSSN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AusinDTSM00, author = {Jos{\'{e}} L. Aus{\'{\i}}n and Juan F. Duque{-}Carillo and Guido Torelli and Edgar S{\'{a}}nchez{-}Sinencio and Franco Maloberti}, title = {Periodical nonuniform individually sampled switched-capacitor circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {449--452}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857468}, doi = {10.1109/ISCAS.2000.857468}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AusinDTSM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AyasunNK00, author = {Saffet Ayasun and Chika O. Nwankpa and Harry G. Kwatny}, title = {Enhanced visualization of power system stability limits imposed by singularity induced bifurcations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {229--232}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858730}, doi = {10.1109/ISCAS.2000.858730}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AyasunNK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzamSNFJS00, author = {Asad Azam and Dhinesh Sasidaran and Karl E. Nelson and Gary E. Ford and Louis G. Johnson and Michael A. Soderstrand}, title = {Efficient pipelined tunable heterodyne notch filter implementation in FPGAs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {373--376}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857442}, doi = {10.1109/ISCAS.2000.857442}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzamSNFJS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BacchettaDSS00, author = {Paolo Bacchetta and Lidia Daldoss and Donatella Sciuto and Cristina Silvano}, title = {Low-power state assignment techniques for finite state machines}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {641--644}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856410}, doi = {10.1109/ISCAS.2000.856410}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BacchettaDSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BadawyZTB00, author = {Wael M. Badawy and Guoqing Zhang and Michael Talley and Magdy A. Bayoumi}, title = {A {VLSI} architecture for hierarchical mesh based motion compensation using scalable affine transformation core}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {659--662}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857181}, doi = {10.1109/ISCAS.2000.857181}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BadawyZTB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BahmaniFK00, author = {Faramarz Bahmani and Seid Mehdi Fakhraie and Ali Khakifirooz}, title = {A rail-to-rail, constant-G\({}_{\mbox{m}}\), 1-volt {CMOS} opamp}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {669--672}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856417}, doi = {10.1109/ISCAS.2000.856417}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BahmaniFK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BahramiS00, author = {Masoud Bahrami and Babak Sadeghiyan}, title = {Efficient module 2\({}^{\mbox{n}}\)+1 multiplication schemes for {IDEA}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {653--656}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858836}, doi = {10.1109/ISCAS.2000.858836}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BahramiS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiD00, author = {Er{-}Wei Bai and Zhi Ding}, title = {Zero-forcing equalizability of {FIR} and {IIR} multi-channel systems with and without perfect measurements}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {699--701}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857191}, doi = {10.1109/ISCAS.2000.857191}, timestamp = {Sun, 16 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiD00a, author = {Er{-}Wei Bai and Zhi Ding}, title = {Blind decision feedback equalization of time-varying channels with {DPSK} inputs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {96--99}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856267}, doi = {10.1109/ISCAS.2000.856267}, timestamp = {Sun, 16 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiD00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalakrishnanN00, author = {Srinivasan Balakrishnan and Soumitra Kumar Nandy}, title = {Performance evaluation of multithreaded architectures for media processing applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {531--534}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857149}, doi = {10.1109/ISCAS.2000.857149}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BalakrishnanN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalsiV00, author = {Marco Balsi and Francesco Voci}, title = {Fuzzy reasoning for the design of CNN-based image processing systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {405--408}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856350}, doi = {10.1109/ISCAS.2000.856350}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BalsiV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaranovskiMSW00, author = {Alexander L. Baranovski and Andreas M{\"{o}}gel and Wolfgang M. Schwarz and Oliver Woywode}, title = {Chaotic control of a DC-DC-converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {108--111}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856270}, doi = {10.1109/ISCAS.2000.856270}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaranovskiMSW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarmishK00, author = {B. Ross Barmish and Houssain Kettani}, title = {Monte Carlo analysis of resistive networks without a priori probability distributions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {263--266}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856047}, doi = {10.1109/ISCAS.2000.856047}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BarmishK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarrowsK00, author = {Geoffrey L. Barrows and Brian Krantz}, title = {Integrated {RF} sensors for electronic warfare applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {189--192}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857395}, doi = {10.1109/ISCAS.2000.857395}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarrowsK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaschirottoBC00, author = {Andrea Baschirotto and Ugo Baschirotto and Rinaldo Castello}, title = {High-frequency {CMOS} low-power single-branch continuous-time filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {577--580}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856394}, doi = {10.1109/ISCAS.2000.856394}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaschirottoBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaschirottoBCM00, author = {Andrea Baschirotto and Domenico Bijno and Rinaldo Castello and Federico Montecchi}, title = {A 1 {V} 1.2 {\(\mu\)}W 4th order bandpass switched-opamp {SC} filter for a cardiac pacer sensing stage}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {173--176}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856024}, doi = {10.1109/ISCAS.2000.856024}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaschirottoBCM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaschirottoF00, author = {Andrea Baschirotto and Giovanni Frattini}, title = {A 3.3 {V} {CMOS} line-driver for serial bus}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {457--460}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858787}, doi = {10.1109/ISCAS.2000.858787}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaschirottoF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BassoGT00, author = {Michele Basso and Lorenzo Giovanardi and Alberto Tesi}, title = {LMI-based synthesis for controlling periodic solutions in a class of nonlinear systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {381--384}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856341}, doi = {10.1109/ISCAS.2000.856341}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BassoGT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Basu00, author = {Sankar Basu}, title = {System theoretic ideas for construction of {ND} in (N{\(\geq\)}2) causal, stable, perfect reconstruction filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {411--414}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857118}, doi = {10.1109/ISCAS.2000.857118}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Basu00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BasuMO00, author = {Sankar Basu and Charles A. Micchelli and Peter Olsen}, title = {Maximum entropy and maximum likelihood criteria for feature selection from multivariate data}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {267--270}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856048}, doi = {10.1109/ISCAS.2000.856048}, timestamp = {Tue, 28 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BasuMO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatlleFO00, author = {Carles Batlle and Enric Fossas and Gerard Olivar}, title = {From Floquet exponents to control of chaos in piecewise linear systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {100--103}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856268}, doi = {10.1109/ISCAS.2000.856268}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatlleFO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BauerS00, author = {Andreas Bauer and Wowgang Schwarz}, title = {Circuit analysis and optimization with automatically derived Volterra kernels}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {491--494}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857139}, doi = {10.1109/ISCAS.2000.857139}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BauerS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaxterB00, author = {Jonathan Baxter and Peter L. Bartlett}, title = {Direct gradient-based reinforcement learning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {271--274}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856049}, doi = {10.1109/ISCAS.2000.856049}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BaxterB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BayraktarogluO00, author = {Ismet Bayraktaroglu and Alex Orailoglu}, title = {Unifying methodologies for high fault coverage concurrent and off-line test of digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {705--708}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856426}, doi = {10.1109/ISCAS.2000.856426}, timestamp = {Mon, 12 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BayraktarogluO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BecvarVZM00, author = {Daniel Becvar and Kamil Vrba and Vaclav Zeman and Vladislav Musil}, title = {Novel universal active block: a universal current conveyor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {471--474}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856099}, doi = {10.1109/ISCAS.2000.856099}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BecvarVZM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelykhB00, author = {Igor V. Belykh and Vladimir N. Belykh}, title = {On partial synchronization of continuous and discrete-time coupled dynamical systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {483--486}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856102}, doi = {10.1109/ISCAS.2000.856102}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelykhB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerekovicPSWMLH00, author = {Mladen Berekovic and Peter Pirsch and Thorsten Selinger and Kai{-}Immo Wels and Carolina Miro and Anne Lafage and Christoph Heer and Giovanni Ghigo}, title = {Co-processor architecture for {MPEG-4} main profile visual compositing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {180--183}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856288}, doi = {10.1109/ISCAS.2000.856288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerekovicPSWMLH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BergNH00, author = {Yngvar Berg and {\O}ivind N{\ae}ss and Mats H{\o}vin}, title = {Ultra low-voltage floating-gate transconductance amplifier with tunable gain and linearity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {343--346}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856067}, doi = {10.1109/ISCAS.2000.856067}, timestamp = {Fri, 10 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BergNH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BergNH00a, author = {Yngvar Berg and {\O}ivind N{\ae}ss and Mats H{\o}vin}, title = {Ultra low-voltage floating-gate transconductance amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {347--350}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856068}, doi = {10.1109/ISCAS.2000.856068}, timestamp = {Fri, 10 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BergNH00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BergNH00b, author = {Yngvar Berg and {\O}ivind N{\ae}ss and Mats H{\o}vin}, title = {Ultralow-voltage floating-gate analog multiplier with tunable linearity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {245--248}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858734}, doi = {10.1109/ISCAS.2000.858734}, timestamp = {Fri, 10 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BergNH00b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerkemanO00, author = {Anders Berkeman and Viktor {\"{O}}wall}, title = {Co-optimization of {FFT} and {FIR} in a delayless acoustic echo canceller implementation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {241--244}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857408}, doi = {10.1109/ISCAS.2000.857408}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerkemanO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BermakBE00, author = {Amine Bermak and Abdesselam Bouzerdoum and Kamran Eshraghian}, title = {A high fill-factor native logarithmic pixel: Simulation, design and layout optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {293--296}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857422}, doi = {10.1109/ISCAS.2000.857422}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BermakBE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bernardo00, author = {Mario di Bernardo}, title = {Controlling switching systems: a bifurcation approach}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {377--380}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856340}, doi = {10.1109/ISCAS.2000.856340}, timestamp = {Thu, 11 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Bernardo00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BesbesJE00, author = {Hichem Besbes and M{\'{e}}riem Ja{\"{\i}}dane and Jelel Ezzine}, title = {On exact performances of adaptive Volterra filters: the finite alphabet case}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {610--613}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856134}, doi = {10.1109/ISCAS.2000.856134}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BesbesJE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Beth00, author = {Thomas Beth}, title = {Quantum computing: an introduction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {735--736}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857200}, doi = {10.1109/ISCAS.2000.857200}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Beth00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BevkovichI00, author = {Yefim Bevkovich and Adrian Ioinovici}, title = {Power factor correction circuit with {ZVT} for all switches}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {495--498}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857140}, doi = {10.1109/ISCAS.2000.857140}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BevkovichI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhattacharyaKGM00, author = {Mayukh Bhattacharya and Shriram Kulkarni and Alejandro F. Gonz{\'{a}}lez and Pinaki Mazumder}, title = {A prototyping technique for large-scale {RTD-CMOS} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {635--638}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857175}, doi = {10.1109/ISCAS.2000.857175}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BhattacharyaKGM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhattacharyyaM00, author = {Shuvra S. Bhattacharyya and Praveen K. Murthy}, title = {The {CBP} parameter - a useful annotation to aid block-diagram compilers for {DSP}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {209--212}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858725}, doi = {10.1109/ISCAS.2000.858725}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhattacharyyaM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiscainhoD00, author = {Luiz W. P. Biscainho and Paulo Sergio Ramirez Diniz}, title = {On the effects of zero-pole pairs and individual zeros and poles on discrete-time transfer functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {363--366}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857105}, doi = {10.1109/ISCAS.2000.857105}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BiscainhoD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiscainhoDE00, author = {Luiz W. P. Biscainho and Paulo S. R. Diniz and Paulo A. A. Esquef}, title = {A model for an {ARMA} process split in sub-bands}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {97--100}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856005}, doi = {10.1109/ISCAS.2000.856005}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BiscainhoDE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bistritz00, author = {Yuval Bistritz}, title = {On Jury's test for 2-D stability of discrete-time systems and its simplification by telepolation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {567--570}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857158}, doi = {10.1109/ISCAS.2000.857158}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bistritz00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BizzarriSP00, author = {Federico Bizzarri and Marco Storace and Mauro Parodi}, title = {Boundary cells in cellular circuits for the minimisation of continuous functionals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {137--140}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857382}, doi = {10.1109/ISCAS.2000.857382}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BizzarriSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlankKS00, author = {Daniel A. Blank and Albert Kern and Ruedi Stoop}, title = {Robust encoding by collective bursting in biologically plausible neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {694--697}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856155}, doi = {10.1109/ISCAS.2000.856155}, timestamp = {Wed, 15 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlankKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlickL00, author = {Robert H. Blick and Heribert Lorenz}, title = {Possible definition of quantum bits in coupled quantum dots}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {245--248}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856307}, doi = {10.1109/ISCAS.2000.856307}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlickL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BocheS00, author = {Holger Boche and Martin Schubert}, title = {Space-time Rake receiver with optimal beamforming for the uplink of CDMA-based wireless systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {109--112}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856008}, doi = {10.1109/ISCAS.2000.856008}, timestamp = {Tue, 15 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BocheS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bollt00, author = {Erik M. Bollt}, title = {Controlling invariant density: an l\({}^{\mbox{{\(\infty\)}}}\) solution to the inverse Frobenius-Perron problem}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {112--115}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856271}, doi = {10.1109/ISCAS.2000.856271}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Bollt00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonaiutoMMSSV00, author = {Vincenzo Bonaiuto and Antonio Maffucci and Giovanni Miano and Mario Salerno and F. Sargeni and C. Visone}, title = {Design of a cellular nonlinear network for analogue simulation of reaction-diffusion PDEs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {431--434}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856089}, doi = {10.1109/ISCAS.2000.856089}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BonaiutoMMSSV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoonyaroonateFM00, author = {Itsda Boonyaroonate and Takayuki Fukami and Shinsaku Mori}, title = {Class {E} isolated {DC-DC} converter using {PWM} synchronous rectifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {252--255}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857075}, doi = {10.1109/ISCAS.2000.857075}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoonyaroonateFM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BorremansMS00, author = {Marc Borremans and Bram De Muer and Michiel Steyaert}, title = {The optimization of GHz integrated {CMOS} quadrature VCO's based on a poly-phase filter loaded differential oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {729--732}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856432}, doi = {10.1109/ISCAS.2000.856432}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BorremansMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BosKS00, author = {Chris van den Bos and Michiel H. L. Kouwenhoven and Wouter A. Serdijn}, title = {Effect of smooth non-linear distortion on {OFDM} {BER}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {469--472}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858790}, doi = {10.1109/ISCAS.2000.858790}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BosKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoschSS00, author = {Anne Van den Bosch and Michiel Steyaert and Willy Sansen}, title = {The extraction of transistor mismatch parameters: the {CMOS} current-steering {D/A} converter as a test structure}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {745--748}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856436}, doi = {10.1109/ISCAS.2000.856436}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoschSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoschSS00a, author = {Anne Van den Bosch and Michiel Steyaert and Willy Sansen}, title = {An accurate statistical yield model for {CMOS} current-steering {D/A} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {105--108}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858699}, doi = {10.1109/ISCAS.2000.858699}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoschSS00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoudecT00, author = {Jean{-}Yves Le Boudec and Patrick Thiran}, title = {A short tutorial on network calculus. I. Fundamental bounds in communication networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {93--96}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858696}, doi = {10.1109/ISCAS.2000.858696}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoudecT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoudecTG00, author = {Jean{-}Yves Le Boudec and Patrick Thiran and Silvia Giordano}, title = {A short tutorial on network calculus. {II.} Min-plus system theory applied to communication networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {365--368}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858764}, doi = {10.1109/ISCAS.2000.858764}, timestamp = {Tue, 15 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoudecTG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoughoufalahDB00, author = {Souhila Boughoufalah and Jean{-}Claude Dufourd and Fr{\'{e}}d{\'{e}}ric Bouilhaguet}, title = {MPEG-Pro, an authoring system for {MPEG-4}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {465--468}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856365}, doi = {10.1109/ISCAS.2000.856365}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoughoufalahDB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouilhaguetDBH00, author = {Fr{\'{e}}d{\'{e}}ric Bouilhaguet and Jean{-}Claude Dugourd and Souhila Boughoufalah and Christophe Havet}, title = {Interactive broadcast digital television. The OpenTV platform versus the {MPEG-4} standard framework}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {626--629}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856138}, doi = {10.1109/ISCAS.2000.856138}, timestamp = {Sat, 16 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BouilhaguetDBH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoulgourisLS00, author = {Nikolaos V. Boulgouris and Athanasios Leontaris and Michael G. Strintzis}, title = {Wavelet compression of 3D medical images using conditional arithmetic coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {557--560}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858812}, doi = {10.1109/ISCAS.2000.858812}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoulgourisLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BourasLA00, author = {Ilias Bouras and Yiannis Liaperdos and Angela Arapoyanni}, title = {A high speed low power {CMOS} clock driver using charge recycling technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {657--660}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857552}, doi = {10.1109/ISCAS.2000.857552}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BourasLA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouridaneNNCA00, author = {Ahmed Bouridane and Mokhtar Nibouche and Omar Nibouche and Danny Crookes and Badr Albesher}, title = {A low latency bi-directional serial-parallel multiplier architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {593--596}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857504}, doi = {10.1109/ISCAS.2000.857504}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouridaneNNCA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoyoguenoKS00, author = {Andr{\'{e}} Boyogu{\'{e}}no and Bozena Kaminska and Mustapha Slamani}, title = {A preamplifier {IC} design for photonic links}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {285--288}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857420}, doi = {10.1109/ISCAS.2000.857420}, timestamp = {Sun, 23 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoyoguenoKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrachtendorfL00, author = {Hans Georg Brachtendorf and Rainer Laur}, title = {On consistent initial conditions for circuit DAEs with higher index}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {173--176}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858716}, doi = {10.1109/ISCAS.2000.858716}, timestamp = {Fri, 13 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrachtendorfL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BraggHHD00, author = {Julian A. Bragg and Reid R. Harrison and Paul E. Hasler and Stephen P. DeWeerth}, title = {A floating-gate pFET based {CMOS} programmable analog memory cell array}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {339--342}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856066}, doi = {10.1109/ISCAS.2000.856066}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BraggHHD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Brancik00, author = {Lubom{\'{\i}}r Branc{\'{\i}}k}, title = {An improvement of FFT-based numerical inversion of two-dimensional Laplace transforms by means of {\unicode{1013}}-algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {581--584}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858818}, doi = {10.1109/ISCAS.2000.858818}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Brancik00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BregovicS00, author = {Robert Bregovic and Tapio Saram{\"{a}}ki}, title = {A new method for the design of two-channel perfect-reconstruction linear-phase {FIR} filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {639--642}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857176}, doi = {10.1109/ISCAS.2000.857176}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BregovicS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BretenLB00, author = {Madalina Breten and Torsten Lehmann and Erik Bruun}, title = {Integrating data converters for picoampere currents from electrochemical transducers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {709--712}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857591}, doi = {10.1109/ISCAS.2000.857591}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BretenLB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BruckZB00, author = {Yuri Bruck and Michael Zelikson and Gennady Burdo}, title = {Novel design technique for highly linear current mode amplifiers. Analysis, design, simulations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {695--698}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857190}, doi = {10.1109/ISCAS.2000.857190}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BruckZB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuenoR00, author = {Roberto Santos Bueno and Jos{\'{e}} Luis Rodr{\'{\i}}guez Marrero}, title = {Application of the {OGY} method to the control of chaotic {DC-DC} converters: theory and experiments}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {369--372}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856338}, doi = {10.1109/ISCAS.2000.856338}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BuenoR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuonomoS00, author = {Antonio Buonomo and Alessandro Lo Schiavo}, title = {On the analysis and design of second-order harmonic oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {623--626}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857172}, doi = {10.1109/ISCAS.2000.857172}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BuonomoS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BusadaB00, author = {Claudio Busada and Gustavo Bortolotto}, title = {Sensorless variable structure speed control of an induction motor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {216--219}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856297}, doi = {10.1109/ISCAS.2000.856297}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BusadaB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ButonomoS00, author = {Antonio Buonomo and Alessandro Lo Schiavo}, title = {Applying the trigonometric collocation method to nonlinear circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {541--544}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858808}, doi = {10.1109/ISCAS.2000.858808}, timestamp = {Sun, 18 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ButonomoS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiSYZ00, author = {Guoquan Cai and Guowen Song and Dapeng Yu and Xingmei Zhong}, title = {An adaptive algorithm for narrow-band interference rejection in {DSSS} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {93--95}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856266}, doi = {10.1109/ISCAS.2000.856266}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiSYZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalandriniBPM00, author = {Guillermo L. Calandrini and Daniel W. Berns and Eduardo E. Paolini and Jorge L. Moiola}, title = {On cyclic fold bifurcations in nonlinear systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {485--488}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856371}, doi = {10.1109/ISCAS.2000.856371}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CalandriniBPM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CallegariRSM00, author = {Sergio Callegari and Riccardo Rovatti and Gianluca Setti and Gianluca Mazzini}, title = {A {BICMOS} {PDF} notch circuit for {FM-DCSK} communication over selective channels}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {445--448}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858784}, doi = {10.1109/ISCAS.2000.858784}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CallegariRSM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CampiV00, author = {Marco C. Campi and M. Vidyasagar}, title = {Learning with prior information}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {255--258}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856045}, doi = {10.1109/ISCAS.2000.856045}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CampiV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaniveGP00, author = {Jorge M. Ca{\~{n}}ive and Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and Antonio Petraglia}, title = {A {CMOS} low sensitivity switched-capacitor video filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {165--168}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856022}, doi = {10.1109/ISCAS.2000.856022}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CaniveGP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CantinBSLG00, author = {Marc{-}Andr{\'{e}} Cantin and Yves Blaqui{\`{e}}re and Yvon Savaria and Pierre Lavoie and Eric Granger}, title = {Analysis of quantization effects in a digital hardware implementation of a fuzzy {ART} neural network algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {141--144}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856016}, doi = {10.1109/ISCAS.2000.856016}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CantinBSLG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaponettoFLP00, author = {Riccardo Caponetto and Luigi Fortuna and Mario Lavorgna and Domenico Porto}, title = {Design of a chaotic generator using two {CNN} cells having non-integer order}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {233--236}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857406}, doi = {10.1109/ISCAS.2000.857406}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaponettoFLP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CardarilliMS00, author = {Gian Carlo Cardarilli and Panfilo Marinucci and Adelio Salsano}, title = {Development of an evaluation model for the design of fault-tolerant solid state mass memory}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {673--676}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856418}, doi = {10.1109/ISCAS.2000.856418}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CardarilliMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarvajalRT00, author = {Ram{\'{o}}n G. Carvajal and Jaime Ram{\'{\i}}rez{-}Angulo and Jonathan Noel Tombs}, title = {High-speed high-precision voltage-mode {MIN/MAX} circuits in {CMOS} technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {13--16}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857351}, doi = {10.1109/ISCAS.2000.857351}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CarvajalRT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CasuMPRZ00, author = {Mario Roberto Casu and Guido Masera and Gianluca Piccinini and Massimo Ruo Roch and Maurizio Zamboni}, title = {A high accuracy-low complexity model for {CMOS} delays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {455--458}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857129}, doi = {10.1109/ISCAS.2000.857129}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CasuMPRZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cel00, author = {Jaroslaw K. Cel}, title = {Minty's coloured branch theorem versus Tellegen's theorem}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {204--207}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857063}, doi = {10.1109/ISCAS.2000.857063}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cel00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cel00a, author = {Jaroslaw K. Cel}, title = {Identifications of Hasler's classes of linear resistive circuit structures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {479--482}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857136}, doi = {10.1109/ISCAS.2000.857136}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Cel00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cernuschi-Frias00, author = {Bruno Cernuschi{-}Fr{\'{\i}}as and Sergio E. Lew and Hern{\'{a}}n J. Gonz{\'{a}}lez and Jon{\'{a}}s D. Pfefferman}, title = {A parallel algorithm for the diagonalization of symmetric matrices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {81--84}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857368}, doi = {10.1109/ISCAS.2000.857368}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cernuschi-Frias00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CevikhasODB00, author = {I. C. {\c{C}}evikhas and Arif Sel{\c{c}}uk {\"{O}}grenci and G{\"{u}}nhan D{\"{u}}ndar and Sina Balkir}, title = {{VLSI} implementation of {GRBF} (Gaussian radial basis function) networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {646--649}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856143}, doi = {10.1109/ISCAS.2000.856143}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CevikhasODB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChakrabartyS00, author = {Krishnendu Chakrabarty and Shivakumar Swaminathan}, title = {Built-in self testing of high-performance circuits using twisted-ring counters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {72--75}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857029}, doi = {10.1109/ISCAS.2000.857029}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChakrabartyS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanLH00, author = {Shing{-}Chow Chan and Wei Liu and Ka{-}Leung Ho}, title = {Perfect reconstruction modulated filter banks with sum of powers-of-two coefficients}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {73--76}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856261}, doi = {10.1109/ISCAS.2000.856261}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanLH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanMNKS00, author = {Wilson W. S. Chan and Philip K. T. Mok and Alex T. K. Ng and Wing{-}Hung Ki and Johnny K. O. Sin}, title = {{IC} controller for phase-controlled dimmable compact fluorescent lamps with closed-loop control}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {503--506}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857142}, doi = {10.1109/ISCAS.2000.857142}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanMNKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanSTS00, author = {Pak K. Chan and Liter Siek and Hwee C. Tay and Jing H. Su}, title = {A low-offset class-AB {CMOS} operational amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {455--458}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856095}, doi = {10.1109/ISCAS.2000.856095}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanSTS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChandramouliS00, author = {Ramamurti Chandramouli and Vamsi K. Srikantam}, title = {Optimum probability model selection using Akaike's information criterion for low power applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {467--470}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857132}, doi = {10.1109/ISCAS.2000.857132}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChandramouliS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChandrasenaL00, author = {Lama H. Chandrasena and Michael J. Liebelt}, title = {Energy minimization in dynamic supply voltage scaling systems using data dependent voltage level selection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {525--528}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856381}, doi = {10.1109/ISCAS.2000.856381}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChandrasenaL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangB00, author = {Jae J. Chang and Martin Anthony Brooke}, title = {A clock extraction circuit using passive components-free filter in standard digital process}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {261--264}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856311}, doi = {10.1109/ISCAS.2000.856311}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangB00a, author = {Shih{-}Fu Chang and Paul Bocheck}, title = {Principles and applications of content-aware video communication}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {33--36}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858681}, doi = {10.1109/ISCAS.2000.858681}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangB00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCHC00, author = {Hao{-}Chieh Chang and Liang{-}Gee Chen and Mei{-}Yun Hsu and Yung{-}Chi Chang}, title = {Performance analysis and architecture evaluation of {MPEG-4} video codec system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {449--452}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856361}, doi = {10.1109/ISCAS.2000.856361}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCTFC00, author = {Hao{-}Chieh Chang and Yung{-}Chi Chang and Yuan{-}Bin Tsai and Chih{-}Peng Fan and Liang{-}Gee Chen}, title = {{MPEG-4} video bitstream structure analysis and its parsing architecture design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {184--187}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856289}, doi = {10.1109/ISCAS.2000.856289}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCTFC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCW00, author = {Yuyu Chang and John Choma Jr. and Jack Wills}, title = {The design and analysis of a {RF} {CMOS} bandpass filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {625--628}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856406}, doi = {10.1109/ISCAS.2000.856406}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangCW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangK00, author = {Robert C. Chang and Lung{-}Chih Kuo}, title = {A new low-voltage charge pump circuit for {PLL}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {701--704}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857586}, doi = {10.1109/ISCAS.2000.857586}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangL00, author = {Gan{-}Cheih Chang and Weo{-}Nung Lie}, title = {Multi-view image compression and intermediate view synthesis for stereoscopic applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {277--280}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856315}, doi = {10.1109/ISCAS.2000.856315}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangR00, author = {Shih{-}Chieh Chang and Jiann{-}Chyi Rau}, title = {A timing-driven pseudo-exhaustive testing of {VLSI} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {665--668}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856416}, doi = {10.1109/ISCAS.2000.856416}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChauS00, author = {Lap{-}Pui Chau and Wan{-}Chi Siu}, title = {Recursive algorithm for the realization of the discrete cosine transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {529--532}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857488}, doi = {10.1109/ISCAS.2000.857488}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChauS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaudrhryKMSV00, author = {Irfan A. Chaudrhry and Sung{-}Ung Kwak and Gabriele Manganaro and Maher Sarraj and T. Lakshmi Viswanathan}, title = {A triple 8b, 80MSPS 3.3 {V} graphics digitizer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {557--560}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857495}, doi = {10.1109/ISCAS.2000.857495}, timestamp = {Mon, 15 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChaudrhryKMSV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CheikhG00, author = {Faouzi Alaya Cheikh and Moncef Gabbouj}, title = {Directional-rational approach for color image enhancement}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {563--566}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856122}, doi = {10.1109/ISCAS.2000.856122}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CheikhG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC00, author = {Chih{-}Chang Chen and Oscal T.{-}C. Chen}, title = {A low-complexity computation scheme of discrete cosine transform and quantization with adaptation to block contents}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {631--634}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857174}, doi = {10.1109/ISCAS.2000.857174}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenK00, author = {Jinghong Chen and Sung{-}Mo Kang}, title = {An algorithm for automatic model-order reduction of nonlinear {MEMS} devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {445--448}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856360}, doi = {10.1109/ISCAS.2000.856360}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLC00, author = {Li{-}Hsun Chen and Wei{-}Lung Liu and Oscal T.{-}C. Chen}, title = {Determination of radix numbers of the Booth algorithm for the optimized programmable {FIR} architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {345--348}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856332}, doi = {10.1109/ISCAS.2000.856332}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLK00, author = {Jie Chen and Paul Lungner and Jit Kumar}, title = {A flexible design of packets over {SONET} or directly over fiber}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {375--378}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857108}, doi = {10.1109/ISCAS.2000.857108}, timestamp = {Thu, 27 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLT00, author = {Kuo{-}Hsing Chen and Huan{-}Sen Liao and Lin{-}Jiunn Tzou}, title = {A low-jitter and low-power phase-locked loop design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {257--260}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856310}, doi = {10.1109/ISCAS.2000.856310}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenYWC00, author = {Chien{-}Yu Chen and Zhong{-}Lan Yang and Tu{-}Chih Wang and Liang{-}Gee Chen}, title = {A programmable {VLSI} architecture for 2-D discrete wavelet transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {619--622}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857171}, doi = {10.1109/ISCAS.2000.857171}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenYWC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHL00, author = {Kuo{-}Hsing Cheng and Chih{-}Sheng Huang and Chun{-}Pin Lin}, title = {The design and implementation of {DCT/IDCT} chip with novel architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {741--744}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858858}, doi = {10.1109/ISCAS.2000.858858}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengPH00, author = {Ching{-}Min Cheng and Soo{-}Chang Pei and Lung{-}Feng Ho}, title = {Limited color display for compressed video}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {285--288}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856317}, doi = {10.1109/ISCAS.2000.856317}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengPH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chernyshov00, author = {Kirill R. Chernyshov}, title = {Strongly consistent recursive regression estimation under depended observations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {133--136}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857381}, doi = {10.1109/ISCAS.2000.857381}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chernyshov00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chi00, author = {Hsiang{-}Feng Chi}, title = {Efficient computation schemes and bit-serial architectures for normalized {LMS} adaptive filtering in audio applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {666--669}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856148}, doi = {10.1109/ISCAS.2000.856148}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chi00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangC00, author = {Jen{-}Shiun Chiang and Ming{-}Da Chiang}, title = {The design of a 1.5 V, 10-bit, 10 {M} samples/s low power pipelined analog-to-digital converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {443--446}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857126}, doi = {10.1109/ISCAS.2000.857126}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangLS00, author = {Tihao Chiang and Hung{-}Ju Lee and Huifang Sun}, title = {An overview of the encoding tools in the {MPEG-4} reference software}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {295--298}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857088}, doi = {10.1109/ISCAS.2000.857088}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangS00, author = {David H. Chiang and Rolf Schaumann}, title = {Design of a frequency tuning circuit used in {IFLF} filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {157--160}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858712}, doi = {10.1109/ISCAS.2000.858712}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiarantoniAV00, author = {Ernesto Chiarantoni and Giuseppe Acciani and Francesco Vacca}, title = {Local competitive signals for an unsupervised competitive neural network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {590--593}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856129}, doi = {10.1109/ISCAS.2000.856129}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiarantoniAV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChihC00, author = {Jen{-}Chuan Chih and Sau{-}Gee Chen}, title = {A fast {CORDIC} algorithm based on a novel angle recoding scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {621--624}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858828}, doi = {10.1109/ISCAS.2000.858828}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChihC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiucchiP00, author = {Simone Chiucchi and Francesco Piazza}, title = {A virtual stereo approach to stereophonic acoustic echo cancellation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {745--748}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858859}, doi = {10.1109/ISCAS.2000.858859}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiucchiP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoRL00, author = {Nam Ik Cho and Bong Gyun Roh and Sang Uk Lee}, title = {Reduction of blocking artifacts by a modeled lowpass filter output}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {673--676}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858841}, doi = {10.1109/ISCAS.2000.858841}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoRL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiB00, author = {Jung Hyun Choi and Sergio Bampi}, title = {{TAT} transistors on {SOT} array for mixed analog/digital applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {17--20}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857352}, doi = {10.1109/ISCAS.2000.857352}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiL00, author = {Y. W. Choi and Howard C. Luong}, title = {A high-Q and wide-dynamic-range {CMOS} {IF} bandpass filter for monolithic receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {144--147}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856279}, doi = {10.1109/ISCAS.2000.856279}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiPBKC00, author = {Hee{-}Cheol Choi and Ho{-}Jin Park and Shin{-}Kyu Bae and Jae{-}Whui Kim and Philip Chung}, title = {A 1.4 {V} 10-bit 20 {MSPS} pipelined {A/D} converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {439--442}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857125}, doi = {10.1109/ISCAS.2000.857125}, timestamp = {Tue, 15 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiPBKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiPHP00, author = {Jun Rim Choi and Soo{-}Bok Park and Dong{-}Seok Han and Se{-}Ho Park}, title = {A 2048 complex point {FFT} architecture for digital audio broadcasting system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {693--696}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857580}, doi = {10.1109/ISCAS.2000.857580}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiPHP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiSC00, author = {Jung{-}Pil Choi and Seung{-}Cheol Shin and Jin{-}Gyun Chung}, title = {Efficient {ROM} size reduction for distributed arithmetic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {61--64}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856258}, doi = {10.1109/ISCAS.2000.856258}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chongcheawchamnan00, author = {Mitchai Chongcheawchamnan and Mike J. Blewett and Ian D. Robertson}, title = {Feedforward linearisation applied to a direct carrier modulation transmitter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {655--658}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857180}, doi = {10.1109/ISCAS.2000.857180}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chongcheawchamnan00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouL00, author = {Chih{-}Sheng Chou and David W. Lin}, title = {Training techniques of space-time Viterbi equalizers for high speed mobile communication}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {89--92}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856265}, doi = {10.1109/ISCAS.2000.856265}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChowdhuryJAM00, author = {Sazzadur Chowdhury and Graham A. Jullien and Majid Ahmadi and William C. Miller}, title = {A {MEMS} micromagnetic actuator for use in a bionic interface}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {181--184}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857393}, doi = {10.1109/ISCAS.2000.857393}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChowdhuryJAM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungI00, author = {Henry S. H. Chung and Adrian Ioinovici}, title = {Development of a generalized switched-capacitor {DC/DC} converter with bi-directional power flow}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {499--502}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856106}, doi = {10.1109/ISCAS.2000.856106}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CilingirogluH00, author = {Ugur {\c{C}}ilingiroglu and Siew Kuok Hoon}, title = {An accurate self-bias threshold voltage extractor using differential difference feedback amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {209--212}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857400}, doi = {10.1109/ISCAS.2000.857400}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CilingirogluH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CivalleriG00, author = {Pier Paolo Civalleri and Marco Gilli}, title = {Analysis and design of cellular neural networks, through a space-time spectral approach}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {393--396}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856344}, doi = {10.1109/ISCAS.2000.856344}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CivalleriG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ClaudioPO00, author = {Elio D. Di Claudio and Raffaele Parisi and Gianni Orlandi}, title = {Discriminative learning strategy for efficient neural decision feedback equalizers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {521--524}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858803}, doi = {10.1109/ISCAS.2000.858803}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ClaudioPO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CohenC00, author = {Marc Cohen and Gert Cauwenberghs}, title = {Focal-plane on-line nonuniformity correction using floating-gate adaptation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {153--156}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857386}, doi = {10.1109/ISCAS.2000.857386}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CohenC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ColiPR00, author = {Moreno Coli and Paolo Palazzari and Rodolfo Rughi}, title = {The toroidal neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {137--140}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858707}, doi = {10.1109/ISCAS.2000.858707}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ColiPR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ColodroSVF00, author = {Francisco Colodro and Antonio Jes{\'{u}}s Torralba Silgado and Alfredo P{\'{e}}rez Vega{-}Leal and Leopoldo Garc{\'{\i}}a Franquelo}, title = {Multirate-multibit sigma-delta modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {21--24}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856248}, doi = {10.1109/ISCAS.2000.856248}, timestamp = {Sat, 10 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ColodroSVF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConstantinescuN00, author = {Florin Constantinescu and Miruna Nitescu}, title = {Two-rate computation of the periodic steady-state in {RF-IC} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {121--124}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857378}, doi = {10.1109/ISCAS.2000.857378}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConstantinescuN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConstantinidesC00, author = {George A. Constantinides and Peter Y. K. Cheung and Wayne Luk}, title = {Roundoff-noise shaping in filter design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {57--60}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858687}, doi = {10.1109/ISCAS.2000.858687}, timestamp = {Thu, 13 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConstantinidesC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoramAW00, author = {Geoffrey J. Coram and Brian D. O. Anderson and John L. Wyatt Jr.}, title = {Thermal noise behavior of a nonlinear bridge circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {132--135}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857044}, doi = {10.1109/ISCAS.2000.857044}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CoramAW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoramW00, author = {Geoffrey J. Coram and John L. Wyatt Jr.}, title = {Poisson and Gaussian models for noisy devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {136--139}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857045}, doi = {10.1109/ISCAS.2000.857045}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CoramW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CornoRS00, author = {Fulvio Corno and Matteo Sonza Reorda and Giovanni Squillero}, title = {An improved cellular automata-based {BIST} architecture for sequential circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {76--79}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857030}, doi = {10.1109/ISCAS.2000.857030}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CornoRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CostaBB00, author = {M{\'{a}}rcio H. Costa and Jos{\'{e}} C. M. Bermudez and Neil J. Bershad}, title = {Nonlinear secondary-path effects on the transient behavior of the multiple-error {FXLMS} algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {598--601}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856131}, doi = {10.1109/ISCAS.2000.856131}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CostaBB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoulombeSW00, author = {Jonathan Coulombe and Mohamad Sawan and Chunyan Wang}, title = {Variable resolution {CMOS} current mode active pixel sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {293--296}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856319}, doi = {10.1109/ISCAS.2000.856319}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CoulombeSW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CousinSC00, author = {Jean{-}Gabriel Cousin and Olivier Sentieys and Daniel Chillet}, title = {Multi-algorithm {ASIP} synthesis and power estimation for {DSP} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {621--624}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856405}, doi = {10.1109/ISCAS.2000.856405}, timestamp = {Thu, 07 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CousinSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cristianini00, author = {Nello Cristianini}, title = {Large margin strategies in machine learning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {753--756}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856438}, doi = {10.1109/ISCAS.2000.856438}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cristianini00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CruzS00, author = {Rene L. Cruz and Arvind V. Santhanam}, title = {A composable service model for lossy network elements}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {97--100}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858697}, doi = {10.1109/ISCAS.2000.858697}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CruzS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CsurgayP00, author = {{\'{A}}rp{\'{a}}d Csurgay and Wolfgang Porod}, title = {Toward nanoelectronic systems integration}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857010}, doi = {10.1109/ISCAS.2000.857010}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CsurgayP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cuthbert00, author = {Thomas R. Cuthbert}, title = {A real frequency technique optimizing broadband equalizer elements}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {401--404}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857453}, doi = {10.1109/ISCAS.2000.857453}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cuthbert00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CzarnulOI00, author = {Zdzislaw Czarnul and K. Oda and Tetsuya Iida}, title = {A straightforward design of mismatch-shaped multi-bit {\(\Delta\)}{\(\Sigma\)} {D/A} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {717--720}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857598}, doi = {10.1109/ISCAS.2000.857598}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CzarnulOI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Dabrowski00, author = {Jerzy J. D{\k{a}}browski}, title = {Efficient interconnect timing analysis via piecewise linear technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {469--472}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857473}, doi = {10.1109/ISCAS.2000.857473}, timestamp = {Mon, 25 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Dabrowski00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaiH00, author = {Liang Dai and Ramesh Harjani}, title = {Comparison and analysis of phase noise in ring oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {77--80}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857367}, doi = {10.1109/ISCAS.2000.857367}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaiH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasH00, author = {Mrinal Das and Jim Hellums}, title = {Improved design criteria of gain-boosted {CMOS} {OTA} with high speed optimizations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {201--204}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857398}, doi = {10.1109/ISCAS.2000.857398}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DavidA00, author = {Afshin David and Tyseer Aboulnasr}, title = {A globally convergent adaptive {IIR} filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {531--534}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856114}, doi = {10.1109/ISCAS.2000.856114}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DavidA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Davies00, author = {Anthony C. Davies}, title = {Dynamic properties of a multiway arbiter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {221--224}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856036}, doi = {10.1109/ISCAS.2000.856036}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Davies00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DavisFA000, author = {Alan J. Davis and Godi Fischer and Hans{-}Helge Albrecht and J{\"{u}}rgen Hess}, title = {Digital correction of circuit imperfections in cascaded {\(\Sigma\)}-{\(\Delta\)} modulators composed of 1st-order sections}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {689--692}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857577}, doi = {10.1109/ISCAS.2000.857577}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DavisFA000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeanH00, author = {Doug Dean and Ramesh Harjani}, title = {A high speed differential to single-ended amplifier for instrumentation applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {459--462}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856096}, doi = {10.1109/ISCAS.2000.856096}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeanH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Delbruck00, author = {Tobi Delbr{\"{u}}ck}, title = {Silicon retina for autofocus}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {393--396}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858771}, doi = {10.1109/ISCAS.2000.858771}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Delbruck00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Delgado-FriasN00, author = {Jos{\'{e}} G. Delgado{-}Frias and Jabulani Nyathi}, title = {A wave-pipelined {CMOS} associate router for communication switches}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {391--394}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857112}, doi = {10.1109/ISCAS.2000.857112}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Delgado-FriasN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DemosthenousTM00, author = {Andreas Demosthenous and John Taylor and Geoff Morrison}, title = {An analogue approach to the design of motion estimators for digital video encoding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {675--678}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857185}, doi = {10.1109/ISCAS.2000.857185}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DemosthenousTM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DessoukyK00, author = {Mohamed Dessouky and Andreas Kaiser}, title = {Very low-voltage fully differential amplifier for switched-capacitor applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {441--444}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857466}, doi = {10.1109/ISCAS.2000.857466}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DessoukyK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DevischSVK00, author = {Fr{\'{e}}d{\'{e}}ric Devisch and Johan Stiens and Roger Vounckx and Maarten Kuijk}, title = {A power reduction method for off-chip interconnects}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {265--268}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858739}, doi = {10.1109/ISCAS.2000.858739}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DevischSVK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Diaz-SanchezRLS00, author = {Alejandro D{\'{\i}}az{-}S{\'{a}}nchez and Jaime Ram{\'{\i}}rez{-}Angulo and Antonio Lopez and Edgar S{\'{a}}nchez{-}Sinencio}, title = {A fully parallel {CMOS} analog median filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {593--596}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856398}, doi = {10.1109/ISCAS.2000.856398}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Diaz-SanchezRLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DicksonG00, author = {Jeffrey A. Dickson and Rodney M. Goodman}, title = {Integrated chemical sensors based on carbon black and polymer films using a standard {CMOS} process and post-processing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {341--344}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858758}, doi = {10.1109/ISCAS.2000.858758}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DicksonG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DietzM00, author = {Martin Dietz and Torsten Mlasko}, title = {Using {MPEG-4} audio for {DRM} digital narrowband broadcasting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {205--208}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856032}, doi = {10.1109/ISCAS.2000.856032}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DietzM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingH00, author = {Yongwang Ding and Ramesh Harjani}, title = {A universal analytic charge injection model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {144--147}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857047}, doi = {10.1109/ISCAS.2000.857047}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingS00, author = {Jian Ding and Gerald E. Sobelman}, title = {{ATM} switch design using code division multiple access techniques}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {379--382}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857109}, doi = {10.1109/ISCAS.2000.857109}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DingS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiotaleviVBBC00, author = {Francesco Diotalevi and Maurizio Valle and Gian Marco Bo and Ezio Biglieri and Daniele D. Caviglia}, title = {An analog on-chip learning circuit architecture of the weight perturbation algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {419--422}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857120}, doi = {10.1109/ISCAS.2000.857120}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DiotaleviVBBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiotaleviVBBC00a, author = {Francesco Diotalevi and Maurizio Valle and Gian Marco Bo and Enrico Biglieri and Daniele D. Caviglia}, title = {Analog {CMOS} current mode neural primitives}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {717--720}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856429}, doi = {10.1109/ISCAS.2000.856429}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DiotaleviVBBC00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoboliDV00, author = {Alex Doboli and Nagu R. Dhanwada and Ranga Vemuri}, title = {A heuristic technique for system-level architecture generation from signal-flow graph representations of analog systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {181--184}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856026}, doi = {10.1109/ISCAS.2000.856026}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoboliDV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Doggett00, author = {Michael C. Doggett}, title = {A ray queueing and sorting design for real time ray casting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {641--644}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858833}, doi = {10.1109/ISCAS.2000.858833}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Doggett00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DomaninGMM00, author = {Daniele Domanin and Umberto Gatti and Piero Malcovati and Franco Maloberti}, title = {A multipath polyphase digital-to-analog converter for software radio transmission systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {361--364}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856336}, doi = {10.1109/ISCAS.2000.856336}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DomaninGMM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DomanskiLM00, author = {Marek Domanski and Adam Luczak and Slawomir Mackowiak}, title = {Scalable {MPEG} video coding with improved B-frame prediction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {273--276}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856314}, doi = {10.1109/ISCAS.2000.856314}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DomanskiLM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DomanskiR00, author = {Marek Domanski and Krzysztof Rakowski}, title = {A simple technique for near-lossless coding of color images}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {299--302}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856056}, doi = {10.1109/ISCAS.2000.856056}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DomanskiR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DonckersDV00, author = {Nicolas Donckers and Carlos Dualibe and Michel Verleysen}, title = {A current-mode {CMOS} loser-take-all with minimum function for neural computations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {415--418}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857119}, doi = {10.1109/ISCAS.2000.857119}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DonckersDV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoulamisDK00, author = {Anastasios D. Doulamis and Nikolaos D. Doulamis and Stefanos D. Kollias}, title = {Efficient video summarization based on a fuzzy video content representation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {301--304}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858748}, doi = {10.1109/ISCAS.2000.858748}, timestamp = {Mon, 03 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DoulamisDK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DragosDK00, author = {Vasile Dragos and Vasile Dan and Radovan Kovacevic}, title = {Prediction of the laser sheet bending using neural network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {686--689}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856153}, doi = {10.1109/ISCAS.2000.856153}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DragosDK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DrakakisP00, author = {Emmanuel M. Drakakis and Alison J. Payne}, title = {On the exact realisation of {LC} ladder finite transmission zeros in log-domain: a theoretical study}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {188--191}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857059}, doi = {10.1109/ISCAS.2000.857059}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DrakakisP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DrakakisP00a, author = {Emmanuel M. Drakakis and Alison J. Payne}, title = {Approximate process-parameter dependent symbolic calculation of harmonic distortion in log-domain: the lossy integrator case-study}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {609--612}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856402}, doi = {10.1109/ISCAS.2000.856402}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DrakakisP00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DrechslerG00, author = {Rolf Drechsler and Wolfgang G{\"{u}}nther}, title = {Optimization of sequential verification by history-based dynamic minimization of BDDs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {737--740}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858857}, doi = {10.1109/ISCAS.2000.858857}, timestamp = {Mon, 11 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DrechslerG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DrevenyB00, author = {Chad W. Dreveny and Leonard T. Bruton}, title = {Least-squares trilinear interpolation for digital video coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {701--704}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858848}, doi = {10.1109/ISCAS.2000.858848}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DrevenyB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DrewesHH00, author = {Christian Drewes and Joachim S. Hammerschmidt and Andreas Hutter}, title = {Parallel architectures for decision-directed RLS-equalization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {93--96}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857371}, doi = {10.1109/ISCAS.2000.857371}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DrewesHH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DualibeJV00, author = {Carlos Dualibe and Paul G. A. Jespers and Michel Verleysen}, title = {A 5.26 Mflips programmable analogue fuzzy logic controller in a standard {CMOS} 2.4 {\(\mu\)} technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {377--380}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857443}, doi = {10.1109/ISCAS.2000.857443}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DualibeJV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DudekH00, author = {Piotr Dudek and Peter J. Hicks}, title = {A {CMOS} general-purpose sampled-data analogue microprocessor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {417--420}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856353}, doi = {10.1109/ISCAS.2000.856353}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DudekH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DumonteixM00, author = {Yannick Dumonteix and Habib Mehrez}, title = {A family of redundant multipliers dedicated to fast computation for signal processing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {325--328}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857430}, doi = {10.1109/ISCAS.2000.857430}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DumonteixM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EberhardtTS00, author = {Friedemann Eberhardt and Wilfried Tenten and Peter R. Shepherd}, title = {Parallel symbolic sensitivity analysis of large-scale linear analogue circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {137--140}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856015}, doi = {10.1109/ISCAS.2000.856015}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/EberhardtTS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EckerbertELE00, author = {Daniel Eckerbert and Henrik Eriksson and Per Larsson{-}Edefors and Anders Edman}, title = {An interconnect-driven design of a {DFT} processor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {569--572}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857498}, doi = {10.1109/ISCAS.2000.857498}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EckerbertELE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EdwardsSJ00, author = {R. Timothy Edwards and Kim Strohbehn and Steven E. Jaskulek}, title = {A field-programmable mixed-signal array architecture using antifuse interconnects}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {319--322}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856061}, doi = {10.1109/ISCAS.2000.856061}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/EdwardsSJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EklundG00, author = {Jan{-}Erik Eklund and Fredrik Gustafsson}, title = {Digital offset compensation of time-interleaved {ADC} using random chopper sampling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {447--450}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856093}, doi = {10.1109/ISCAS.2000.856093}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EklundG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-BakryAK00, author = {Hazem M. El{-}Bakry and M. A. Abo{-}Elsoud and Mohamed S. Kamel}, title = {Fast modular neural nets for face detection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {156--159}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857051}, doi = {10.1109/ISCAS.2000.857051}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-BakryAK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-BakryAK00a, author = {Hazem M. El{-}Bakry and Mohy A. Abo{-}Elsoud and Mohamed S. Kamel}, title = {Automatic face recognition system using neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {543--546}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856117}, doi = {10.1109/ISCAS.2000.856117}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-BakryAK00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-BakryAK00b, author = {Hazem M. El{-}Bakry and Mohy A. Abo{-}Elsoud and Mohamed S. Kamel}, title = {Modular neural networks for solving high complexity tasks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {555--558}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856120}, doi = {10.1109/ISCAS.2000.856120}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-BakryAK00b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElhananyA00, author = {Itamar Elhanany and Ortal Arazi}, title = {Redundant linear coding for accelerating counting and comparison operations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {333--336}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857432}, doi = {10.1109/ISCAS.2000.857432}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElhananyA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElrabaAE00, author = {Muhammad E. S. Elrabaa and Mohab H. Anis and Mohamed I. Elmasry}, title = {A contention-free domino logic for scaled-down {CMOS} technologies with ultra low threshold voltages}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {748--751}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857204}, doi = {10.1109/ISCAS.2000.857204}, timestamp = {Wed, 23 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElrabaAE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElsehelyS00, author = {Ehab Elsehely and Mohamed I. Sobhy}, title = {Real time radar target detection under jamming conditions using wavelet transform on {FPGA} device}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {545--548}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858809}, doi = {10.1109/ISCAS.2000.858809}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ElsehelyS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElwakilSK00, author = {Ahmed S. Elwakil and Khaled N. Salama and Michael Peter Kennedy}, title = {A system for chaos generation and its implementation in monolithic form}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {217--220}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857402}, doi = {10.1109/ISCAS.2000.857402}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ElwakilSK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EmamiWTF00, author = {Sohrab Emami and Kazuyuki Wada and Shigetaka Tagaki and Nobuo Fujii}, title = {A novel class {A} {CMOS} current conveyor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {453--456}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858786}, doi = {10.1109/ISCAS.2000.858786}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EmamiWTF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EmmelH00, author = {Patrick Emmel and Roger D. Hersch}, title = {Colour calibration for colour reproduction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {105--108}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857374}, doi = {10.1109/ISCAS.2000.857374}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EmmelH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ErdoganA00, author = {Ahmet Teyfik Erdogan and Tughrul Arslan}, title = {A hybrid segmentation and block processing algorithm for low power implementation of digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {349--352}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857436}, doi = {10.1109/ISCAS.2000.857436}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ErdoganA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Etienne-Cummings00, author = {Ralph Etienne{-}Cummings}, title = {Single-capacitor-single-contact active pixel sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {177--180}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857392}, doi = {10.1109/ISCAS.2000.857392}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Etienne-Cummings00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkS00, author = {Thomas Falk and Wolfgang Schwarz}, title = {Impulse sensitivity functions of oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {647--650}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857178}, doi = {10.1109/ISCAS.2000.857178}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalkS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkowskiK00, author = {Bogdan J. Falkowski and Sudha Kannurao}, title = {Efficient spectral method for disjoint bi-decompositions of Boolean functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {313--316}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856324}, doi = {10.1109/ISCAS.2000.856324}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalkowskiK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkowskiK00a, author = {Bogdan J. Falkowski and Sudha Kannurao}, title = {Skew symmetry detection using the Walsh spectral coefficients}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {321--324}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856326}, doi = {10.1109/ISCAS.2000.856326}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalkowskiK00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkowskiL00, author = {Bogdan J. Falkowski and Lip{-}San Lim}, title = {Image watermarking using the complex Hadamard transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {573--576}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858816}, doi = {10.1109/ISCAS.2000.858816}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FalkowskiL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanNNKF00, author = {Yunkun Fan and Dagmar Niebur and Chika O. Nwankpa and Harry G. Kwatny and Robert Fischl}, title = {Multiple power flow solutions of small integrated {AC/DC} power systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {224--227}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856299}, doi = {10.1109/ISCAS.2000.856299}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FanNNKF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fang00, author = {Wai{-}Chi Fang}, title = {A smart vision system-on-a-chip design based on programmable neural processor integrated with active pixel sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {128--131}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856275}, doi = {10.1109/ISCAS.2000.856275}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fang00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangML00, author = {Jian{-}Jun Fang and Kathy Moseler and Sami Levi}, title = {A method to reduce number of division operations for perspective texture warping}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {618--621}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856136}, doi = {10.1109/ISCAS.2000.856136}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangML00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FaragSG00, author = {Fathi A. Farag and M{\'{a}}rcio C. Schneider and Carlos Galup{-}Montoro}, title = {A fully balanced programmable sample-hold amplifier for low-voltage applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {443--446}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856092}, doi = {10.1109/ISCAS.2000.856092}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FaragSG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FariasFS00, author = {Aurencio Sanczczak Farias and Sidnei Noceti Filho and Rui Seara}, title = {Transitional filters based on the classical polynomial approximations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {693--696}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856423}, doi = {10.1109/ISCAS.2000.856423}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FariasFS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FaterGGSL00, author = {Raoul Farer and Edward Grant and Tushar K. Ghosh and Abdelfattah Seyam and Gordon K. Lee}, title = {A rule-based robotic control approach to melt-blowing for shaped fabric structures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {232--235}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856301}, doi = {10.1109/ISCAS.2000.856301}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FaterGGSL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FayomiRS00, author = {Christian Jes{\'{u}}s B. Fayomi and Gordon W. Roberts and Mohamad Sawan}, title = {Low power/low voltage high speed {CMOS} differential track and latch comparator with rail-to-rail input}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {653--656}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857549}, doi = {10.1109/ISCAS.2000.857549}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FayomiRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FedeliV00, author = {Michele Fedeli and Carla Vacchi}, title = {A self-biased low voltage, low power, {CMOS} transconductor stage}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {649--652}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857546}, doi = {10.1109/ISCAS.2000.857546}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FedeliV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Feely00, author = {Orla C. Feely}, title = {Nonlinear dynamics of first-order {DPLL} with {FM} input}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {477--480}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858792}, doi = {10.1109/ISCAS.2000.858792}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Feely00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FemiaVCS00, author = {Nicola Femia and Massimo Vitelli and Domenico Cerbasi and Giovanni Spagnuolo}, title = {Analysis of soft synchronous commutations in switching converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {268--271}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857079}, doi = {10.1109/ISCAS.2000.857079}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FemiaVCS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FemiaVSC00, author = {Nicola Femia and Massimo Vitelli and Giovanni Spagnuolo and Domenico Cerbasi}, title = {Analysis of hard synchronous commutations in switching converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {251--254}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856044}, doi = {10.1109/ISCAS.2000.856044}, timestamp = {Tue, 13 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FemiaVSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FeoM00, author = {Oscar De Feo and Gian Mario Maggio}, title = {Bifurcation phenomena in the Colpitts oscillator: a robustness analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {469--472}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856366}, doi = {10.1109/ISCAS.2000.856366}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FeoM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FernandoCB00, author = {W. A. C. Fernando and Cedric Nishan Canagarajah and David R. Bull}, title = {Video special effects editing in {MPEG-2} compressed video}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {281--284}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856316}, doi = {10.1109/ISCAS.2000.856316}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FernandoCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FernandoCB00a, author = {Warnakulasuriya A. C. Fernando and Cedric Nishan Canagarajah and David R. Bull}, title = {Fade-in and fade-out detection in video sequences using histograms}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {709--712}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858850}, doi = {10.1109/ISCAS.2000.858850}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FernandoCB00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerrariMP00, author = {Patrizia Ferrari and Andrea Mariscotti and Paolo Pozzobon}, title = {Reference curves of the pantograph impedance in {DC} railway systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {555--558}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857155}, doi = {10.1109/ISCAS.2000.857155}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerrariMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerriL00, author = {Giuseppe Ferri and Pierpaolo de Laurentis}, title = {A low-voltage {CMOS} phase shifter as a resistive sensor transducer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {605--608}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856401}, doi = {10.1109/ISCAS.2000.856401}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerriL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fiegna00, author = {Claudio Fiegna}, title = {The effects of scaling on the performance of small-signal {MOS} amplifiers: a physics-based simulation study}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {733--736}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856433}, doi = {10.1109/ISCAS.2000.856433}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fiegna00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Filanovsky00, author = {Igor M. Filanovsky}, title = {Voltage reference using mutual compensation of mobility and threshold voltage temperature effects}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {197--200}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857397}, doi = {10.1109/ISCAS.2000.857397}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Filanovsky00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FiliolRCP00, author = {Norm M. Filiol and Tom A. D. Riley and Miles A. Copeland and Calvin Plett}, title = {A receive path {\(\Delta\)}{\(\Sigma\)} frequency to digital converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {331--334}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856064}, doi = {10.1109/ISCAS.2000.856064}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FiliolRCP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FioriP00, author = {Simone G. O. Fiori and Francesco Piazza}, title = {Neural {MCA} for robust beamforming}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {614--617}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856135}, doi = {10.1109/ISCAS.2000.856135}, timestamp = {Mon, 25 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FioriP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FischerD00, author = {Godi Fischer and Alan J. Davis}, title = {{VLSI} implementation of a wide-band sonar receiver}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {673--676}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857566}, doi = {10.1109/ISCAS.2000.857566}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FischerD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FlottesRV00, author = {Marie{-}Lise Flottes and Bruno Rouzeyre and Laurent Volpe}, title = {A controller resynthesis based method for improving datapath testability}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {347--350}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857101}, doi = {10.1109/ISCAS.2000.857101}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FlottesRV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FoleyF00, author = {David J. Foley and Michael P. Flynn}, title = {A 3.3 V, 1.6 GHz, low-jitter, self-correcting {DLL} based clock synthesizer in 0.5 {\(\mu\)}m {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {249--252}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856308}, doi = {10.1109/ISCAS.2000.856308}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FoleyF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FouzarSS00, author = {Youcef Fouzar and Mohamad Sawan and Yvon Savaria}, title = {A new fully integrated {CMOS} phase-locked loop with low jitter and fast lock time}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {253--256}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856309}, doi = {10.1109/ISCAS.2000.856309}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FouzarSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fox00, author = {Robert M. Fox}, title = {Enhancing dynamic range in differential log-domain filters based on the two-filters approach}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {617--620}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856404}, doi = {10.1109/ISCAS.2000.856404}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fox00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FragoulisH00, author = {Nikos Fragoulis and Ioannis Haritantis}, title = {Leapfrog-type filters that retain the topology of the prototype ladder filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {161--164}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858713}, doi = {10.1109/ISCAS.2000.858713}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FragoulisH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FragoulisHC00, author = {Nikos Fragoulis and Ioannis Haritantis and George A. Constantinides}, title = {Active filter synthesis based on tuneable log-domain lossy integrators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {409--412}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857458}, doi = {10.1109/ISCAS.2000.857458}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FragoulisHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Franken00, author = {Dietrich Fr{\"{a}}nken}, title = {Wave digital simulation of electrical networks containing nonlinear dynamical elements-a new approach}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {535--538}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857150}, doi = {10.1109/ISCAS.2000.857150}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Franken00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Frey00, author = {Douglas Frey}, title = {C-log domain filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {176--179}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857056}, doi = {10.1109/ISCAS.2000.857056}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Frey00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreyS00, author = {Franziska S. Frey and Sabine S{\"{u}}sstrunk}, title = {Digital photography-How long will it last?}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {113--116}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857376}, doi = {10.1109/ISCAS.2000.857376}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FreyS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FriederichS00, author = {Friederich Mombers and Stephanie Dogimont}, title = {A limited hardware resources efficient motion estimation algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {313--316}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858751}, doi = {10.1109/ISCAS.2000.858751}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FriederichS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FrigyikG00, author = {Bela A. Frigyik and G{\'{e}}za Kolumb{\'{a}}n}, title = {Phenomenological model of false lock in the sampling phase-locked loop}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {269--272}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857416}, doi = {10.1109/ISCAS.2000.857416}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FrigyikG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuW00, author = {Dengwei Fu and Alan N. Willson Jr.}, title = {A fast synchronizer for burst modems with simultaneous symbol timing and carrier phase estimations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {379--382}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856076}, doi = {10.1109/ISCAS.2000.856076}, timestamp = {Fri, 01 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FuW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujiiMO00, author = {Kensaku Fujii and Mitsuji Muneyasu and Juro Ohga}, title = {Method to update the feedback control filter coefficients under active noise control}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {345--348}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858759}, doi = {10.1109/ISCAS.2000.858759}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujiiMO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujitaO00, author = {Tomohiro Fujita and Hidetoshi Onodera}, title = {Statistical delay calculation with vector synthesis model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {473--476}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857474}, doi = {10.1109/ISCAS.2000.857474}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujitaO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FullerN00, author = {Arthur T. G. Fuller and Behrouz Nowrouzian}, title = {Stability and transient behavior of Bode-type variable-amplitude digital equalizers with dynamic variable multiplier variations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {493--496}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858796}, doi = {10.1109/ISCAS.2000.858796}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FullerN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalkowskiRGGO00, author = {Krzysztof Galkowski and Eric Rogers and Artur Gramacki and Jaroslaw Gramacki and David H. Owens}, title = {Strong practical stability for a class of 2D linear systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {403--406}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857115}, doi = {10.1109/ISCAS.2000.857115}, timestamp = {Wed, 25 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalkowskiRGGO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GanoF00, author = {Ant{\'{o}}nio J. Gano and Jos{\'{e}} E. Franca}, title = {New multiple input fully differential variable gain {CMOS} instrumentation amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {449--452}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858785}, doi = {10.1109/ISCAS.2000.858785}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GanoF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoJT00, author = {Yonghong Gao and Lihong Jia and Hannu Tenhunen}, title = {A fifth-order comb decimation filter for multi-standard transceiver applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {89--92}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856003}, doi = {10.1109/ISCAS.2000.856003}, timestamp = {Mon, 23 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoJT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoLC00, author = {Jason Gao and Yee{-}Hong Leung and Antonio Cantoni}, title = {Minimum mean square error receive filters for carrierless amplitude and phase modulation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {629--632}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858830}, doi = {10.1109/ISCAS.2000.858830}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoLC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarciaBD00, author = {Andr{\'{e}}s D. Garc{\'{\i}}a and Wayne P. Burleson and Jean{-}Luc Danger}, title = {Low power digital design in FPGAs: a study of pipeline architectures implemented in a {FPGA} using a low supply voltage to reduce power consumption}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {561--564}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857496}, doi = {10.1109/ISCAS.2000.857496}, timestamp = {Sat, 27 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GarciaBD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Garczarczyk00, author = {Zygmunt A. Garczarczyk}, title = {Interval neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {567--570}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856123}, doi = {10.1109/ISCAS.2000.856123}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Garczarczyk00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GatesHK00, author = {John Gates and Miki Haseyama and Hideo Kitajima}, title = {Real-time polygon extraction from complex images}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {309--312}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857426}, doi = {10.1109/ISCAS.2000.857426}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GatesHK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gatica-PerezSG00, author = {Daniel Gatica{-}Perez and Ming{-}Ting Sun and Chuang Gu}, title = {Semiautomatic video object generation using multivalued watershed and partition lattice operators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {32--35}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857019}, doi = {10.1109/ISCAS.2000.857019}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Gatica-PerezSG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GayeALKS00, author = {Mamadou Gaye and Sami Ajram and Jean{-}Yves Lebas and Romain Kozlowski and Georges Salmer}, title = {A 50-100 MHz 5 {V} to -5 V, 1 {W} Cuk converter using gallium arsenide power switches}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {264--267}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857078}, doi = {10.1109/ISCAS.2000.857078}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GayeALKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgantasBPD00, author = {Theodore Georgantas and Stamatis Bouras and Yannis Panananos and Dimitris Dervenis}, title = {Switched-current {\(\Sigma\)}{\(\Delta\)} modulator for baseband channel applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {413--416}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858776}, doi = {10.1109/ISCAS.2000.858776}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgantasBPD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GerousisGWPCTL00, author = {Costa Gerousis and Stephen M. Goodnick and Xiaohui Wang and Wolfgang Porod and {\'{A}}rp{\'{a}}d Csurgay and G{\'{e}}za T{\'{o}}th and Craig S. Lent}, title = {Modeling nanoelectronic {CNN} cells: CMOS, SETs and QCAs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857082}, doi = {10.1109/ISCAS.2000.857082}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GerousisGWPCTL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GevorkianEA00, author = {David Z. Gevorkian and Karen O. Egiazarian and Jaakko Astola}, title = {Modified K-nearest neighbour filters for simple implementation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {568--565}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858814}, doi = {10.1109/ISCAS.2000.858814}, timestamp = {Sat, 02 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GevorkianEA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiovanardiM00, author = {Alessandra Giovanardi and Gianluca Mazzini}, title = {Impact of chaotic self-similar and Poisson traffics on {WLAN} token passing protocols}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {383--386}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857110}, doi = {10.1109/ISCAS.2000.857110}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiovanardiM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiustolisiPP00, author = {Gianluca Giustolisi and Giuseppe Palmisano and Salvatore Pennisi}, title = {High-linear class {AB} transconductor for high-frequency applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {169--172}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857390}, doi = {10.1109/ISCAS.2000.857390}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiustolisiPP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiustolisiPP00a, author = {Gianluca Giustolisi and Giuseppe Palmisano and Gaetano Palumbo}, title = {A fuzzy membership function circuit in {SC} technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {393--396}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857450}, doi = {10.1109/ISCAS.2000.857450}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiustolisiPP00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GohL00, author = {Chee Kiang Goh and Yong Ching Lim}, title = {A {WLS} algorithm for the design of low-delay quadrature mirror filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {615--618}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857170}, doi = {10.1109/ISCAS.2000.857170}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GohL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoldgeisserG00, author = {Leonid B. Goldgeisser and Michael M. Green}, title = {Using continuation methods to improve convergence of circuits with high impedance nodes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {181--184}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858718}, doi = {10.1109/ISCAS.2000.858718}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GoldgeisserG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoldovskyPSKSB00, author = {Alexander Goldovsky and Bimal Patel and Michael Schulte and Ravi K. Kolagotla and Hosahalli Srinivas and Geoffrey Burns}, title = {Design and implementation of a 16 by 16 low-power two's complement multiplier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {345--348}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857435}, doi = {10.1109/ISCAS.2000.857435}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GoldovskyPSKSB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GollamudiH00, author = {Sridhar Gollamudi and Yih{-}Fang Huang}, title = {Continuous l\({}_{\mbox{{\(\infty\)}}}\) minimax filtering}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {622--625}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856137}, doi = {10.1109/ISCAS.2000.856137}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GollamudiH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GomarizGVMP00, author = {Spartacus Gomar{\'{\i}}z and Francesc Guinjoan and Enric Vidal{-}Idiarte and Luis Mart{\'{\i}}nez{-}Salamero and Alberto Poveda}, title = {On the use of the describing function in fuzzy controller design for switching dc-dc regulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {247--250}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856043}, doi = {10.1109/ISCAS.2000.856043}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GomarizGVMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GomesP00, author = {Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and Antonio Petraglia}, title = {A switched-capacitor {DSB} to {SSB} converter using a recursive Hilbert transformer with sampling rate reduction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {315--318}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856060}, doi = {10.1109/ISCAS.2000.856060}, timestamp = {Wed, 04 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GomesP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GongH00, author = {Danian Gong and Yun He}, title = {An efficient architecture for real-time content-based arithmetic coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {515--518}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856110}, doi = {10.1109/ISCAS.2000.856110}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GongH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GotzS00, author = {Marco G{\"{o}}tz and Wolfgang Schwarz}, title = {Statistical analysis of chaotic Markov systems with quantised output}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {229--232}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857405}, doi = {10.1109/ISCAS.2000.857405}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GotzS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GouA00, author = {Bei Gou and Ali Abur}, title = {An efficient method for multiple measurement placement in power networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {220--223}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856298}, doi = {10.1109/ISCAS.2000.856298}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GouA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GouraryRUZHM00, author = {Mark M. Gourary and Sergey G. Rusakov and Sergey L. Ulyanov and Michael M. Zharov and Steve J. Hamm and Brian J. Mulvaney}, title = {Computational method of stability investigation for large analog circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {168--171}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856285}, doi = {10.1109/ISCAS.2000.856285}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GouraryRUZHM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrassiM00, author = {Giuseppe Grassi and Saverio Mascolo}, title = {Driving cryptosystems with hyperchaotic signals: an approach involving linear observers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {501--504}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857481}, doi = {10.1109/ISCAS.2000.857481}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrassiM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Grassl00, author = {Markus Grassl}, title = {Methods of quantum error correction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {740--743}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857202}, doi = {10.1109/ISCAS.2000.857202}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Grassl00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrayverD00, author = {Eugene Grayver and Babak Daneshrad}, title = {Low power, area efficient programmable filter and variable rate decimator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {341--344}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857434}, doi = {10.1109/ISCAS.2000.857434}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrayverD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrazianiB00, author = {Andrea Graziani and Stefano Battista}, title = {Optimization of an {MPEG-4} decoding algorithm on a "very long instruction word" architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {453--456}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856362}, doi = {10.1109/ISCAS.2000.856362}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrazianiB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GreenJ00, author = {Michael M. Green and Sridevi R. Joshi}, title = {A 1.5 {V} {CMOS} {VGA} based on pseudo-differential structures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {461--464}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858788}, doi = {10.1109/ISCAS.2000.858788}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GreenJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GreenZ00, author = {Matthew Green and Abdelhak M. Zoubir}, title = {A search for a parsimonious basis sequence approximation of time-varying, nonlinear systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {148--151}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857048}, doi = {10.1109/ISCAS.2000.857048}, timestamp = {Wed, 27 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GreenZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GruevE00, author = {Viktor Gruev and Ralph Etienne{-}Cummings}, title = {A programmable spatiotemporal image processor chip}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {325--328}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858754}, doi = {10.1109/ISCAS.2000.858754}, timestamp = {Fri, 14 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GruevE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuerraRRFR00, author = {Oscar Guerra and Juan D. Rodr{\'{\i}}guez{-}Garc{\'{\i}}a and Elisenda Roca and Francisco V. Fern{\'{a}}ndez and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {An error-controlled methodology for approximate hierarchical symbolic analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {133--136}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856014}, doi = {10.1109/ISCAS.2000.856014}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuerraRRFR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuineeL00, author = {Richard A. Guinee and Colin Lyden}, title = {A novel application of the fast simulated diffusion algorithm for dynamical parameter identification of brushless motor drive systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {505--508}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856376}, doi = {10.1109/ISCAS.2000.856376}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuineeL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Guo00, author = {Jiun{-}In Guo}, title = {An efficient design for one dimensional discrete cosine transform using parallel adders}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {725--728}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857604}, doi = {10.1109/ISCAS.2000.857604}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Guo00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoAL00, author = {Zihua Guo and Oscar C. Au and Khaled Ben Letaief}, title = {Parameter estimation for image/video transcoding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {269--272}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856313}, doi = {10.1109/ISCAS.2000.856313}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoAL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoR00, author = {Ning Guo and Rabin Raut}, title = {Optimizing bandwidth power efficiency of a {CMOS} transconductor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {205--208}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857399}, doi = {10.1109/ISCAS.2000.857399}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GurkaynaLCM00, author = {Frank K. G{\"{u}}rkaynak and Yusuf Leblebici and Laurent Chaouat and Patrik J. McGuinness}, title = {Higher radix Kogge-Stone parallel prefix adder architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {609--612}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857516}, doi = {10.1109/ISCAS.2000.857516}, timestamp = {Wed, 26 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GurkaynaLCM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GustafssonJW00, author = {Oscar Gustafsson and H{\aa}kan Johansson and Lars Wanhammar}, title = {Design and efficient implementation of high-speed narrow-band recursive digital filters using single filter frequency masking techniques}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {359--362}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856071}, doi = {10.1109/ISCAS.2000.856071}, timestamp = {Fri, 08 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GustafssonJW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaapalaKHS00, author = {Kaisa Haapala and Pasi Kolinummi and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and Jukka Saarinen}, title = {Parallel {DSP} implementation of wavelet transform in image compression}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {89--92}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857370}, doi = {10.1109/ISCAS.2000.857370}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaapalaKHS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hadji-Abdoeharnid00, author = {Amir Hadji{-}Abdoeharnid and David A. Johns}, title = {{ADC} resolution enhancement by an analog decorrelator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {435--438}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856090}, doi = {10.1109/ISCAS.2000.856090}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hadji-Abdoeharnid00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HafedLR00, author = {Mohamed M. Hafed and S{\'{e}}bastien Laberge and Gordon W. Roberts}, title = {A robust deep submicron programmable {DC} voltage generator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {5--8}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858674}, doi = {10.1109/ISCAS.2000.858674}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HafedLR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HajimowlanaMJR00, author = {S. Hossain Hajimowlana and Roberto Muscedere and Graham A. Jullien and James W. Roberts}, title = {Defect detection in web inspection using fuzzy fusion of texture features}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {718--721}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856161}, doi = {10.1109/ISCAS.2000.856161}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HajimowlanaMJR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HalkiasHKK00, author = {Georgios Halkias and Nikos Haralabidis and Efstathios D. Kyriakis{-}Bitzaros and Stavros Katsafouros}, title = {1.7 GHz bipolar optoelectronic receiver using conventional 0.8 {\(\mu\)}m BiCMOS process}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {417--420}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857460}, doi = {10.1109/ISCAS.2000.857460}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HalkiasHKK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamH00, author = {Donhee Ham and Ali Hajimiri}, title = {Design and optimization of a low noise 2.4 GHz {CMOS} {VCO} with integrated {LC} tank and {MOSCAP} tuning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {331--334}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857097}, doi = {10.1109/ISCAS.2000.857097}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanHY00, author = {Kyung{-}Nam Han and Sang{-}Wook Han and Euisik Yoon}, title = {A new adder scheme with reduced P, {G} signal generations using redundant binary number system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {633--636}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857537}, doi = {10.1109/ISCAS.2000.857537}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanHY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HandlonLBL00, author = {Brian Handlon and Steven J. Lorenc and Leonhard Bernold and Gordon Lee}, title = {Tool integrated electromagnetic pulse induction technology to locate buried utilities}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {529--532}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856382}, doi = {10.1109/ISCAS.2000.856382}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HandlonLBL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaraOU00, author = {Noriaki Hara and Ichirou Oota and Fumio Ueno}, title = {Ring type switched-capacitor transformer and its applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {260--263}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857077}, doi = {10.1109/ISCAS.2000.857077}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaraOU00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarabechBCPS00, author = {Nadia Harabech and Rachid Bouchakour and Pierre Canet and Philippe Pannier and Jean{-}Pierre Sorbier}, title = {Extraction of Fowler-Nordheim parameters of thin SiO\({}_{\mbox{2}}\) oxide film including polysilicon gate depletion: validation with an {EEPROM} memory cell}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {441--444}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856359}, doi = {10.1109/ISCAS.2000.856359}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HarabechBCPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaralabidisH00, author = {Nikos Haralabidis and Georgios Halkias}, title = {A {CMOS} laser driver with independently adjustable {DC} and modulation currents for data rates up to 2.5 Gb/s}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {425--428}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857462}, doi = {10.1109/ISCAS.2000.857462}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaralabidisH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaralabidisKH00, author = {Nikos Haralabidis and Stavros Katsafouros and George Halkias}, title = {A 1 GHz {CMOS} transimpedance amplifier for chip-to-chip optical interconnects}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {421--424}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857461}, doi = {10.1109/ISCAS.2000.857461}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaralabidisKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaratschA00, author = {Erich F. Haratsch and Kamran Azadet}, title = {High-speed reduced-state sequence estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {387--390}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856078}, doi = {10.1109/ISCAS.2000.856078}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HaratschA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarjaniBK00, author = {Ramesh Harjani and Oyvind Birkenes and Jonghae Kim}, title = {An {IF} stage design for an ASK-based wireless telemetry system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {52--55}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857024}, doi = {10.1109/ISCAS.2000.857024}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarjaniBK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarrisL00, author = {John G. Harris and Shao{-}Jen Lim}, title = {An analog front-end speech processor using the ratio spectrum}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {327--330}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856063}, doi = {10.1109/ISCAS.2000.856063}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarrisL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarrisonW00, author = {Jeffrey Harrison and Neil Weste}, title = {Analytic limitations on sigma-delta modulator performance}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {746--749}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856168}, doi = {10.1109/ISCAS.2000.856168}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarrisonW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasanH00, author = {Mohammed A. Hasan and Ali A. Hasan}, title = {{MUSIC} and pencil-based sinusoidal estimation methods using fourth order cumulants}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {669--672}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858840}, doi = {10.1109/ISCAS.2000.858840}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasanH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasegawaE00, author = {Akio Hasegawa and Tetsuro Endo}, title = {Averaging method via all numerical computation applied to weakly-nonlinear coupled oscillator systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {117--120}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857377}, doi = {10.1109/ISCAS.2000.857377}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasegawaE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaseyamaTHK00, author = {Miki Haseyama and Megumi Takezawa and Hiroyuki Honda and Hideo Kitajima}, title = {An IFS-based image restoration method for impulsive noise contamination}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {593--596}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858821}, doi = {10.1109/ISCAS.2000.858821}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaseyamaTHK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HatirnazGL00, author = {Ilhan Hatirnaz and Frank K. G{\"{u}}rkaynak and Yusuf Leblebici}, title = {A compact modular architecture for the realization of high-speed binary sorting engines based on rank ordering}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {685--688}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858844}, doi = {10.1109/ISCAS.2000.858844}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HatirnazGL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeC00, author = {Kai He and Gert Cauwenberghs}, title = {Integrated 64-state parallel analog Viterbi decoder}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {761--764}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858863}, doi = {10.1109/ISCAS.2000.858863}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeMDL00, author = {Zhongli He and Jaggie Malla and Mike Danielsen and Sami Levi}, title = {Requirements and constraints in {MPEG-4} binary shape decoder at main profile}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {650--653}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856144}, doi = {10.1109/ISCAS.2000.856144}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeMDL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HelfensteinCM00, author = {Markus Helfenstein and Jari P. Curty and George S. Moschytz}, title = {Switched-current biquad using differential, double-sampling, forward/backward difference integrators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {645--648}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856411}, doi = {10.1109/ISCAS.2000.856411}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HelfensteinCM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HennigS00, author = {Eckhard Hennig and Ralf Sommer}, title = {Frequency compensation of closed-loop feedback amplifier systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {121--124}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856011}, doi = {10.1109/ISCAS.2000.856011}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HennigS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hernandez00, author = {Luis Hernandez}, title = {Continuous-time noise-shaping modulators with delay elements}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {565--568}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857497}, doi = {10.1109/ISCAS.2000.857497}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hernandez00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hikawa00, author = {Hiroomi Hikawa}, title = {Pulse mode multilayer neural network based on floating point number representation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {145--148}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856017}, doi = {10.1109/ISCAS.2000.856017}, timestamp = {Thu, 29 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hikawa00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinamotoI00, author = {Takao Hinamoto and Takuya Inoue}, title = {Synthesis of continuous-time linear systems with minimum L\({}_{\mbox{2 }}\)-sensitivity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {653--656}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856413}, doi = {10.1109/ISCAS.2000.856413}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinamotoI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinamotoK00, author = {Takao Hinamoto and S. Kanemori}, title = {Error spectrum shaping in state-space digital filters with L\({}_{\mbox{2 }}\)-scaling constraints}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {329--332}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856328}, doi = {10.1109/ISCAS.2000.856328}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinamotoK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HiskensP00, author = {Ian A. Hiskens and M. A. Pai}, title = {Hybrid systems view of power system modelling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {228--231}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856300}, doi = {10.1109/ISCAS.2000.856300}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HiskensP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HiyamaS00, author = {Takashi Hiyama and Naoto Suzuki}, title = {Real time modal analysis of power system oscillations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {225--228}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858729}, doi = {10.1109/ISCAS.2000.858729}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HiyamaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Holler00, author = {H. Holler}, title = {Measurement and mismatch-modelling of semiconductor devices in BiCMOS technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {373--376}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858766}, doi = {10.1109/ISCAS.2000.858766}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Holler00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HolmbergHS00, author = {Johnny Holmberg and Lennart Harnefors and Svante Signell}, title = {Stability analysis of the second-order lossless digital integrator allpass filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {367--370}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857106}, doi = {10.1109/ISCAS.2000.857106}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HolmbergHS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongL00, author = {Mingguo Hong and Chen{-}Ching Liu}, title = {Complete controllability of power system dynamics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {241--244}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858733}, doi = {10.1109/ISCAS.2000.858733}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HongL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HortaFG00, author = {Nuno Horta and Maria Fino and Jo{\~{a}}o Goes}, title = {Symbolic techniques applied to switched-current ADCs synthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {129--132}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856013}, doi = {10.1109/ISCAS.2000.856013}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HortaFG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiangW00, author = {Shih{-}Ta Hsiang and John W. Woods}, title = {Embedded image coding using zeroblocks of subband/wavelet coefficients and context modeling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {662--665}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856147}, doi = {10.1109/ISCAS.2000.856147}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiangW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoJ00, author = {Ilion Yi{-}Liang Hsiao and Chein{-}Wei Jen}, title = {A new hardware design and {FPGA} implementation for Internet routing towards {IP} over {WDM} and terabit routers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {387--390}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857111}, doi = {10.1109/ISCAS.2000.857111}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoYC00, author = {Shen{-}Fu Hsiao and Jia{-}Siang Yeh and Da{-}Yen Chen}, title = {High-performance multiplexer-based logic synthesis using pass-transistor logic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {325--328}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856327}, doi = {10.1109/ISCAS.2000.856327}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoYC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuL00, author = {Issac Hsu and Howard C. Luong}, title = {A 70-MHz continuous-time {CMOS} band-pass {\(\Sigma\)}{\(\Delta\)} modulator for {GSM} receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {750--753}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856169}, doi = {10.1109/ISCAS.2000.856169}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLCH00, author = {Kuo{-}Chih Hsu and Yu{-}Chung Lin and Po{-}Xun Chiu and Tsai{-}Ming Hsieh}, title = {Minimum crosstalk channel routing with dogleg}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {73--76}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855999}, doi = {10.1109/ISCAS.2000.855999}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLCH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCN00, author = {Xu Huang and Jun Chen and Khai D. T. Ngo}, title = {Graphical {DC} analysis of three-phase {PWM} converters using a complex transformation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {243--246}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856042}, doi = {10.1109/ISCAS.2000.856042}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangCN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLLH00, author = {Yi{-}Cheng Huang and Wen{-}Yung Liang and Chao{-}Cheng Lu and Chih{-}Wen Hsieh}, title = {Application of a novel battery charger system to new developed piezoelectric actuator for high speed micropositioning motion}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {501--504}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856375}, doi = {10.1109/ISCAS.2000.856375}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLLH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangS00, author = {Shengting Huang and John T. Stonick}, title = {Effect of {DC} offset on performance of differentially detected {\(\pi\)}/4 {DQPSK}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {757--760}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858862}, doi = {10.1109/ISCAS.2000.858862}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HudsonLBBD00, author = {Tina A. Hudson and David C. Lin and Julian A. Bragg and Edgar A. Brown and Stephen P. DeWeerth}, title = {An analog {VLSI} model of muscle sarcomeres}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {431--434}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857123}, doi = {10.1109/ISCAS.2000.857123}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HudsonLBBD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HughesWT00, author = {John B. Hughes and Apisak Worapishet and Christofer Toumazou}, title = {Switched-capacitors versus switched-currents: a theoretical comparison [in {CMOS]}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {409--412}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856351}, doi = {10.1109/ISCAS.2000.856351}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HughesWT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungL00, author = {Chung{-}Wen Hung and David W. Lin}, title = {Towards jointly optimal rate allocation for multiple videos with possibly different frame rates}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {13--16}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856444}, doi = {10.1109/ISCAS.2000.856444}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HutterGS00, author = {Andreas Hutter and Georg Giebel and Walter Stechele}, title = {A coprocessor architecture implementing the {MPEG-4} visual core profile for mobile multimedia applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {176--179}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856287}, doi = {10.1109/ISCAS.2000.856287}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HutterGS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangJPKC00, author = {Sung{-}Sik Hwang and Ki{-}Mo Joo and Ho{-}Jin Park and Jae{-}Whui Kim and Philip Chung}, title = {A {DLL} based 10-320 MHz clock synchronizer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {265--268}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857415}, doi = {10.1109/ISCAS.2000.857415}, timestamp = {Mon, 26 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HwangJPKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangM00, author = {Sungkil Hwang and Gyu Moon}, title = {A ultra high speed clock distribution technique using a cellular oscillator network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {589--592}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858820}, doi = {10.1109/ISCAS.2000.858820}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IbaragiHS00, author = {Eitake Ibaragi and Akira Hyogo and Keitaro Sekine}, title = {A 1-MHz 7th-order continuous-time lowpass filter using very low distortion {CMOS} OTAs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {569--572}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856392}, doi = {10.1109/ISCAS.2000.856392}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IbaragiHS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IhmPK00, author = {Bin{-}Chul Ihm and Dong{-}Jo Park and Young{-}Hyun Kwon}, title = {Blind separation for mixtures of sub-Gaussian and super-Gaussian sources}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {738--741}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856166}, doi = {10.1109/ISCAS.2000.856166}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IhmPK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IkeharaOKT00, author = {Masaaki Ikehara and Masahiro Okuda and Kageyuki Kiyose and Shin{-}ichi Tikahashi}, title = {Complex approximation for {FIR} filters with equiripple magnitude and phase response}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {73--76}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858691}, doi = {10.1109/ISCAS.2000.858691}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IkeharaOKT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InabaTM00, author = {Naohiko Inaba and Takahiro Tamua and Juichi Miyamichi}, title = {Mechanism for taming chaos by weak harmonic perturbations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {104--107}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856269}, doi = {10.1109/ISCAS.2000.856269}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InabaTM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InoueNF00, author = {Takahiro Inoue and Hideo Nakane and Yuuji Fukuju}, title = {A low-voltage fully-differential current-mode analog {CMOS} integrator using floating-gate MOSFETs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {145--148}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858709}, doi = {10.1109/ISCAS.2000.858709}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InoueNF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InouyeL00, author = {Yujiro Inouye and Ruey{-}Wen Liu}, title = {A system-theoretic foundation for blind equalization of an {FIR} {MIMO} channel system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {120--123}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857041}, doi = {10.1109/ISCAS.2000.857041}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InouyeL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IshiiKI00, author = {Kiyoshi Ishii and Keiji Kishine and Haruhiko Ichino}, title = {A jitter suppression technique for a 2.48832 Gb/s clock and data recovery circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {261--264}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857414}, doi = {10.1109/ISCAS.2000.857414}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IshiiKI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsmailF00, author = {Yehea I. Ismail and Eby G. Friedman}, title = {Sensitivity of interconnect delay to on-chip inductance}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {403--406}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856082}, doi = {10.1109/ISCAS.2000.856082}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IsmailF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsmailS00, author = {Aly H. Ismail and Ahmed M. Soliman}, title = {A novel {CMOS} four quadrant multiplier based on linearization of the long tail differential pair}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {485--488}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857477}, doi = {10.1109/ISCAS.2000.857477}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsmailS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsrasenaS00, author = {Pasin Israsena and Steve Summerfield}, title = {Novel pattern-based power estimation tool with accurate glitch modeling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {721--724}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858853}, doi = {10.1109/ISCAS.2000.858853}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsrasenaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IuT00, author = {Herbert H. C. Iu and C. K. Tse}, title = {Bifurcation in parallel-connected boost {DC/DC} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {473--476}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856367}, doi = {10.1109/ISCAS.2000.856367}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IuT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IwataNTHM00, author = {Atsushi Iwata and Makoto Nagata and Noriaki Takeda and Mitsuru Homma and Takashi Morie}, title = {Pulse modulation circuit architecture and its application to functional image sensors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {301--304}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856321}, doi = {10.1109/ISCAS.2000.856321}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IwataNTHM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IzawaST00, author = {Gousuke Izawa and Toshimichi Saito and Hiroyuki Torikai}, title = {A dependent switched capacitor {A/D} converter for Farey series approximation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {681--684}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857570}, doi = {10.1109/ISCAS.2000.857570}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IzawaST00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IzquierdoPG00, author = {Ebroul Izquierdo and Ant{\'{o}}nio M. G. Pinheiro and Mohammed Ghanbari}, title = {A robust and efficient scale-space based metric for the evaluation of {MPEG-4} VOPs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {527--530}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856113}, doi = {10.1109/ISCAS.2000.856113}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IzquierdoPG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JakoK00, author = {Zolt{\'{a}}n Jak{\'{o}} and G{\'{a}}bor Kis}, title = {On the effectiveness of noise reduction methods in {DCSK} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {437--440}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858782}, doi = {10.1109/ISCAS.2000.858782}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JakoK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JbiraK00, author = {Arouggou Jbira and Ahmet M. Kondoz}, title = {Multi-layer scalable {LPC} audio format}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {209--212}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856033}, doi = {10.1109/ISCAS.2000.856033}, timestamp = {Mon, 15 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JbiraK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JenqH00, author = {Jang{-}Chyuan Jenq and Shih{-}Fu Hsieh}, title = {A double-talk resistant echo cancellation based on iterative maximal-length correlation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {237--240}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857407}, doi = {10.1109/ISCAS.2000.857407}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JenqH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeonLYL00, author = {Young{-}Deuk Jeon and Seung{-}Chul Lee and Sang{-}Min Yoo and Seung{-}Hoon Lee}, title = {Acquisition-time minimization and merged-capacitor switching techniques for sampling-rate and resolution improvement of {CMOS} ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {451--454}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856094}, doi = {10.1109/ISCAS.2000.856094}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeonLYL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jessa00, author = {Mieczyslaw Jessa}, title = {Data encryption algorithms using one-dimensional chaotic maps}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {711--714}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857194}, doi = {10.1109/ISCAS.2000.857194}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Jessa00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaGT00, author = {Lihong Jia and Yonghong Gao and Hannu Tenhunen}, title = {New metrics for architectural level power performance evaluation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {549--552}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856387}, doi = {10.1109/ISCAS.2000.856387}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaGT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangCW00, author = {Yao{-}Lin Jiang and Richard M. M. Chen and Omar Wing}, title = {A waveform bounding algorithm for simulation of {RLC} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {461--464}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857471}, doi = {10.1109/ISCAS.2000.857471}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangCW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangL00, author = {Yueming Jiang and Edward K. F. Lee}, title = {A 1.2 {V} bandgap reference based on transimpedance amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {261--264}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858738}, doi = {10.1109/ISCAS.2000.858738}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinIW00, author = {Liang Jin and Qin{-}Ye In and Wenjie Wang}, title = {Time-frequency signal subspace fitting method for direction-of-arrival estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {375--378}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856075}, doi = {10.1109/ISCAS.2000.856075}, timestamp = {Mon, 11 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinIW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinYJ00, author = {Liang Jin and Qinye Yin and Bo{-}Feng Jiang}, title = {Direction finding of wideband signals via spatial-temporal processing in wireless communications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {81--84}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856263}, doi = {10.1109/ISCAS.2000.856263}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JinYJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinYY00, author = {Liang Jin and Qin{-}Ye Yin and Min{-}Li Yao}, title = {Estimating spatial spectrum with generalized spectral-correlation signal subspace fitting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {577--580}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858817}, doi = {10.1109/ISCAS.2000.858817}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JinYY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinzenjiOWK00, author = {Kumi Jinzenji and Shigeki Okada and Hiroshi Watanabe and Naoki Kobayashi}, title = {Automatic two-layer video object plane generation scheme and its application to {MPEG-4} video coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {606--609}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856133}, doi = {10.1109/ISCAS.2000.856133}, timestamp = {Tue, 17 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JinzenjiOWK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Johansson00, author = {H{\aa}kan Johansson}, title = {New classes of frequency-response masking {FIR} filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {81--84}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856001}, doi = {10.1109/ISCAS.2000.856001}, timestamp = {Fri, 08 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Johansson00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JooBJ00, author = {Youngjoong Joo and Martin A. Brooke and Nan M. Jokerst}, title = {Compact current input oversampling modulator design for a scalable high frame rate focal plane arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {9--12}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857350}, doi = {10.1109/ISCAS.2000.857350}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JooBJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JoubertSP00, author = {Trudi{-}Heleen Joubert and Evert Seevinck and Monuko du Plessis}, title = {A {CMOS} reduced-area {SRAM} cell}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {335--338}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856065}, doi = {10.1109/ISCAS.2000.856065}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JoubertSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Juan-ChicoCBAV00, author = {Jorge Juan{-}Chico and Paulino Ruiz{-}de{-}Clavijo and Manuel J. Bellido and Antonio J. Acosta and Manuel Valencia}, title = {Inertial and degradation delay model for {CMOS} logic gates}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {459--462}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857130}, doi = {10.1109/ISCAS.2000.857130}, timestamp = {Mon, 11 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Juan-ChicoCBAV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuarezMM00, author = {Eduardo Ju{\'{a}}rez and Marco Mattavelli and Daniel Mlynek}, title = {A system-on-a-chip for {MPEG-4} multimedia stream processing and communication}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {690--693}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856154}, doi = {10.1109/ISCAS.2000.856154}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuarezMM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jui-ChengG00, author = {Jui{-}Cheng Yen and Jiun{-}In Guo}, title = {A new chaotic key-based design for image encryption and decryption}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {49--52}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858685}, doi = {10.1109/ISCAS.2000.858685}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Jui-ChengG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JulianDD00, author = {Pedro Juli{\'{a}}n and Bel{\'{e}}n D'Amico and Alfredo C. Desages}, title = {A model reduction procedure for high level canonical {PWL} functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {705--708}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858849}, doi = {10.1109/ISCAS.2000.858849}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JulianDD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungPS00, author = {Gunok Jung and Victoria Perepelitsa and Gerald E. Sobelman}, title = {Time borrowing in high-speed functional units using skew-tolerant domino circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {641--644}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857542}, doi = {10.1109/ISCAS.2000.857542}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KadimH00, author = {H. J. Kadim and D. M. Harvey}, title = {Estimation of parameter fluctuations for robust operation in analogue circuits and systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {741--744}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856435}, doi = {10.1109/ISCAS.2000.856435}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KadimH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KagarisT00, author = {Dimitri Kagaris and Spyros Tragoudas}, title = {Methods for on-chip embedding of path delay test vectors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {84--87}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857032}, doi = {10.1109/ISCAS.2000.857032}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KagarisT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KajikawaN00, author = {Yoshinobu Kajikawa and Yasuo Nomura}, title = {Active noise control system without secondary path model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {349--352}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858760}, doi = {10.1109/ISCAS.2000.858760}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KajikawaN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KajikawaYN00, author = {Yoskinobu Kajikawa and Junya Yabuki and Yasuo Nomura}, title = {Stable condition considering modeling error in the filtered-x {LMS} algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {642--645}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856142}, doi = {10.1109/ISCAS.2000.856142}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KajikawaYN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KajitaMT00, author = {Tetsuya Kajita and Un{-}Ku Moon and Gabor C. Temes}, title = {A noise-shaping accelerometer interface circuit for two-chip implementation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {337--340}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858757}, doi = {10.1109/ISCAS.2000.858757}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KajitaMT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KalayjianA00, author = {Zaven K. Kalayjian and Andreas G. Andreou}, title = {Mismatch in photodiode and phototransistor arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {121--124}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858703}, doi = {10.1109/ISCAS.2000.858703}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KalayjianA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KanMML00, author = {Toby Kwok{-}Kei Kan and Kin{-}Chung Mak and Dongsheng Ma and Howard C. Luong}, title = {A 2-V 900-MHz {CMOS} mixer for {GSM} receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {327--330}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857096}, doi = {10.1109/ISCAS.2000.857096}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KanMML00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KanekoNT00, author = {Mineo Kaneko and Yoshitaka Nishio and Satoshi Tayu}, title = {Exact and heuristic methods of assignment driven scheduling for data-path synthesis applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {57--60}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856257}, doi = {10.1109/ISCAS.2000.856257}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KanekoNT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangHKJ00, author = {Kyeongok Kang and Jin{-}Woo Hong and Jinwoong Kim and Dae Gwon Jeong}, title = {{MPEG-4} {HVXC} encoder realtime implementation on floating point {DSP}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {571--574}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856124}, doi = {10.1109/ISCAS.2000.856124}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangHKJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KantsilaLS00, author = {Arto Kantsila and Mikko Lehtokangas and Jukka Saarinen}, title = {On equalization with maximum covariance initialized cascade-correlation learning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {168--171}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857054}, doi = {10.1109/ISCAS.2000.857054}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KantsilaLS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaoC00, author = {Min{-}Chi Kao and Sau{-}Gee Chen}, title = {A novel iterative design technique for linear-phase {FIR} half-band filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {85--88}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856002}, doi = {10.1109/ISCAS.2000.856002}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaoC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaoW00, author = {Hong{-}Sing Kao and Chung{-}Yu Wu}, title = {A compact {CMOS} 2 {V} low-power direct-conversion quadrature modulator merged with quadrature voltage-controlled oscillator and {RF} amplifier for 1.9 GHz {RF} transmitter applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {765--768}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858864}, doi = {10.1109/ISCAS.2000.858864}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaoW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kappos00, author = {Efthimios Kappos}, title = {Compactified dynamics and peaking}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {244--247}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857073}, doi = {10.1109/ISCAS.2000.857073}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kappos00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KatkooriV00, author = {Srinivas Katkoori and Ranga Vemuri}, title = {Scheduling for low power under resource and latency constraints}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {53--56}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856256}, doi = {10.1109/ISCAS.2000.856256}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KatkooriV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KatkovnikEA00, author = {Vladimir Katkovnik and Karen O. Egiazarian and Jaakko Astola}, title = {Median filter with varying bandwidth adaptive to unknown smoothness of the signal}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {519--522}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856111}, doi = {10.1109/ISCAS.2000.856111}, timestamp = {Fri, 01 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KatkovnikEA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaufmannK00, author = {Nicolas Kauffmann and Agnieszka Konczykowska}, title = {Interconnect layout macromodelling and simulation in high speed circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {125--128}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856012}, doi = {10.1109/ISCAS.2000.856012}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KaufmannK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawataNU00, author = {Junji Kawata and Yoshifumi Nishio and Akio Ushida}, title = {On synchronization phenomena in chaotic systems coupled by transmission line}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {479--482}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856101}, doi = {10.1109/ISCAS.2000.856101}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawataNU00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kennedy00, author = {Michael Peter Kennedy}, title = {Determination of main system parameters of {FM-DCSK} telecommunications system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {429--432}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858780}, doi = {10.1109/ISCAS.2000.858780}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kennedy00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KerCWC00, author = {Ming{-}Dou Ker and Tung{-}Yang Chen and Chung{-}Yu Wu and Hun{-}Hsien Chang}, title = {Design and analysis of the on-chip {ESD} protection circuit with a constant input capacitance for high-precision analog applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {61--64}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857363}, doi = {10.1109/ISCAS.2000.857363}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KerCWC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KerLC00, author = {Ming{-}Dou Ker and Wen{-}Yu Lo and Hun{-}Hsien Chang}, title = {Mew diode string design with very low leakage current for using in power supply {ESD} clamp circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {69--72}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857365}, doi = {10.1109/ISCAS.2000.857365}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KerLC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KernBS00, author = {Albert Kern and Daniel A. Blank and Ruedi Stoop}, title = {Projective noise reduction with dynamic neighborhood selection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {129--132}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857380}, doi = {10.1109/ISCAS.2000.857380}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KernBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KesidisP00, author = {Anastasios L. Kesidis and Nikolaos Papamarkos}, title = {A gray-scale Inverse Hough Transform algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {297--300}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857423}, doi = {10.1109/ISCAS.2000.857423}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KesidisP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimJBK00, author = {Chulwoo Kim and Seong{-}Ook Jung and Kwang{-}Hyun Baek and Sung{-}Mo Kang}, title = {Parallel dynamic logic {(PDL)} with speed-enhanced skewed static {(SSS)} logic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {756--759}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857206}, doi = {10.1109/ISCAS.2000.857206}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimJBK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKCK00, author = {Dai Won Kim and Taek Won Kwon and Jun Rim Choi and Jun Jin Kong}, title = {A modified two-step SOVA-based turbo decoder with a fixed scaling factor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {37--40}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858682}, doi = {10.1109/ISCAS.2000.858682}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKCK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKH00, author = {Joung{-}Youn Kim and Lee{-}Sup Kim and Seung{-}Ho Hwang}, title = {An advanced contrast enhancement using partially overlapped sub-block histogram equalization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {537--540}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858807}, doi = {10.1109/ISCAS.2000.858807}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimL00, author = {Chang{-}Su Kim and Sang{-}Uk Lee}, title = {Multiple description motion coding algorithm for robust video transmission}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {717--720}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858852}, doi = {10.1109/ISCAS.2000.858852}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLL00, author = {Euiseok Kim and Jeong{-}Gun Lee and Dong{-}Ik Lee}, title = {Automatic distributed asynchronous control circuit generation from data flow graph for asynchronous high-level synthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {49--52}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856255}, doi = {10.1109/ISCAS.2000.856255}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSKKKA00, author = {Jin{-}Woo Kim and Munjae Song and Ig{-}Jae Kim and Yong{-}Moo Kwon and Hyoung{-}Gon Kim and Sang Chul Ahn}, title = {Automatic {FDP/FAP} generation from an image sequence}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {40--43}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857021}, doi = {10.1109/ISCAS.2000.857021}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSKKKA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimW00, author = {Dai I. Kim and Philippe De Wilde}, title = {Fast tracking conjugate gradient algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {509--512}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858800}, doi = {10.1109/ISCAS.2000.858800}, timestamp = {Thu, 26 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimYK00, author = {Nam{-}Keal Kim and Wang{-}Seup Yeum and Jae{-}Whui Kim}, title = {A 2.4 V, 12 mW stereo audio {D/A} converter with double sampling switching}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {447--450}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857127}, doi = {10.1109/ISCAS.2000.857127}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimYK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimYPAA00, author = {Yongsuk Kim and Jin{-}Young Yang and Min{-}Sik Park and Sang{-}Woo Ahn and Chieteuk Ahn}, title = {Interactive broadcast terminal system using {MPEG-2} and {MPEG-4}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {682--685}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856152}, doi = {10.1109/ISCAS.2000.856152}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimYPAA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimYYK00, author = {Young{-}Woo Kim and Young{-}Mo Yang and Jae{-}Tack Yoo and Soo{-}Won Kim}, title = {Low-power digital filtering using approximate processing with variable canonic signed digit coefficients}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {337--340}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856330}, doi = {10.1109/ISCAS.2000.856330}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimYYK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KissSMST00, author = {Peter Kiss and Jos{\'{e}} Silva and Un{-}Ku Moon and John T. Stonick and Gabor C. Temes}, title = {Improved adaptive digital compensation for cascaded {\(\Delta\)}{\(\Sigma\)} ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {33--36}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856251}, doi = {10.1109/ISCAS.2000.856251}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KissSMST00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KiyotaF00, author = {Koji Kiyota and Kunihiro Fujiyoshi}, title = {Simulated annealing search through general structure floorplans using sequence-pair}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {77--80}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856000}, doi = {10.1109/ISCAS.2000.856000}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KiyotaF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Klimovitch00, author = {Gleb V. Klimovitch}, title = {Near-carrier oscillator spectrum due to flicker and white noise}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {703--706}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857192}, doi = {10.1109/ISCAS.2000.857192}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Klimovitch00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Klouche-DjedidL00, author = {Abdesselam Klouche{-}Djedid and Stuart S. Lawson}, title = {A general design of mixed {IIR-FIR} two channel {QMF} bank}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {559--562}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857156}, doi = {10.1109/ISCAS.2000.857156}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Klouche-DjedidL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohdaF00, author = {Tohru Kohda and Hiroshi Fujisaki}, title = {The Jacobian elliptic Chebyshev rational maps can generate sequences of i.i.d. random variables}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {221--224}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857403}, doi = {10.1109/ISCAS.2000.857403}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohdaF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohdaFI00, author = {Tohru Kohda and Hiroshi Fujisaki and Shigeru Ideue}, title = {On distributions of correlation values of spreading sequences based on Markov information sources}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {225--228}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857404}, doi = {10.1109/ISCAS.2000.857404}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohdaFI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohirD00, author = {Vinayadatt V. Kohir and Uday B. Desai}, title = {Face recognition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {305--308}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857425}, doi = {10.1109/ISCAS.2000.857425}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohirD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolevP00, author = {Lubomir V. Kolev and Dimitar Penev}, title = {An interval method for global inequality-constraint optimization problems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {617--620}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858827}, doi = {10.1109/ISCAS.2000.858827}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KolevP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolinummiSHS00, author = {Pasi Kolinummi and Juha Sarkijarvi and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and Jukka Saarinen}, title = {Scalable implementation of {H.263} video encoder on a parallel {DSP} system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {551--554}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857154}, doi = {10.1109/ISCAS.2000.857154}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolinummiSHS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolumbanFK00, author = {G{\'{e}}za Kolumb{\'{a}}n and Bela A. Frigyik and Michael Peter Kennedy}, title = {Frequency domain analysis of double sampling phase-locked loop}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {273--276}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857417}, doi = {10.1109/ISCAS.2000.857417}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolumbanFK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolumbanK00, author = {G{\'{e}}za Kolumb{\'{a}}n and G{\'{a}}bor Kis}, title = {Multipath performance of {FM-DCSK} chaotic communications system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {433--436}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858781}, doi = {10.1109/ISCAS.2000.858781}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolumbanK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KomatsuTS00, author = {Fumitaka Komatsu and Hiroyuki Torikai and Toshimichi Saito}, title = {A network of relaxation oscillators based on intermittently coupled capacitors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {487--490}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856103}, doi = {10.1109/ISCAS.2000.856103}, timestamp = {Thu, 29 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KomatsuTS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KompatsiarisMS00, author = {Ioannis Kompatsiaris and George Mantzaras and Michael G. Strintzis}, title = {Spatiotemporal segmentation and tracking of objects in color image sequences}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {29--32}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857355}, doi = {10.1109/ISCAS.2000.857355}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KompatsiarisMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KongJ00, author = {Bai{-}Sun Kong and Young{-}Hyun Jun}, title = {Data-dependent evaluating latched {CMOS} differential logic family for statistical power reduction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {760--763}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857207}, doi = {10.1109/ISCAS.2000.857207}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KongJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KosunenVH00, author = {Marko Kosunen and Jouko Vankka and Kari Halonen}, title = {A multicarrier {QAM} modulator for {WCDMA} basestation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {105--108}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856007}, doi = {10.1109/ISCAS.2000.856007}, timestamp = {Fri, 16 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KosunenVH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KousakaKUKA00, author = {Takuji Kousaka and Takashi Kido and Tetsushi Ueta and Hiroshi Kawakami and Minoru Abe}, title = {Analysis of border-collision bifurcation in a simple circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {481--484}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856370}, doi = {10.1109/ISCAS.2000.856370}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KousakaKUKA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Koutsoyannopoulos00, author = {Yorgos Koutsoyannopoulos and Yannis Papananos and Sotiris Bantas and Carlo Alemanni}, title = {Performance limits of planar and multi-layer integrated inductors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {160--163}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856283}, doi = {10.1109/ISCAS.2000.856283}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Koutsoyannopoulos00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kovacs-VajnaSS00, author = {Zsolt Mikl{\'{o}}s Kov{\'{a}}cs{-}Vajna and Emilio Sardini and Nicol{\`{o}} Speciale}, title = {Chaotic behavior of 741 opamps subjected to {EMI} conveyed to power supply rails}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {727--730}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857198}, doi = {10.1109/ISCAS.2000.857198}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kovacs-VajnaSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KucicLH00, author = {Matt Kucic and Ai Chen Low and Paul E. Hasler}, title = {A programmable continuous-time analog Fourier processor based on floating-gate devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {351--354}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856069}, doi = {10.1109/ISCAS.2000.856069}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KucicLH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kukk00, author = {Vello Kukk}, title = {Large time-step integration method for modeling of oscillating circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {125--128}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857379}, doi = {10.1109/ISCAS.2000.857379}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kukk00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kummert00, author = {Anton Kummert}, title = {Parametric model for 2D real scattering Schur polynomials}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {212--215}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856296}, doi = {10.1109/ISCAS.2000.856296}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kummert00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KunzTW00, author = {Ronald Kunz and Ronald Tetzlaff and Dietrich Wolf}, title = {Brain electrical activity in epilepsy: characterization of the spatio-temporal dynamics with cellular neural networks based on a correlation dimension analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {389--392}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856343}, doi = {10.1109/ISCAS.2000.856343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KunzTW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoCW00, author = {Chin{-}Hwa Kuo and Tzu{-}Chuan Chou and Tay{-}Shen Wang}, title = {An efficient spatial prediction-based image compression scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {33--36}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855989}, doi = {10.1109/ISCAS.2000.855989}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoCW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoK00, author = {Fu{-}Yen Kuo and Chung{-}Wei Ku}, title = {Software radio based re-configurable correlator/FIR filter for {CDMA/TDMA} receiver}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {112--115}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857039}, doi = {10.1109/ISCAS.2000.857039}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoW00, author = {Chin{-}Hwa Kuo and Tay{-}Shen Wang}, title = {Design of networked visual monitoring systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {297--300}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858747}, doi = {10.1109/ISCAS.2000.858747}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwokM00, author = {Daniel S. C. Kwok and Martin Margala}, title = {Optimization techniques for maximum power-efficiency of deep sub-micron {CMOS} digital circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {637--640}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856409}, doi = {10.1109/ISCAS.2000.856409}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwokM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonPI00, author = {Young{-}Hyun Kwon and Dong{-}Jo Park and Bin{-}Chul Ihm}, title = {Simplified pitch detection algorithm of mixed speech signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {722--725}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856162}, doi = {10.1109/ISCAS.2000.856162}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwonPI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KyranasP00, author = {Aristides Kyranas and Yannis Papananos}, title = {A 5 GHz fully integrated {VCO} in a SiGe bipolar technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {193--196}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857396}, doi = {10.1109/ISCAS.2000.857396}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KyranasP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LafruitNDB00, author = {Gauthier Lafruit and Lode Nachtergaele and Kristof Denolf and Jan Bormans}, title = {3D computational graceful degradation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {547--550}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856118}, doi = {10.1109/ISCAS.2000.856118}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LafruitNDB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiC00, author = {Yeong{-}Kang Lai and Kuo{-}Chen Chen}, title = {A novel {VLSI} architecture for Lempel-Ziv based data compression}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {617--620}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857523}, doi = {10.1109/ISCAS.2000.857523}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiF00, author = {George C. Lai and Rui J. P. de Figueiredo}, title = {A novel algorithm for edge detection from direction-derived statistics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {37--40}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857357}, doi = {10.1109/ISCAS.2000.857357}, timestamp = {Fri, 14 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LaiF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaihoPH00, author = {Mika Laiho and Ari Paasio and Kari Halonen}, title = {Building blocks for large annealed compact neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {415--418}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856085}, doi = {10.1109/ISCAS.2000.856085}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LaihoPH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LampinenV00, author = {Harri Lampinen and Olli Vainio}, title = {Design and implementation of application specific sigma-delta {A/D} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {605--608}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857513}, doi = {10.1109/ISCAS.2000.857513}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LampinenV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LanG00, author = {Mao{-}Feng Lan and Randall L. Geiger}, title = {Gradient sensitivity reduction in current mirrors with non-rectangular layout structures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {687--690}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857188}, doi = {10.1109/ISCAS.2000.857188}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LanG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LandeMB00, author = {Tor Sverre Lande and Jan{-}Tore Marienborg and Yngvar Berg}, title = {Neuromorphic cochlea implants}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {401--404}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858773}, doi = {10.1109/ISCAS.2000.858773}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LandeMB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LandoneS00, author = {Christian Landone and Mark B. Sandler}, title = {Applications of binaural processing to surround sound reproduction in large spaces}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {217--220}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856035}, doi = {10.1109/ISCAS.2000.856035}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LandoneS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaresR00, author = {Roland Lares and Albrecht Rothermel}, title = {Sync signal processing for asynchronously sampled video signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {575--578}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856125}, doi = {10.1109/ISCAS.2000.856125}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LaresR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LauL00, author = {Buon Kiong Lau and Yee Hong Leung}, title = {A Dolph-Chebyshev approach to the synthesis of array patterns for uniform circular arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {124--127}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857042}, doi = {10.1109/ISCAS.2000.857042}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LauL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LauS00, author = {Kwok Kit Lau and Bertram E. Shi}, title = {A 1-D local image velocity sensor using Gabor filtering}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {423--426}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857121}, doi = {10.1109/ISCAS.2000.857121}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LauS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LawA00, author = {Waisiu Law and Martin A. Afromowitz}, title = {Noise-tolerant switched-capacitor time-delay measurement system for micro-fluidic flow sensing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {323--326}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856062}, doi = {10.1109/ISCAS.2000.856062}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LawA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LazaroSP00, author = {Marcelino L{\'{a}}zaro and Ignacio Santamar{\'{\i}}a and Carlos Pantale{\'{o}}n}, title = {A smooth and derivable large-signal model for microwave {HEMT} transistors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {713--716}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858851}, doi = {10.1109/ISCAS.2000.858851}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LazaroSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeG00, author = {Thuyen Le and Manfred Glesner}, title = {An efficient filter bank architecture for the cross-term reduced processing of discrete time-frequency distributions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {519--522}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857146}, doi = {10.1109/ISCAS.2000.857146}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeG00a, author = {Thuyen Le and Manfred Glesner}, title = {Rotating stall analysis using signal-adapted filter bank and Cohen's time-frequency distributions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {603--606}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857167}, doi = {10.1109/ISCAS.2000.857167}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeG00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeG00b, author = {Thuyen Le and Manfred Glesner}, title = {Configurable VLSI-architectures for both standard {DCT} and shape-adaptive {DCT} in future {MPEG-4} circuit implementations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {461--464}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856364}, doi = {10.1109/ISCAS.2000.856364}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeG00b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LecouveJKBM00, author = {Marc Lecouve and Pierre Jarry and Eric Kerherve and Nicolas Boutheiller and Fran{\c{c}}ois Marc}, title = {Genetic algorithm optimisation for evanescent mode waveguide filter design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {411--414}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856084}, doi = {10.1109/ISCAS.2000.856084}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LecouveJKBM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeC00, author = {Jaewook Lee and Hsiao{-}Dong Chiang}, title = {Stability regions of non-hyperbolic dynamical systems: theory and optimal estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {200--203}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856293}, doi = {10.1109/ISCAS.2000.856293}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeC00a, author = {Jaewook Lee and Hsiao{-}Dong Chiang}, title = {Convergent regions of Newton homotopy methods for nonlinear systems: theory and computational applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {177--180}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858717}, doi = {10.1109/ISCAS.2000.858717}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeC00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeC00b, author = {Jaewook Lee and Hsiao{-}Dong Chiang}, title = {Constructive homotopy methods for finding all or multiple {DC} operating points of nonlinear circuits and systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {525--528}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858804}, doi = {10.1109/ISCAS.2000.858804}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeC00b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHHLKJY00, author = {Se{-}Joong Lee and Jinho Han and Seung{-}Ho Hank and Joe{-}Ho Lee and Jung{-}Su Kim and Minkyu Je and Hoi{-}Jun Yoo}, title = {One chip-low power digital-TCXO with sub-ppm accuracy}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {17--20}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855984}, doi = {10.1109/ISCAS.2000.855984}, timestamp = {Sat, 20 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeHHLKJY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeL00, author = {Tsung{-}Sum Lee and Chun{-}Chieh Liu}, title = {Design techniques for low-voltage {VHF} BiCMOS transconductance-filters with automatic tuning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {601--604}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856400}, doi = {10.1109/ISCAS.2000.856400}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLLK00, author = {Jun{-}Woo Lee and Jae{-}Shin Lee and Gun{-}Sang Lee and Suki Kim}, title = {A 2 {W} {BTL} single-chip class-D power amplifier with very high efficiency for audio applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {493--496}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857479}, doi = {10.1109/ISCAS.2000.857479}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLLK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeMK00, author = {Hoi Lee and Philip K. T. Mok and Wing{-}Hung Ki}, title = {A novel voltage-control scheme for low-voltage {DC-DC} converters with fast transient recovery}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {256--259}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857076}, doi = {10.1109/ISCAS.2000.857076}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeMK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYS00, author = {Hanho Lee and Meng{-}Lin Yu and Leilei Song}, title = {{VLSI} design of Reed-Solomon decoder architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {705--708}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857589}, doi = {10.1109/ISCAS.2000.857589}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeYS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Leelavattananon00, author = {Kritsapon Leelavattananon and Christofer Toumazou and John B. Hughes}, title = {Linearity enhancement techniques for MOSFET-only {SC} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {453--456}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857469}, doi = {10.1109/ISCAS.2000.857469}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Leelavattananon00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Leenaerts00, author = {Domine M. W. Leenaerts}, title = {On explicit solutions of nonlinear dynamic systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {240--243}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857072}, doi = {10.1109/ISCAS.2000.857072}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Leenaerts00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehneSM00, author = {Mark Lehne and John T. Stonick and Un{-}Ku Moon}, title = {An adaptive offset cancellation mixer for direct conversion receivers in 2.4 GHz {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {319--322}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857094}, doi = {10.1109/ISCAS.2000.857094}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehneSM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehnerWSEH00, author = {Andreas Lehner and Robert Weigel and Dieter Sewald and Herbert Eichfeld and Ali Hajimiri}, title = {Design of a novel low-power 4th-order 1.7 GHz {CMOS} frequency synthesizer for {DCS-1800}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {637--640}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857540}, doi = {10.1109/ISCAS.2000.857540}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LehnerWSEH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehtorantaHS00, author = {Olli Lehtoranta and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and Jukka Saarinen}, title = {Real-time {H.263} encoding of QCIF-images on {TMS320C6201} fixed point {DSP}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {583--586}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857162}, doi = {10.1109/ISCAS.2000.857162}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehtorantaHS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeonJ00, author = {Giadira Leon and William K. Jenkins}, title = {Adaptive fault tolerant digital filters with single and multiple bit errors in floating-point arithmetic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {630--633}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856139}, doi = {10.1109/ISCAS.2000.856139}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeonJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeuW00, author = {Jye{-}Jong Leu and An{-}Yeu Wu}, title = {Design methodology for Booth-encoded Montgomery module design for {RSA} cryptosystem}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {357--360}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857438}, doi = {10.1109/ISCAS.2000.857438}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeuW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeuciucM00, author = {Adrian L. Leuciuc and Cristian Mitrea}, title = {On the effect of op-amp finite gain in delta-sigma modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {754--757}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856170}, doi = {10.1109/ISCAS.2000.856170}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeuciucM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungMKS00, author = {Ka Nang Leung and Philip K. T. Mok and Wing{-}Hung Ki and Johnny K. O. Sin}, title = {Analysis on an alternative structure of damping-factor-control frequency compensation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {545--548}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856386}, doi = {10.1109/ISCAS.2000.856386}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungMKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LevantinoZBS00, author = {Salvatore Levantino and Alfio Zanchi and Andrea Bonfanti and Carlo Samori}, title = {Fast simulation techniques for phase noise analysis of oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {156--159}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856282}, doi = {10.1109/ISCAS.2000.856282}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LevantinoZBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LevyP00, author = {Gary B. Levy and Alessandro Piovaccari}, title = {A {CMOS} low-power, high-speed, asynchronous comparator for synchronous rectification applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {541--544}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856385}, doi = {10.1109/ISCAS.2000.856385}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LevyP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Li00, author = {Weiping Li}, title = {Video coding for Internet and push-pull communication}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {29--32}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858680}, doi = {10.1109/ISCAS.2000.858680}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Li00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiBJ00, author = {Ying Li and Bendu Bai and Licheng Jiao}, title = {An adaptive neurofuzzy network for identification of the complicated nonlinear system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {164--167}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857053}, doi = {10.1109/ISCAS.2000.857053}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiBJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLC00, author = {Weiping Li and Fan Ling and Xuemin Chen}, title = {Fine granularity scalability in {MPEG-4} for streaming video}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {299--302}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857089}, doi = {10.1109/ISCAS.2000.857089}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiS00, author = {Shipeng Li and Iraj Sodagar}, title = {Generic, scalable and efficient shape coding for visual texture objects in {MPEG-4}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {303--306}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857090}, doi = {10.1109/ISCAS.2000.857090}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiSMCTHL00, author = {Chung{-}Sheng Li and John R. Smith and Rakesh Mohan and Yuan{-}Chi Chang and Brad Topol and John Hind and Yongcheng Li}, title = {Distributed application service for Internet information portal}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {289--292}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858745}, doi = {10.1109/ISCAS.2000.858745}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiSMCTHL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZ00, author = {S. Henry Li and Charles A. Zukowski}, title = {Application of dynamic power supply scaling in a low-energy {ATM} interface}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {745--748}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857609}, doi = {10.1109/ISCAS.2000.857609}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangYLJ00, author = {Bor{-}Sung Liang and Wen{-}Chang Yeh and Yuan{-}Chung Lee and Chein{-}Wei Jen}, title = {Deferred lighting: a computation-efficient approach for real-time 3-D graphics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {657--660}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858837}, doi = {10.1109/ISCAS.2000.858837}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangYLJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LieLWW00, author = {Wen{-}Nung Lie and Guo{-}Shiang Lin and Chih{-}Liang Wu and Ta{-}Chun Wang}, title = {Robust image watermarking on the {DCT} domain}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {228--231}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857069}, doi = {10.1109/ISCAS.2000.857069}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LieLWW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimY00, author = {Yong Ching Lim and Ya Jun Yu}, title = {A successive reoptimization approach for the design of discrete coefficient perfect reconstruction lattice filter bank}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {69--72}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856260}, doi = {10.1109/ISCAS.2000.856260}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimaBRBR00, author = {Fernanda Gusm{\~{a}}o de Lima and Marcelo Barcelos and Juergen Rochol and Sergio Bampi and Ricardo Reis}, title = {A frame stream controller {IP}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {721--724}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857601}, doi = {10.1109/ISCAS.2000.857601}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimaBRBR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimaD00, author = {Jader A. De Lima and Carlos Dualibe}, title = {On designing linearly-tunable ultra-low voltage {CMOS} g\({}_{\mbox{m}}\)-C filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {140--143}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856278}, doi = {10.1109/ISCAS.2000.856278}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimaD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimaSCAV00, author = {Jader A. De Lima and Sidnei F. Silva and Adriano S. Cordeiro and Alexandro C. Araujo and Michel Verleysen}, title = {A low-power silicon-on-insulator {PWM} discriminator for biomedical applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {277--280}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857418}, doi = {10.1109/ISCAS.2000.857418}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimaSCAV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lin00, author = {David W. Lin}, title = {On optimal bit loading for multitone {ADSL}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {597--600}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858822}, doi = {10.1109/ISCAS.2000.858822}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lin00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHW00, author = {Hongchin Lin and Jie{-}Hau Huang and Shyh{-}Chyi Wong}, title = {A simple high-speed low current comparator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {713--716}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856428}, doi = {10.1109/ISCAS.2000.856428}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinL00, author = {Chieh Lin and Domine M. W. Leenaerts}, title = {A new faster sequence pair algorithm [circuit layout]}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {407--410}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856083}, doi = {10.1109/ISCAS.2000.856083}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LinL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinP00, author = {Ting Yu K. Lin and Alison J. Payne}, title = {Design of a low-voltage, low-power, wide-tuning integrated oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {629--632}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857534}, doi = {10.1109/ISCAS.2000.857534}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinTC00, author = {Chia{-}Wen Lin and Te{-}Jen{-}Liou and Yung{-}Chang Chen}, title = {Dynamic rate control in multipoint video transcoding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {17--20}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856247}, doi = {10.1109/ISCAS.2000.856247}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinTC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinW00, author = {Chia{-}Huang Lin and Kuei{-}Ann Wen}, title = {Spatial/temporal decimation on 3DPAC for very low bit rate video transmission}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {725--728}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858854}, doi = {10.1109/ISCAS.2000.858854}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinX00, author = {Guo{-}Hui Lin and Guoliang Xue}, title = {A linear time algorithm for computing hexagonal Steiner minimum trees for terminals on the boundary of a regular hexagon}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {196--199}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857061}, doi = {10.1109/ISCAS.2000.857061}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinX00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinX00a, author = {Guo{-}Hui Lin and Guoliang Xue}, title = {Optimal layout of hexagonal minimum spanning trees in linear time {[VLSI]}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {633--636}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858831}, doi = {10.1109/ISCAS.2000.858831}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinX00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinY00, author = {Zhiping Lin and Jiang Qian Ying}, title = {On nD polynomial matrix factorizations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {757--760}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857612}, doi = {10.1109/ISCAS.2000.857612}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinanFRED00, author = {Gustavo Li{\~{n}}{\'{a}}n and P{\'{e}}ter F{\"{o}}ldesy and A. Rodrignez{-}Vazquez and Servando Espejo and Rafael Dom{\'{\i}}nguez{-}Castro}, title = {Implementation of non-linear templates using a decomposition technique by a 0.5 {\(\mu\)}m {CMOS} {CNN} universal chip}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {401--404}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856349}, doi = {10.1109/ISCAS.2000.856349}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinanFRED00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LindforsH00, author = {Saska Lindfors and Kari Halonen}, title = {Two-step quantization architectures for multibit {\(\Delta\)}{\(\Sigma\)}-modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {25--28}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856249}, doi = {10.1109/ISCAS.2000.856249}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LindforsH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiouC00, author = {Ming{-}Luen Liou and Tzi{-}Dar Chiueh}, title = {A parametric module design framework and its application to gate-level datapath/DSP module synthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {41--44}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856253}, doi = {10.1109/ISCAS.2000.856253}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiouC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCJLJ00, author = {Chien{-}Nan Jimmy Liu and Chen{-}Yi Chang and Jing{-}Yang Jou and Ming{-}Chih Lai and Hsing{-}Ming Juan}, title = {A novel approach for functional coverage measurement in {HDL}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {217--220}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858727}, doi = {10.1109/ISCAS.2000.858727}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCJLJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLW00, author = {En{-}Cheng Liu and Tu{-}Hsing Lin and Ting{-}Chi Wang}, title = {On accelerating slicing floorplan design with boundary constraints}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {399--402}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856081}, doi = {10.1109/ISCAS.2000.856081}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuM00, author = {Xiaomei Liu and Samiha Mourad}, title = {Performance of submicron {CMOS} devices and gates with substrate biasing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {9--12}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858675}, doi = {10.1109/ISCAS.2000.858675}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSHC00, author = {Wei{-}Jean Liu and Robin R.{-}B. Sheen and Jen{-}Shang Hwang and Oscal T.{-}C. Chen}, title = {A low-power and high-frequency {CMOS} transceiver for chip-to-chip interconnection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855980}, doi = {10.1109/ISCAS.2000.855980}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuSHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuW00, author = {Der{-}Zheng Liu and Che{-}Ho Wei}, title = {Asynchronous symbol timing recovery with adaptive interpolation filter for high data-rate digital mobile radio}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {88}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856264}, doi = {10.1109/ISCAS.2000.856264}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LobosKL00, author = {Tadeusz Lobos and Tomasz Kozina and Zbigniew Leonowicz}, title = {High resolution spectrum estimation methods for signal analysis in power electronics and systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {553--556}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856388}, doi = {10.1109/ISCAS.2000.856388}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LobosKL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lopez-AhumadaR00, author = {Rafael L{\'{o}}pez{-}Ahumada and Rafael Rodr{\'{\i}}guez{-}Mac{\'{\i}}as}, title = {Fastest: a tool for a complete and efficient statistical evaluation of analog circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {113--116}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858701}, doi = {10.1109/ISCAS.2000.858701}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lopez-AhumadaR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lopez-AlcantudK00, author = {Jos{\'{e}}{-}Alejandro L{\'{o}}pez Alcantud and Tom Kazmierski}, title = {{VHDL-AMS} modeling of self-organizing neural systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {734--737}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856165}, doi = {10.1109/ISCAS.2000.856165}, timestamp = {Fri, 18 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lopez-AlcantudK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lopez-MartinC00, author = {Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alfonso Carlosena}, title = {A 3.3 {V} tunable current-mode square-root domain biquad}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {5--8}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857349}, doi = {10.1109/ISCAS.2000.857349}, timestamp = {Fri, 03 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lopez-MartinC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lopez-MartinC00a, author = {Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alfonso Carlosena}, title = {A tunable {CMOS} square-root domain oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {573--576}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857499}, doi = {10.1109/ISCAS.2000.857499}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lopez-MartinC00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopezVC00, author = {Paula L{\'{o}}pez and David L{\'{o}}pez Vilari{\~{n}}o and Diego Cabello}, title = {Design of multilayer discrete time cellular neural networks for image processing tasks based on genetic algorithms}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {133--136}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858706}, doi = {10.1109/ISCAS.2000.858706}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LopezVC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoulouDM00, author = {Mourad Loulou and Dominique Dallet and Philippe Marchegay}, title = {A 3.3 {V} switched-current second order sigma-delta modulator for audio applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {409--412}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858775}, doi = {10.1109/ISCAS.2000.858775}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoulouDM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LowenborgJW00, author = {Per L{\"{o}}wenborg and H{\aa}kan Johansson and Lars Wanhammar}, title = {A class of two-channel approximately perfect reconstruction hybrid analog/digital filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {579--582}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857161}, doi = {10.1109/ISCAS.2000.857161}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LowenborgJW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lu00, author = {Wu{-}Sheng Lu}, title = {Design of stable minimax {IIR} digital filters using semidefinite programming}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {355--358}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857103}, doi = {10.1109/ISCAS.2000.857103}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lu00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lu00a, author = {Wu{-}Sheng Lu}, title = {Design of stable 2D {IIR} digital filters using iterative semidefinite programming}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {395--398}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857113}, doi = {10.1109/ISCAS.2000.857113}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lu00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuHT00, author = {Hung{-}Ching Lu and Ta{-}Hsiung Hung and Cheng{-}Hung Tsai}, title = {Sensorless vector control of induction motor using artificial neural network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {489--492}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856372}, doi = {10.1109/ISCAS.2000.856372}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuHT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuS00, author = {Ziyi Lu and Bertram E. Shi}, title = {Binocular visual feedback with {CNN} sensors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {397--400}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856347}, doi = {10.1109/ISCAS.2000.856347}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuSW00, author = {Shyue{-}Kung Lu and Jen{-}Sheng Shih and Cheng{-}Wen Wu}, title = {Built-in self-test and fault diagnosis for lookup table FPGAs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {80--83}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857031}, doi = {10.1109/ISCAS.2000.857031}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuSW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuT00, author = {Hung{-}Ching Lu and Shian{-}Tang Tzeng}, title = {Genetic algorithm approach for designing arbitrary {FIR} log filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {333--336}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856329}, doi = {10.1109/ISCAS.2000.856329}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuhCD00, author = {Louis Luh and John Choma Jr. and Jeffrey Draper}, title = {A Zener-diode-activated {ESD} protection circuit for sub-micron {CMOS} processes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {65--68}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857364}, doi = {10.1109/ISCAS.2000.857364}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuhCD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuhCD00a, author = {Louis Luh and John Choma Jr. and Jeffrey Draper}, title = {Performance optimization for high-order continuous-time {\(\Sigma\)}{\(\Delta\)} modulators with extra loop delay}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {669--672}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857563}, doi = {10.1109/ISCAS.2000.857563}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuhCD00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LukinS00, author = {Vladimir V. Lukin and Tapio Antero Saram{\"{a}}ki}, title = {Design and properties of step-like weighting windows}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {108--111}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857038}, doi = {10.1109/ISCAS.2000.857038}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LukinS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoL00, author = {Zhen Luo and Ruby B. Lee}, title = {Cost-effective multiplication with enhanced adders for multimedia applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {651--654}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857179}, doi = {10.1109/ISCAS.2000.857179}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MacEachernM00, author = {Leonard A. MacEachern and Tajinder Manku}, title = {Metal-over-gate {MOSFET} modeling for radio frequency {IC} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {164--167}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856284}, doi = {10.1109/ISCAS.2000.856284}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MacEachernM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MachulHWH00, author = {Olaf Machul and Dirk Hammerschmidt and Dirk Weiler and Bedrich J. Hosticka}, title = {Nonlinear function generation using oversampled {\(\Sigma\)}{\(\Delta\)}-modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {611--614}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857169}, doi = {10.1109/ISCAS.2000.857169}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MachulHWH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadhukumarC00, author = {A. S. Madhukumar and Francois P. S. Chin}, title = {Code synchronization and path delay estimation for a {CDMA} system using long code masking}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {117--120}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856010}, doi = {10.1109/ISCAS.2000.856010}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MadhukumarC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadrenasACMPC00, author = {Jordi Madrenas and Eduard Alarc{\'{o}}n and Jordi Cosp and Juan Manuel Moreno and Alberto Poveda and Joan Cabestany}, title = {Mixed-signal {VLSI} for neural and fuzzy sequential processors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {389--392}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857448}, doi = {10.1109/ISCAS.2000.857448}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MadrenasACMPC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MagotraV00, author = {Neeraj Magotra and Juan G. Vargas{-}Rubio}, title = {Comparison of two open-loop adaptive speech enhancement algorithms for digital hearing aids}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {245--248}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857409}, doi = {10.1109/ISCAS.2000.857409}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MagotraV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MahapatraGT00, author = {Nihar R. Mahapatra and Sriram V. Garimella and Alwin Takeen}, title = {Efficient techniques based on gate triggering for designing static {CMOS} ICs with very low glitch power dissipation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {537--540}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856384}, doi = {10.1109/ISCAS.2000.856384}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MahapatraGT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MahmudiBS00, author = {Ali Mahmudi and Mohammed Benaissa and Peter Sweeney}, title = {The implementation of generalized minimum distance decoding for Reed Solomon codes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {53--56}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858686}, doi = {10.1109/ISCAS.2000.858686}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MahmudiBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaiaRN00, author = {Ranniery da S. Maia and Fernando Gi V. Resende and Sergio L. Netto}, title = {Subband stationarity analysis of speech signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {714--717}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856160}, doi = {10.1109/ISCAS.2000.856160}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaiaRN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakCCC00, author = {Wing{-}Sum Mak and Cheong{-}Fat Chan and Ka{-}Wai Cheung and Chiu{-}Sing Choy}, title = {An 8{\texttimes}8 adiabatic quasi-static {CMOS} multiplier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {553--556}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857494}, doi = {10.1109/ISCAS.2000.857494}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakCCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakhlinSS00, author = {Yuriy Makhlin and Gerd Sch{\"{o}}n and Alexander Shnirman}, title = {Nano-electronic circuits as quantum bits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {241--244}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856306}, doi = {10.1109/ISCAS.2000.856306}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakhlinSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakrisCOV00, author = {Yiorgos Makris and Jamison Collins and Alex Orailoglu and Praveen Vishakantaiah}, title = {Transparency-based hierarchical test generation for modular {RTL} designs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {689--692}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856422}, doi = {10.1109/ISCAS.2000.856422}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakrisCOV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaoCH00, author = {Jinsong Mao and Shing{-}Chow Chan and Ka{-}Leung Ho}, title = {Design of two-channel {PR} {FIR} filter banks with low system delay}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {627--630}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857173}, doi = {10.1109/ISCAS.2000.857173}, timestamp = {Thu, 29 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaoCH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaratosV00, author = {Nicholas G. Maratos and Costas D. Vournas}, title = {Relationships between static bifurcations and constrained optima}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {477--480}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856369}, doi = {10.1109/ISCAS.2000.856369}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaratosV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarcoTF00, author = {Mauro Di Marco and Alberto Tesi and Mauro Forti}, title = {On robustness of complete stability for a class of cellular neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {141--144}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858708}, doi = {10.1109/ISCAS.2000.858708}, timestamp = {Fri, 02 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarcoTF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarculescuA00, author = {Radu Marculescu and Cristinel Ababei}, title = {Improving simulation efficiency for circuit-level power estimation {[CMOS]}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {471--474}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857134}, doi = {10.1109/ISCAS.2000.857134}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarculescuA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarinRF00, author = {Sergio L. Toral Mar{\'{\i}}n and Jos{\'{e}} Manuel Quero Reboul and Leopoldo Garc{\'{\i}}a Franquelo}, title = {Stochastic pulse coded arithmetic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {599--602}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857166}, doi = {10.1109/ISCAS.2000.857166}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarinRF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarinoGA00, author = {Francescomaria Marino and David Z. Gevorkian and Jaakko T. Astola}, title = {High-speed/low-power 1-D {DWT} architectures with high efficiency}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {337--340}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857433}, doi = {10.1109/ISCAS.2000.857433}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarinoGA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarongiuC00, author = {Alessandro Marongiu and Valerio Cimagalli}, title = {Exact realization of large DT-CNNs on limited-sized {CNN} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {423--426}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856087}, doi = {10.1109/ISCAS.2000.856087}, timestamp = {Tue, 08 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarongiuC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Martinez-PeiroW00, author = {Marcos Mart{\'{\i}}nez{-}Peir{\'{o}} and Lars Wanhammar}, title = {High-speed, low-complexity fir filter using multiplier block reduction and polyphase decomposition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {367--370}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856073}, doi = {10.1109/ISCAS.2000.856073}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Martinez-PeiroW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Matei00, author = {Radu P. Matei}, title = {Haar filtering with pyramidal resistive networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {575--578}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857160}, doi = {10.1109/ISCAS.2000.857160}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Matei00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Matei00a, author = {Radu P. Matei}, title = {Image processing using hysteretic cellular neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {129--132}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858705}, doi = {10.1109/ISCAS.2000.858705}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Matei00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MathisJM00, author = {Heinz Mathis and Marcel Joho and George S. Moschytz}, title = {A simple threshold nonlinearity for blind separation of sub-Gaussian signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {489--492}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858795}, doi = {10.1109/ISCAS.2000.858795}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MathisJM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsudaSKOYFM00, author = {Yoshitaka Matsuda and Yasuaki Sumi and Dai Kataoka and Makoto Ota and Noboru Yabuki and Yutaka Fukui and Shigehiko Miki}, title = {Proposal for a convergence criterion to the active net in two steps}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {313--316}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857427}, doi = {10.1109/ISCAS.2000.857427}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsudaSKOYFM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsuyamaTT00, author = {Makoto Matsuyama and Yuichi Tanji and Mamoru Tanaka}, title = {Enhancing the ability of {NAS-RIF} algorithm for blind image deconvolution}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {553--556}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858811}, doi = {10.1109/ISCAS.2000.858811}, timestamp = {Sat, 16 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MatsuyamaTT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaundyFA00, author = {Brent J. Maundy and Ivars G. Finvers and Peter B. Aronhime}, title = {Cross coupled transconductance cell with improved linearity range}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {157--160}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857387}, doi = {10.1109/ISCAS.2000.857387}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaundyFA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaximA00, author = {Adrian Maxim and Danielle Andreu}, title = {A unified high accuracy behavioral {SPICE} macromodel of operational amplifiers featuring the frequency, temperature and power supply influences and the Monte Carlo simulation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {697--700}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858847}, doi = {10.1109/ISCAS.2000.858847}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaximA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MayerDG00, author = {Ulrich Mayer and J{\"{u}}rgen Deicke and Manfred Glesner}, title = {Statistical modelling of the {MPEG-4} FlexMux}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {594--597}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856130}, doi = {10.1109/ISCAS.2000.856130}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MayerDG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MazziniRS00, author = {Gianluca Mazzini and Riccardo Rovatti and Gianluca Setti}, title = {Non-average performance of chaos-based {DS-CDMA:} driving optimization towards exploitable maps}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {723--726}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857197}, doi = {10.1109/ISCAS.2000.857197}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MazziniRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/McLarenM00, author = {Angus McLaren and Kenneth W. Martin}, title = {Generation of accurate on-chip time-constants using a monolithic {CMOS} {PLL} with hybrid analog and digital control}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {257--260}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857413}, doi = {10.1109/ISCAS.2000.857413}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/McLarenM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Medrano-Marques00, author = {Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s and Bonifacio Mart{\'{\i}}n{-}del{-}Br{\'{\i}}o}, title = {A general method for sensor linearization based on neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {497--500}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856374}, doi = {10.1109/ISCAS.2000.856374}, timestamp = {Mon, 26 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Medrano-Marques00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeerSR00, author = {Paul R. van der Meer and Arie van Staveren and Arthur H. M. van Roermund}, title = {Ultra-low standby-currents for deep sub-micron {VLSI} {CMOS} circuits: smart series switch}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858673}, doi = {10.1109/ISCAS.2000.858673}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MeerSR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Melendez-Rodriguez00, author = {Miguel Melendez{-}Rodriguez and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, title = {A fully-programmable temperature-compensated analogue circuit for Gaussian functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {481--484}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857476}, doi = {10.1109/ISCAS.2000.857476}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Melendez-Rodriguez00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MercerM00, author = {Eric G. Mercer and Chris J. Myers}, title = {Stochastic cycle period analysis in timed circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {172--175}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856286}, doi = {10.1109/ISCAS.2000.856286}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MercerM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MesarovicHD00, author = {Vladimir Mesarovic and N. D. Hemkumr and Miroslav Dokic}, title = {{MPEG-4} {AAC} audio decoding on a 24-bit fixed-point dual-DSP architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {706--709}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856158}, doi = {10.1109/ISCAS.2000.856158}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MesarovicHD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeseandV00, author = {Murat Mese and Palghat P. Vaidyanathan}, title = {Look up table {(LUT)} inverse halftoning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {517--520}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858802}, doi = {10.1109/ISCAS.2000.858802}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MeseandV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MillardKA00, author = {Wesley P. Millard and Zaven K. Kalayjian and Andreas G. Andreou}, title = {Calibration and matching of floating gate devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {389--392}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858770}, doi = {10.1109/ISCAS.2000.858770}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MillardKA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Minch00, author = {Bradley A. Minch}, title = {Synthesis of dynamic multiple-input translinear element networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {483--486}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857137}, doi = {10.1109/ISCAS.2000.857137}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Minch00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Minch00a, author = {Bradley A. Minch}, title = {A folded floating-gate differential pair for low-voltage applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {253--256}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858736}, doi = {10.1109/ISCAS.2000.858736}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Minch00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Minch00b, author = {Bradley A. Minch}, title = {Floating-gate techniques for assessing mismatch}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {385--388}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858769}, doi = {10.1109/ISCAS.2000.858769}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Minch00b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MinnisMPDG00, author = {Brian J. Minnis and Paul A. Moore and Adrian W. Payne and Alan J. Davie and Nigel P. J. Greer}, title = {A low-IF, polyphase receiver for {DECT} [in BiCMOS]}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {60--63}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857026}, doi = {10.1109/ISCAS.2000.857026}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MinnisMPDG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MirabbasiGM00, author = {Shahriar Mirabbasi and Saeed Gazor and Ken Martin}, title = {A wideband carrier-recovery system for multilevel {QAM} signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {661--664}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858838}, doi = {10.1109/ISCAS.2000.858838}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MirabbasiGM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MitaniMT00, author = {Yasunori Mitani and Peiyun Miao and Kiichiro Tsuji}, title = {A numerical method to evaluate bifurcation aspects around generator stability limit}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {565--568}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856391}, doi = {10.1109/ISCAS.2000.856391}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MitaniMT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoisiadisBA00, author = {Yiannis Moisiadis and Ilias Bouras and Angela Arapoyanni}, title = {A {CMOS} charge pump for low voltage operation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {577--580}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857500}, doi = {10.1109/ISCAS.2000.857500}, timestamp = {Sat, 15 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoisiadisBA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Moncunill-Geniz00, author = {F. Xavier Moncunill{-}Geniz and Orestes Mas{-}Casals and Pere Pal{\`{a}}{-}Sch{\"{o}}nw{\"{a}}lder}, title = {A direct-sequence spread-spectrum super-regenerative receiver}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {68--71}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857028}, doi = {10.1109/ISCAS.2000.857028}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Moncunill-Geniz00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoonKKC00, author = {Kwang{-}Sik Moon and Yu{-}Jin Kim and Hoi{-}Rin Kim and Jae{-}Ho Chung}, title = {Out-of-vocabulary word rejection algorithm in Korean variable vocabulary word recognition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {53--56}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857361}, doi = {10.1109/ISCAS.2000.857361}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoonKKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoonSST00, author = {Un{-}Ku Moon and Jos{\'{e}} Silva and Jesper Steensgaard and Gabor C. Temes}, title = {A switched-capacitor {DAC} with analog mismatch correction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {421--424}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858778}, doi = {10.1109/ISCAS.2000.858778}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoonSST00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorenoPB00, author = {Ubirajara F. Moreno and Pedro L. D. Peres and Ivanil S. Bonatti}, title = {Contributions to the analysis of second order piecewise linear systems with chaotic oscillations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {715--718}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857195}, doi = {10.1109/ISCAS.2000.857195}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorenoPB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Mori00, author = {Hiroyuki Mori}, title = {Chaotic behavior of the Newton-Raphson method with the optimal multiplier for ill-conditioned power systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {237--240}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858732}, doi = {10.1109/ISCAS.2000.858732}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Mori00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoriyaMIJ00, author = {Takehiro Moriya and Takeshi Mori and Naoki Iwakami and Akio Jin}, title = {A design of error robust scalable coder based on MPEG-4/Audio}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {213--216}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856034}, doi = {10.1109/ISCAS.2000.856034}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoriyaMIJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorizioHKGHPMHH00, author = {James C. Morizio and Mike Hoke and Taskin Ko{\c{c}}ak and Clark Geddie and Chris Hughes and John Perry and Srinadh Madhavapeddi and Mike Hood and Ward Huffman and Takashi Okuda and Hiroshi Noda and Yasuo Morimoto and Toshio Kumamoto and Masahiko Ishiwaki and Harufusa Kondoh and Masao Nakaya and Takahiro Miki}, title = {{SNDR} sensitivity analysis for cascaded {\(\Sigma\)}{\(\Delta\)} modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856172}, doi = {10.1109/ISCAS.2000.856172}, timestamp = {Tue, 07 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MorizioHKGHPMHH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoroM00, author = {Seiichiro Moro and Tadashi Matsumoto}, title = {Synchronization phenomena in coupled system of {RC} transistor oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {663--666}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857182}, doi = {10.1109/ISCAS.2000.857182}, timestamp = {Mon, 20 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MoroM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoschettiD00, author = {Fulvio Moschetti and Eric Debes}, title = {A fast block matching for {SIMD} processors using subsampling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {321--324}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858753}, doi = {10.1109/ISCAS.2000.858753}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MoschettiD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoshnyagaN00, author = {Vasily G. Moshnyaga and Kazuhito Nakasima}, title = {Reduction of background computations in adaptive block-matching motion estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {667--670}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857183}, doi = {10.1109/ISCAS.2000.857183}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoshnyagaN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MotaA00, author = {Wellington S. Mota and Fernando L. Alvarado}, title = {Power markets stability considering energy imbalance}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {665--668}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858839}, doi = {10.1109/ISCAS.2000.858839}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MotaA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MunozTCR00, author = {Fernando Mu{\~{n}}oz and Antonio Torralba and Ram{\'{o}}n G. Carvajal and Jaime Ram{\'{\i}}rez{-}Angulo}, title = {Two new {VHF} tunable {CMOS} low-voltage linear transconductors and their application to {HF} {GM-C} filter design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {173--176}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857391}, doi = {10.1109/ISCAS.2000.857391}, timestamp = {Wed, 22 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MunozTCR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MunozTCTR00, author = {Fernando Mu{\~{n}}oz and Antonio Torralba and Ram{\'{o}}n G. Carvajal and Jon N. Tombs and Jaime Ram{\'{\i}}rez{-}Angulo}, title = {Floating-gate based tunable {CMOS} low-voltage linear transconductor and its application to {HF} g\({}_{\mbox{m}}\)-C filter design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {465--468}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858789}, doi = {10.1109/ISCAS.2000.858789}, timestamp = {Wed, 22 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MunozTCTR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuresanWV00, author = {Valentin Muresan and Xiaojun Wang and Mircea Vladutiu}, title = {The left edge algorithm in block test scheduling under power constraints}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {351--354}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857102}, doi = {10.1109/ISCAS.2000.857102}, timestamp = {Thu, 22 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MuresanWV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaessB00, author = {{\O}ivind N{\ae}ss and Yngvar Berg}, title = {Tunable ultralow voltage transconductance amplifier and GmC filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {709--712}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856427}, doi = {10.1109/ISCAS.2000.856427}, timestamp = {Fri, 10 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaessB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NagashiroM00, author = {Iwao Nagashiro and Toichi Machida}, title = {A system function representation by scale-shift transform-the case of Doppler effect}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {587--590}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857163}, doi = {10.1109/ISCAS.2000.857163}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NagashiroM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakachiF00, author = {Takayuki Nakachi and Tatsuya Fujii}, title = {Unified lossless and near-lossless color image coding based on adaptive quantization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {303--306}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856057}, doi = {10.1109/ISCAS.2000.856057}, timestamp = {Thu, 29 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakachiF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakaguchiJT00, author = {Toshiya Nakaguchi and Kenya Jin'no and Mamoru Tanaka}, title = {Hysteresis neural networks for solving traveling salesperson problems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {153--156}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856019}, doi = {10.1109/ISCAS.2000.856019}, timestamp = {Sat, 16 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NakaguchiJT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakaguchiTT00, author = {Toshiya Nakaguchi and Yuichi Tanji and Mamoru Tanaka}, title = {Image intensity conversion via cellular neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {125--128}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858704}, doi = {10.1109/ISCAS.2000.858704}, timestamp = {Sat, 16 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NakaguchiTT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakanishiIF00, author = {Isao Nakanishi and Yoshio Itoh and Yutaka Fukui}, title = {Accelerated frequency domain adaptive filter using modified {DFT} pair and its application to noise canceling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {361--364}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858763}, doi = {10.1109/ISCAS.2000.858763}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakanishiIF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakayaKW00, author = {Shingo Nakaya and Tetsushi Koide and Shin'ichi Wakabayashi}, title = {An adaptive genetic algorithm for {VLSI} floorplanning based on sequence-pair}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {65--68}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855997}, doi = {10.1109/ISCAS.2000.855997}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NakayaKW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NalamalpuB00, author = {Ankireddy Nalamalpu and Wayne P. Burleson}, title = {Repeater insertion in deep sub-micron {CMOS:} ramp-based analytical model and placement sensitivity analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {766--769}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856173}, doi = {10.1109/ISCAS.2000.856173}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NalamalpuB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NassifK00, author = {Sani R. Nassif and Joseph N. Kozhaya}, title = {Multi-grid methods for power grid simulation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {457--460}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857470}, doi = {10.1109/ISCAS.2000.857470}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NassifK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NatterN00, author = {William G. Natter and Behrouz Nowrouzian}, title = {A novel algorithm for signed-digit online multiply-accumulate operation and its purely signed-binary hardware implementation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {329--332}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857431}, doi = {10.1109/ISCAS.2000.857431}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NatterN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NdjountcheUL00, author = {Tertulien Ndjountche and Rolf Unbehauen and Fa{-}Long Luo}, title = {Dynamic analog resonator-based adaptive filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {161--164}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856021}, doi = {10.1109/ISCAS.2000.856021}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NdjountcheUL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeitolaR00, author = {Marko Neitola and Timo Rahkonen}, title = {An analog correlator for a {WCDMA} receiver}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {753--756}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858861}, doi = {10.1109/ISCAS.2000.858861}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeitolaR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NettoC00, author = {Sergio L. Netto and Marcello L. R. de Campos}, title = {On the composite squared-error algorithm for neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {149--152}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856018}, doi = {10.1109/ISCAS.2000.856018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NettoC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgYC00, author = {Tony T. S. Ng and Kun{-}Wah Yip and Chin{-}Long Cheng}, title = {Recursive all-lag reference-code correlator and its efficient implementation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {473--476}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858791}, doi = {10.1109/ISCAS.2000.858791}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgYC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgaiM00, author = {Cheong Ngai and Rui Paulo Martins}, title = {Interactive {SC} multirate compiler applied to multistage decimator design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {185--188}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856027}, doi = {10.1109/ISCAS.2000.856027}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgaiM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NiboucheBNC00, author = {Omar Nibouche and Ahmed Bouridane and Mokhtar Nibouche and Danny Crookes}, title = {A new pipelined digit serial-parallel multiplier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {12--15}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857013}, doi = {10.1109/ISCAS.2000.857013}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NiboucheBNC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NikolaidisP00, author = {Athanasios Nikolaidis and Ioannis Pitas}, title = {Comparison of different chaotic maps with application to image watermarking}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {509--512}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857483}, doi = {10.1109/ISCAS.2000.857483}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NikolaidisP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishikawaFT00, author = {Yuko Nishikawa and Toshihiro Furukawa and Tohru Takahashi}, title = {A consideration on the blind channel estimation based on {IIR} type model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {654--657}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856145}, doi = {10.1109/ISCAS.2000.856145}, timestamp = {Tue, 19 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NishikawaFT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishimuraA00, author = {Shotaro Nishimura and Mvuma Aloys}, title = {A lattice-based adaptive {IIR} notch filter and its application to {FSK} demodulation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {586--589}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856128}, doi = {10.1109/ISCAS.2000.856128}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NishimuraA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Niyogi00, author = {Partha Niyogi}, title = {Perspectives from the informational complexity of learning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {259--262}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856046}, doi = {10.1109/ISCAS.2000.856046}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Niyogi00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NuijbertsNP00, author = {Henri J. C. Huijberts and Henk Nijmeijer and Alexander Yu. Pogromsky}, title = {An observer point of view on synchronization of discrete-time systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {491--494}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856104}, doi = {10.1109/ISCAS.2000.856104}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NuijbertsNP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OelunGS00, author = {J{\"{u}}rgen Oehm and Ulrich Gr{\"{u}}nebaum and Klaus Schumacher}, title = {A physical approach to mismatch modelling and parameter correlations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {377--380}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858767}, doi = {10.1109/ISCAS.2000.858767}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OelunGS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OgataAS00, author = {Atsushi Ogata and Naoyuki Aikawa and Masamitsu Sato}, title = {A design method of low delay {FIR} bandpass filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {92--95}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857034}, doi = {10.1109/ISCAS.2000.857034}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OgataAS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OgorzalekD00, author = {Maciej Ogorzalek and Herv{\'{e}} Dedieu}, title = {Control theory approach to shadowing and possible applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {373--376}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856339}, doi = {10.1109/ISCAS.2000.856339}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OgorzalekD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhnoE00, author = {Wataru Ohno and Tetsuro Endo}, title = {A double crisis-induced intermittency in a forced {PLL} equation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {731--734}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857199}, doi = {10.1109/ISCAS.2000.857199}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhnoE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhsawaMTS00, author = {Yasuharu Ohsawa and Yoshiro Maruoka and Hiromasa Takeno and Hiroaki Sugihara}, title = {Determination of installation location of {SMES} for power system stabilization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {233--236}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858731}, doi = {10.1109/ISCAS.2000.858731}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OhsawaMTS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ohta00, author = {Masaya Ohta}, title = {An algorithm for multi-layer channel routing problem using chaotic neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {149--152}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857385}, doi = {10.1109/ISCAS.2000.857385}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ohta00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhtaY00, author = {Yuzo Ohta and Kenichiro Yamamoto}, title = {Stability analysis of nonlinear systems via piecewise linear Lyapunov functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {208--211}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856295}, doi = {10.1109/ISCAS.2000.856295}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhtaY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OkadaO00, author = {Kenichi Okada and Hidetoshi Onodera}, title = {Statistical modeling of device characteristics with systematic fluctuation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {437--440}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856358}, doi = {10.1109/ISCAS.2000.856358}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OkadaO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OkelloAIFK00, author = {James Okello and Shin'ichi Arita and Yoshio Itoh and Yutaka Fukui and Masaki Kobayashi}, title = {An adaptive notch filter for eliminating multiple sinusoids with reduced bias}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {551--554}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856119}, doi = {10.1109/ISCAS.2000.856119}, timestamp = {Sun, 17 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OkelloAIFK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OkelloAIFK00a, author = {James Okello and Shin'ichi Arita and Yoshio Itoh and Yutaka Fukui and Masaki Kobayashi}, title = {A new architecture for implementing pipelined {ADF}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {365--368}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857440}, doi = {10.1109/ISCAS.2000.857440}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OkelloAIFK00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OktemOEA00, author = {Levent {\"{O}}ktem and Rusen {\"{O}}ktem and Karen O. Egiazarian and Jaakko Astola}, title = {Efficient encoding of the significance maps in wavelet based image compression}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {25--28}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855987}, doi = {10.1109/ISCAS.2000.855987}, timestamp = {Sun, 17 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OktemOEA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Oliaei00, author = {Omid Oliaei}, title = {A design methodology for active-bootstrapped Miller-amplifiers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {701--704}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856425}, doi = {10.1109/ISCAS.2000.856425}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Oliaei00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Oliaei00a, author = {Omid Oliaei}, title = {Thermal noise analysis of multi-input SC-integrators for delta-sigma modulator design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {425--428}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858779}, doi = {10.1109/ISCAS.2000.858779}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Oliaei00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OlssonNMHT00, author = {Thomas Olsson and Peter Nilsson and Thomas Meincke and Ahmad Hemami and Mats Torkelson}, title = {A digitally controlled low-power clock multiplier for globally asynchronous locally synchronous designs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {13--16}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855983}, doi = {10.1109/ISCAS.2000.855983}, timestamp = {Sun, 17 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OlssonNMHT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OngPT00, author = {Adrian K. Ong and Vladimir I. Prodanov and Maurice Tarsia}, title = {A method for reducing the variation in "on" resistance of a {MOS} sampling switch}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {437--440}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857465}, doi = {10.1109/ISCAS.2000.857465}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OngPT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OotaHU00, author = {Ichirou Oota and Noriaki Hara and Fumio Ueno}, title = {A general method for deriving output resistances of serial fixed type switched-capacitor power supplies}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {503--506}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856107}, doi = {10.1109/ISCAS.2000.856107}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OotaHU00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OsaC00, author = {Juan I. Osa and Alfonso Carlosena}, title = {{MOSFET-C} sinusoidal oscillator with variable frequency and amplitude}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {725--728}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856431}, doi = {10.1109/ISCAS.2000.856431}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OsaC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OthmanA00, author = {Hisham Othman and Tyseer Aboulnasr}, title = {Low complexity 2-D Hidden Markov Model for face recognition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {33--36}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857356}, doi = {10.1109/ISCAS.2000.857356}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OthmanA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzgurZG00, author = {Mehmet Ozgur and Mona E. Zaghloul and Michael Gaitan}, title = {Optimization of backside micromachined {CMOS} inductors for {RF} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {185--188}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857394}, doi = {10.1109/ISCAS.2000.857394}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OzgurZG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ozkaramanli00, author = {Huseyin M. Ozkaramanli}, title = {Transverse distributed coupling between specifically terminated low loss {VLSI} interconnections}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {549--552}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858810}, doi = {10.1109/ISCAS.2000.858810}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ozkaramanli00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzoguzAT00, author = {Serdar {\"{O}}zoguz and Cevdet Acar and Ali Toker}, title = {Transformation methods for reducing sensitivities of current-mode CCII-based filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {685--688}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856421}, doi = {10.1109/ISCAS.2000.856421}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OzoguzAT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaasioPI00, author = {Ari Paasio and Jani Paakkulainen and Jouni Isoaho}, title = {A multiplier-free fixed-task digital {CNN} array for video segmentation system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {710--713}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856159}, doi = {10.1109/ISCAS.2000.856159}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PaasioPI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PahlkeKM00, author = {Kai Pahlke and Lars Kroneberg and Wolfgang Mathis}, title = {A CNOT-gate implementation for information transfer by the phonon bus}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {744--747}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857203}, doi = {10.1109/ISCAS.2000.857203}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PahlkeKM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PailletMB00, author = {Fabrice Paillet and Damien Mercier and Thierry M. Bernard}, title = {Efficient data output from the inner of large size cellular array}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {289--292}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857421}, doi = {10.1109/ISCAS.2000.857421}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PailletMB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PalmisanoP00, author = {Giuseppe Palmisano and Salvatore Pennisi}, title = {A true low-voltage {CMOS} class {AB} current mirror}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {249--252}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858735}, doi = {10.1109/ISCAS.2000.858735}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PalmisanoP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PamunuwaZT00, author = {Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Combating digital noise in high speed {ULSI} circuits using binary {BCH} encoding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {13--16}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858676}, doi = {10.1109/ISCAS.2000.858676}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PamunuwaZT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanLZ00, author = {Jinhui Pan and Shipeng Li and Ya{-}Qin Zhang}, title = {Automatic extraction of moving objects using multiple features and multiple frames}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {36--39}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857020}, doi = {10.1109/ISCAS.2000.857020}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PanLZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PapamarkosS00, author = {Nikolaos Papamarkos and Charalambos Strouthopoulos}, title = {Multithresholding of mixed-type documents}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {301--304}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857424}, doi = {10.1109/ISCAS.2000.857424}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PapamarkosS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PapathanasiouL00, author = {Konstantinos Papathanasiou and Torsten Lehmann}, title = {An implantable {CMOS} signal conditioning system for recording nerve signals with cuff electrodes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {281--284}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857419}, doi = {10.1109/ISCAS.2000.857419}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PapathanasiouL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Paraschiv-Ionescu00, author = {Anisoara Paraschiv{-}Ionescu and Christian Jutten and G{\'{e}}rard Bouvier}, title = {Subspace estimation by hierarchical neural {PCA:} analog/digital implementation constraints}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {742--745}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856167}, doi = {10.1109/ISCAS.2000.856167}, timestamp = {Fri, 08 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Paraschiv-Ionescu00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkK00, author = {Choon{-}Sik Park and Mineo Kaneko}, title = {An efficient scheme based on {EMPDC} graph model in synthesizing fault tolerant {FIR} filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {253--256}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857412}, doi = {10.1109/ISCAS.2000.857412}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkKBCC00, author = {Seongmo Park and Seongmin Kim and Kyeongjin Byeon and Jinjong Cha and Hanjin Cho}, title = {An area efficient video/audio codec for portable multimedia application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {595--598}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857165}, doi = {10.1109/ISCAS.2000.857165}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkKBCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkPKSC00, author = {Jaejin Park and Ho{-}Jin Park and Jae{-}Whui Kim and Sangnam Seo and Philip Chung}, title = {A 1 mW 10-bit 500KSPS {SAR} {A/D} converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {581--584}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857501}, doi = {10.1109/ISCAS.2000.857501}, timestamp = {Mon, 26 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkPKSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkSP00, author = {Jongwook Park and Sang{-}Hoon Shin and Sungju Park}, title = {A partial scan design by unifying structural analysis and testabilities}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {88--91}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857033}, doi = {10.1109/ISCAS.2000.857033}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkTP00, author = {Sung Min Park and Christofer Toumazou and Christos Papavassiliou}, title = {A high-speed four-channel integrated optical receiver array using SiGe {HBT} technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {433--436}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857464}, doi = {10.1109/ISCAS.2000.857464}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkTP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParulskiR00, author = {Kenneth A. Parulski and Majid Rabbani}, title = {The continuing evolution of digital cameras and digital photography systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {101--104}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857373}, doi = {10.1109/ISCAS.2000.857373}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParulskiR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PawlowskiG00, author = {Piotr Pawlowski and Andrzej Guzinski}, title = {Comparative investigations of substrate noise caused by voltage-mode and current-mode gates}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {561--564}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856390}, doi = {10.1109/ISCAS.2000.856390}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PawlowskiG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiD00, author = {Soo{-}Chang Pei and Jian{-}Jiun Ding}, title = {Integer discrete Fourier transform and its extension to integer trigonometric transforms}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {513--516}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857484}, doi = {10.1109/ISCAS.2000.857484}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiW00, author = {Soo{-}Chang Pei and Peng{-}Hua Wang}, title = {Closed-form design of maximally flat R-regular Mth-band {FIR} filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {539--542}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857151}, doi = {10.1109/ISCAS.2000.857151}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeraliasARH00, author = {Eduardo J. Peral{\'{\i}}as and Antonio J. Acosta and Adoraci{\'{o}}n Rueda and Jos{\'{e}} L. Huertas}, title = {VHDL-based behavioural description of pipeline ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {681--684}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858843}, doi = {10.1109/ISCAS.2000.858843}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeraliasARH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PereiraP00, author = {Jacqueline S. Pereira and Antonio Petraglia}, title = {Low-sensitivity direct-form {IIR} {SC} filters with improved phase linearity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {169--172}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856023}, doi = {10.1109/ISCAS.2000.856023}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PereiraP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PerezB00, author = {Luis Fernando Gonz{\'{a}}lez P{\'{e}}rez and Emmanuel Boutillon}, title = {A study of a suboptimal {VLSI} architecture for joint source-channel trellis coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {643--646}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857177}, doi = {10.1109/ISCAS.2000.857177}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PerezB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Petajan00, author = {Eric Petajan}, title = {The communication of virtual human faces using {MPEG-4} tools}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {307--310}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857091}, doi = {10.1109/ISCAS.2000.857091}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Petajan00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetragliaAD00, author = {Mariane R. Petraglia and Rogerio Guedes Alves and Paulo Sergio Ramirez Diniz}, title = {Convergence analysis of an oversampled subband adaptive filtering structure with local errors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {563--566}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857157}, doi = {10.1109/ISCAS.2000.857157}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PetragliaAD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetragliaB00, author = {Mariane R. Petraglia and Paulo Bulkool Batalheiro}, title = {Prototype filter design for subband adaptive filtering structures with critical sampling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {543--546}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857152}, doi = {10.1109/ISCAS.2000.857152}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetragliaB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetrieM00, author = {Craig Petrie and Matthew R. Miller}, title = {A background calibration technique for multibit delta-sigma modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {29--32}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856250}, doi = {10.1109/ISCAS.2000.856250}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetrieM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetrotH00, author = {Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and Denis Hommais}, title = {A generic programmable arbiter with default master grant}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {749--752}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857610}, doi = {10.1109/ISCAS.2000.857610}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetrotH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PintoSM00, author = {Rodrigo L. Oliveira Pinto and M{\'{a}}rcio C. Schneider and Carlos Galup{-}Montoro}, title = {Sizing of {MOS} transistors for amplifier design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {185--188}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858719}, doi = {10.1109/ISCAS.2000.858719}, timestamp = {Wed, 31 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PintoSM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoberezhskiyP00, author = {Yefim S. Poberezhskiy and Gennady Y. Poberezhskiy}, title = {Sample-and-hold amplifiers performing internal antialiasing filtering and their applications in digital receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {439--442}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856091}, doi = {10.1109/ISCAS.2000.856091}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PoberezhskiyP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PodgornyF00, author = {Alexander Podgorny and Orla C. Feely}, title = {Global nonlinear dynamics of second-order {DPLL}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {225--228}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856037}, doi = {10.1109/ISCAS.2000.856037}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PodgornyF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PogromskyN00, author = {Alexander Yu. Pogromsky and Hendrik Nijmeijer}, title = {New results for estimation of Hausdorff dimension}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {236--239}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857071}, doi = {10.1109/ISCAS.2000.857071}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PogromskyN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PorretMEV00, author = {Alain{-}Serge Porret and Thierry Melly and Christian C. Enz and Eric A. Vittoz}, title = {A low-power low-voltage transceiver architecture suitable for wireless distributed sensors network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {56--59}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857025}, doi = {10.1109/ISCAS.2000.857025}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PorretMEV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PouliquenACT00, author = {Philippe O. Pouliquen and Andreas G. Andreou and Gert Cauwenberghs and Conrad W. Terrill}, title = {A {CMOS} smart focal plane for infra-red imagers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {329--332}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858755}, doi = {10.1109/ISCAS.2000.858755}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PouliquenACT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PradoDF00, author = {Charles B. do Prado and Paulo S. R. Diniz and Felipe M. G. Fran{\c{c}}a}, title = {Implementation of overlapped block filtering using scheduling by edge reversal}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {97--100}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857372}, doi = {10.1109/ISCAS.2000.857372}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PradoDF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PremkumarB00, author = {A. Benjamin Premkumar and Manish Bhardwaj}, title = {Combinatorial logic based forward converters in residue number systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {317--320}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857428}, doi = {10.1109/ISCAS.2000.857428}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PremkumarB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Prodanov00, author = {Vladimir I. Prodanov}, title = {{V-I} converters with transconductance proportional to bias current in any technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {201--204}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858723}, doi = {10.1109/ISCAS.2000.858723}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Prodanov00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PunFL00, author = {Kong{-}Pang Pun and Jos{\'{e}} E. Franca and Carlos Azeredo Leme}, title = {Wideband digital correction of {I} and {Q} mismatch in quadrature radio receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {661--664}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857556}, doi = {10.1109/ISCAS.2000.857556}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PunFL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PurnhagenM00, author = {Heiko Purnhagen and Nikolaus Meine}, title = {HILN-the {MPEG-4} parametric audio coding tools}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {201--204}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856031}, doi = {10.1109/ISCAS.2000.856031}, timestamp = {Fri, 28 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PurnhagenM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Queiroz00, author = {Ant{\^{o}}nio Carlos M. de Queiroz}, title = {Synthesis of multiple resonance networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {413--416}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857459}, doi = {10.1109/ISCAS.2000.857459}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Queiroz00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaahemifarA00, author = {Koomran Raahemifar and Mojid Ahmadi}, title = {Fast 32-bit digital multiplier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {625--628}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857531}, doi = {10.1109/ISCAS.2000.857531}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RaahemifarA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RabensteinT00, author = {Rudolf Rabenstein and Lutz Trautmann}, title = {Partial differential equation models for continuous multidimensional systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {407--410}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857117}, doi = {10.1109/ISCAS.2000.857117}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RabensteinT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RadhouaneLM00, author = {Ridha Radhouane and Peter Liu and Cory Modlin}, title = {Minimizing the memory requirement for continuous flow {FFT} implementation: continuous flow mixed mode {FFT} {(CFMM-FFT)}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {116--119}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857040}, doi = {10.1109/ISCAS.2000.857040}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RadhouaneLM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RafatiFS00, author = {Ramin Rafati and Sied Mehdi Fakhraie and Kenneth C. Smith}, title = {Low-power data-driven dynamic logic (D\({}^{\mbox{3}}\)L) {[CMOS} devices]}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {752--755}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857205}, doi = {10.1109/ISCAS.2000.857205}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RafatiFS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaffoZ00, author = {Luigi Raffo and Maria Paola Zizola}, title = {Block-matching evaluation in digital architectures for motion estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {305--308}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858749}, doi = {10.1109/ISCAS.2000.858749}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RaffoZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaghuramC00, author = {Sukumar S. Raghuram and Chaitali Chakrabarti}, title = {A programmable processor for cryptography}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {685--688}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857574}, doi = {10.1109/ISCAS.2000.857574}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RaghuramC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramachandran000, author = {Seetharaman Ramachandran and S. Srinivasan}, title = {A programmable pruning level control based {MPEG} video encoder}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {571--574}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857159}, doi = {10.1109/ISCAS.2000.857159}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ramachandran000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramachandran000a, author = {Seetharaman Ramachandran and S. Srinivasan}, title = {Design and implementation of an EPLD-based variable length coder for real time image compression applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {607--610}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857168}, doi = {10.1109/ISCAS.2000.857168}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ramachandran000a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamachandranF00, author = {Ravi Prakash Ramachandran and Kevin R. Farrell}, title = {Fast pole filtering for speaker recognition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {49--52}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857360}, doi = {10.1109/ISCAS.2000.857360}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RamachandranF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramirez-AnguloC00, author = {Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n G. Carvajal and Juana M. Mart{\'{\i}}nez{-}Heredia and Antonio Torralba}, title = {Very low-voltage class {AB} {CMOS} precision voltage and current rectifiers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {5--8}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855981}, doi = {10.1109/ISCAS.2000.855981}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ramirez-AnguloC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramirez-AnguloC00a, author = {Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n G. Carvajal and Antonio Torralba and Juana M. Mart{\'{\i}}nez{-}Heredia}, title = {A 1.5 {V} linear transconductor with wide bandwidth and wide input and output signal swings}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {161--164}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857388}, doi = {10.1109/ISCAS.2000.857388}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ramirez-AnguloC00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramirez-AnguloC00b, author = {Jaime Ram{\'{\i}}rez{-}Angulo and Seung{-}Gul Choi and Djuro G. Zrilic}, title = {Compact modular expandable analog defuzzifiers using multiple input floating gate transistor transconductance multipliers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {381--384}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857445}, doi = {10.1109/ISCAS.2000.857445}, timestamp = {Sun, 24 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ramirez-AnguloC00b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramirez-AnguloC00c, author = {Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n G. Carvajal and Juana M. Mart{\'{\i}}nez{-}Heredia}, title = {1.4 {V} supply, wide swing, high frequency {CMOS} analogue multiplier with high current efficiency}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {533--536}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857489}, doi = {10.1109/ISCAS.2000.857489}, timestamp = {Sat, 10 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ramirez-AnguloC00c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamirezGFPL00, author = {Javier Ram{\'{\i}}rez Perez de Inestrosa and Antonio Garc{\'{\i}}a and Pedro G. Fern{\'{a}}ndez and Luis Parrilla and Antonio Lloris{-}Ru{\'{\i}}z}, title = {A new architecture to compute the discrete cosine transform using the quadratic residue number system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {321--324}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857429}, doi = {10.1109/ISCAS.2000.857429}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RamirezGFPL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaoN00, author = {Srikunth Rao M. and Soumitra Kumar Nandy}, title = {Controller redesign based clock and register power minimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {275--278}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856050}, doi = {10.1109/ISCAS.2000.856050}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RaoN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaoSP00, author = {Korrai Deergha Rao and M. N. S. Swamy and Eugene I. Plotkin}, title = {Complex {EKF} neural network for adaptive equalization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {349--352}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856333}, doi = {10.1109/ISCAS.2000.856333}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RaoSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RatsabyV00, author = {Joel Ratsaby and Santosh S. Venkatesh}, title = {On partially blind learning complexity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {765--768}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856441}, doi = {10.1109/ISCAS.2000.856441}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RatsabyV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RauCC00, author = {Jiann{-}Chyi Rau and Yan{-}Min Chen and Shih{-}Chieh Chang}, title = {A compact factored form for a Boolean function}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {317--320}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856325}, doi = {10.1109/ISCAS.2000.856325}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RauCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RazaghianSB00, author = {Farhad Razaghian and Sirus Sadughi and Kambiz Badie}, title = {An intelligent framework for designing analog circuits based on hybrid reasoning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {189--192}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856028}, doi = {10.1109/ISCAS.2000.856028}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RazaghianSB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReCRL00, author = {Marco Re and Gian Carlo Cardarilli and Andrea Del Re and Roberto Lojacono}, title = {{FPGA} implementation of a demux based on a multirate filter bank}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {353--356}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857437}, doi = {10.1109/ISCAS.2000.857437}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ReCRL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReSC00, author = {Marco Re and Marcello Salmeri and Gian Carlo Cardarilli}, title = {A {CAD} environment for fuzzy systems {HW/SW} mapping}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {221--224}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858728}, doi = {10.1109/ISCAS.2000.858728}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ReSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReattiK00, author = {Alberto Reatti and Marian K. Kazimierczuk}, title = {Current controlled current source model for a {PWM} dc-dc boost converters operated in discontinuous current mode}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {239--242}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856041}, doi = {10.1109/ISCAS.2000.856041}, timestamp = {Wed, 03 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ReattiK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RedaWS00, author = {Sherief Reda and Ayman Wahba and Ashraf Salem}, title = {{M-CHECK:} a multiple engine combinational equivalence checker}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {613--616}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856403}, doi = {10.1109/ISCAS.2000.856403}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RedaWS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReyesB00, author = {Carlos E. Cabrera Reyes and Javier D. Bruguera}, title = {{VLSI} systolic array architecture for the lattice structure of the discrete wavelet transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {605--608}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858824}, doi = {10.1109/ISCAS.2000.858824}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ReyesB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RidellaRZ00, author = {Sandro Ridella and Stefano Rovetta and Rodolfo Zunino}, title = {Augmenting vector quantization with interval arithmetics for image-coding applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {307--310}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856058}, doi = {10.1109/ISCAS.2000.856058}, timestamp = {Fri, 16 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RidellaRZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RioHPR00, author = {Roc{\'{\i}}o del R{\'{\i}}o and Fernando Medeiro and Maria Belen P{\'{e}}rez{-}Verd{\'{u}} and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {High-order cascade multibit {\(\Sigma\)}{\(\Delta\)} modulators for xDSL applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {37--40}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856252}, doi = {10.1109/ISCAS.2000.856252}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RioHPR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RioHPR00a, author = {Roc{\'{\i}}o del R{\'{\i}}o and Fernando Medeiro and Maria Belen P{\'{e}}rez{-}Verd{\'{u}} and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Reliable analysis of settling errors in {SC} integrators-application to the design of high-speed {\(\Sigma\)}{\(\Delta\)} modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {417--420}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858777}, doi = {10.1109/ISCAS.2000.858777}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RioHPR00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoarkE00, author = {Rick M. Roark and Monty A. Escab{\'{\i}}}, title = {Design of {FIR} filters with exceptional passband and stopband smoothness using a new transitional window}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {96--99}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857035}, doi = {10.1109/ISCAS.2000.857035}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RoarkE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RochaS00, author = {Daniel Rocha and Wouter A. Serdijn}, title = {A dynamic-translinear fully-integrated highly-directional hearing aid adapter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {180--183}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857057}, doi = {10.1109/ISCAS.2000.857057}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RochaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezYR00, author = {Esther O. Rodr{\'{\i}}guez and Alberto Yufera and Adoraci{\'{o}}n Rueda}, title = {A low-voltage {\(\surd\)}x floating-gate {MOS} integrator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {184--187}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857058}, doi = {10.1109/ISCAS.2000.857058}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezYR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezYR00a, author = {Esther O. Rodr{\'{\i}}guez and Alberto Yufera and Adoraci{\'{o}}n Rueda}, title = {A g\({}_{\mbox{m}}\)-C floating-gate {MOS} integrator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {153--156}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858711}, doi = {10.1109/ISCAS.2000.858711}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezYR00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoermundH00, author = {Arthur H. M. van Roermund and Jaap Hoekstra}, title = {From nanotechnology to nanoelectronic systems, from SETs to neural nets}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {8--11}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857012}, doi = {10.1109/ISCAS.2000.857012}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoermundH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoosK00, author = {Janne Roos and Ville Karanko}, title = {Always convergent piecewise-linear {DC} analysis by an appropriate choice of initial conditions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {169--172}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858715}, doi = {10.1109/ISCAS.2000.858715}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RoosK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoosRGMRLESLB00, author = {Christian F. Roos and Harald Rohde and Stephan Gulde and Andreas Mundt and Georges Reymond and Madeleine Lederbauer and J{\"{u}}rgen Eschner and Ferdinand Schmidt{-}Kaler and Dietrich Leibfried and Rainer Blatt}, title = {Towards quantum information with trapped calcium ions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856305}, doi = {10.1109/ISCAS.2000.856305}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoosRGMRLESLB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoskaR00, author = {Tam{\'{a}}s Roska and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Review of {CMOS} implementations of the {CNN} universal machine-type visual microprocessors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {120--123}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856273}, doi = {10.1109/ISCAS.2000.856273}, timestamp = {Tue, 14 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RoskaR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoutL00, author = {Saroj Rout and Edward K. F. Lee}, title = {Design of 1 {V} switched-current cells in standard {CMOS} process}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {421--424}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856354}, doi = {10.1109/ISCAS.2000.856354}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoutL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RovattiMS00, author = {Riccardo Rovatti and Gianluca Mazzini and Gianluca Setti}, title = {Non-average performance of chaos-based {DS-CDMA:} a tensor approach to analytical any-order correlation of spreading sequences}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {481--484}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858793}, doi = {10.1109/ISCAS.2000.858793}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RovattiMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rudberg00, author = {Mikael Karlsson Rudberg}, title = {{ADC} offset identification and correction in {DMT} modems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {677--680}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858842}, doi = {10.1109/ISCAS.2000.858842}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Rudberg00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RusuC00, author = {Corneliu Rusu and C. F. N. Cowan}, title = {Convergence and steady-state analysis of the cost function adaptation algorithms for data echo cancellation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {357--360}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858762}, doi = {10.1109/ISCAS.2000.858762}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RusuC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RutkowskiM00, author = {Jerzy Rutkowski and Jan Machniewski}, title = {Integer-code {DC} fault dictionary}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {713--716}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857594}, doi = {10.1109/ISCAS.2000.857594}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RutkowskiM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RyuMT00, author = {Heeburm Ryu and Yoshikazu Miyanaga and Koji Tochinai}, title = {Self-organized edge detection for an image compression}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {625--628}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858829}, doi = {10.1109/ISCAS.2000.858829}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RyuMT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SachsW00, author = {Walter Sachs and Stefan Wolter}, title = {Specification and implementation of a cryptocoprocessor for {ISDN}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {275--278}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857083}, doi = {10.1109/ISCAS.2000.857083}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SachsW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sae-TangT00, author = {Sutat Sae{-}Tang and Chularat Tanprasert}, title = {Feature windowing-based Thai text-dependent speaker identification using {MLP} with backpropagation algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {579--582}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856126}, doi = {10.1109/ISCAS.2000.856126}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Sae-TangT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahaV00, author = {Subhasis Saha and Rao Vemuri}, title = {Analysis-based adaptive wavelet filter selection in lossy image coding schemes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {29--32}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855988}, doi = {10.1109/ISCAS.2000.855988}, timestamp = {Thu, 11 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SahaV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahaV00a, author = {Subhasis Saha and Rao Vemuri}, title = {An analysis on the effect of image activity on lossy coding performance}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {295--298}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856055}, doi = {10.1109/ISCAS.2000.856055}, timestamp = {Thu, 11 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SahaV00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Said00, author = {Ra'a A. Said}, title = {Picoseconds measurement of internal waveforms in integrated circuits using sampling force probing. {II.} Applications, capabilities, and limitations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {657--660}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856414}, doi = {10.1109/ISCAS.2000.856414}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Said00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Said00a, author = {Ra'a A. Said}, title = {Picoseconds measurement of internal waveforms in integrated circuits using sampling force probing. I. Principle and demonstration}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {681--684}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856420}, doi = {10.1109/ISCAS.2000.856420}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Said00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaitYBA00, author = {Sadiq M. Sait and Habib Youssef and Hassan R. Barada and Ahmad A. Al{-}Yamani}, title = {A parallel tabu search algorithm for {VLSI} standard-cell placement}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {581--584}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856395}, doi = {10.1109/ISCAS.2000.856395}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SaitYBA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaitoTN00, author = {Toshimichi Saito and Hiroyuki Torikai and Yoshikazu Nomoto}, title = {A buck-boost converter controlled by periodic inputs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {507--510}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857143}, doi = {10.1109/ISCAS.2000.857143}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaitoTN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalernoSBTZ00, author = {Mario Salerno and Fausto Sargeni and Vincenzo Bonaiuto and Sergio Taraglio and Andrea Zanela}, title = {A new board for {CNN} stereo vision algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {702--705}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856157}, doi = {10.1109/ISCAS.2000.856157}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SalernoSBTZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SamadiN00, author = {Saed Samadi and Akinori Nishihara}, title = {Explicit formula for generalized half-band maximally flat diamond-shaped filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {355--358}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856070}, doi = {10.1109/ISCAS.2000.856070}, timestamp = {Wed, 26 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SamadiN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanadaSTN00, author = {Hirofumi Sanada and Masakuyo Suzuki and Yoji Tsukui and Nobuo Nagai}, title = {The equivalent transmission-line approach to resonant tunneling problems described by the Kane model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {569--572}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858815}, doi = {10.1109/ISCAS.2000.858815}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanadaSTN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sandberg00, author = {Irwin W. Sandberg}, title = {A canonical form for discrete-time systems defined over {\unicode{61254}}\({}_{\mbox{+}}\)}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {232--235}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857070}, doi = {10.1109/ISCAS.2000.857070}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sandberg00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sandberg00a, author = {Irwin W. Sandberg}, title = {Time-varying linear systems and input-output stability}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {196--199}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856292}, doi = {10.1109/ISCAS.2000.856292}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sandberg00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SansaloniVP00, author = {Trinidad Sansaloni and Javier Valls and Keshab K. Parhi}, title = {FPGA-based digit-serial complex number multiplier-accumulator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {585--588}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858819}, doi = {10.1109/ISCAS.2000.858819}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SansaloniVP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarpeshkarHY00, author = {Rahul Sarpeshkar and R. Herrera and H. Yang}, title = {A current-mode spike-based overrange-subrange analog-to-digital converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {397--400}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858772}, doi = {10.1109/ISCAS.2000.858772}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SarpeshkarHY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoOKHYTKIHM00, author = {Hidenori Sato and Hideo Ohira and Masahiko Kazayama and Ayako Harada and Masahiko Yoshimoto and Okikazu Tanno and Satoshi Kumaki and Kazuya Ishibara and Atsuo Hanami and Tetsuya Mutsumura}, title = {{MPEG-2} 4: 2: 2@HL encoder chip set}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {41--44}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858683}, doi = {10.1109/ISCAS.2000.858683}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SatoOKHYTKIHM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoWTF00, author = {Takahide Sato and Kazuyuki Wada and Shigetaka Takagi and Nobuo Fujii}, title = {Novel voltage-regulating circuit for low-voltage and low-power {OTA} realization using MOSFETs in the non-saturation region}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {477--480}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857475}, doi = {10.1109/ISCAS.2000.857475}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatoWTF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawionekWA00, author = {Blazej Sawionek and Jacek Wojciechowski and Jaroslaw Arabas}, title = {Synthesis of reliable networks in the presence of line failures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {649--652}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858835}, doi = {10.1109/ISCAS.2000.858835}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawionekWA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ScarpaVSK00, author = {Maxime Scarpa and Julia Vogel and John T. Stonick and Sayfe Kiaei}, title = {Performance of differentially detected {\(\pi\)}/4 {DQPSK} in the presence of {IQ} phase imbalance}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {645--648}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858834}, doi = {10.1109/ISCAS.2000.858834}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ScarpaVSK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchettiniCG00, author = {Raimondo Schettini and Gianluigi Ciocca and Isabella Gagliardi}, title = {Interactive visual information retrieval}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {109--112}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857375}, doi = {10.1109/ISCAS.2000.857375}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchettiniCG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchmidM00, author = {Hanspeter Schmid and George S. Moschytz}, title = {A charge-pump-controlled {MOSFET-C} single-amplifier biquad}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {677--680}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856419}, doi = {10.1109/ISCAS.2000.856419}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchmidM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ScholnikC00, author = {Dan P. Scholnik and Jeffrey O. Coleman}, title = {Vector delta-sigma modulation with integral shaping of hardware-mismatch errors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {677--680}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857568}, doi = {10.1109/ISCAS.2000.857568}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ScholnikC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchreursVCNVBD00, author = {Dominique Schreurs and Servaas Vandenberghe and Geert Carchon and Bart Nauwelaers and Ewout Vandamme and Gon{\c{c}}al Badenes and Ludo Deferm}, title = {Evaluation of non-linear modelling techniques for MOSFETs based on vectorial large-signal measurements}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {429--432}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856356}, doi = {10.1109/ISCAS.2000.856356}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SchreursVCNVBD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Seabaugh00, author = {Alan C. Seabaugh}, title = {Tunnel diode integrated circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857081}, doi = {10.1109/ISCAS.2000.857081}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Seabaugh00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SecareanuWSBWMS00, author = {Radu M. Secareanu and Scott Warner and Scott Seabridge and Cathie Burke and Thomas E. Watrobski and Christopher Morton and William Staub and Thomas Tellier and Eby G. Friedman}, title = {Physical design to improve the noise immunity of digital circuits in a mixed-signal smart-power system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {277--280}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858742}, doi = {10.1109/ISCAS.2000.858742}, timestamp = {Sun, 28 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SecareanuWSBWMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeeSF00, author = {Dongwon Seo and Naresh R. Shanbhag and Milton Feng}, title = {Low-power decimation filters for oversampling ADCs via the decorrelating {(DECOR)} transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {9--12}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855982}, doi = {10.1109/ISCAS.2000.855982}, timestamp = {Thu, 18 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SeeSF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sef00, author = {Tomaz Sef}, title = {Slovenian text-to-speech system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {41--44}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857358}, doi = {10.1109/ISCAS.2000.857358}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sef00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SefiriA00, author = {Hamid R. Sefiri and Majid A. Ahmadi}, title = {Mathematical formulation of general {M-D} filtering by using a single building block and its novel word-parallel realization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {85--88}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857369}, doi = {10.1109/ISCAS.2000.857369}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SefiriA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeixasSBTP00, author = {Jos{\'{e}} Manoel de Seixas and William Soares{-}Filho and Marcelo C. Bossan and Zieli Dutra Thom{\'{e}} and W. C. A. Pereira}, title = {Neural identification of failed fuel rods in nuclear reactors [PWRs]}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {160--163}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857052}, doi = {10.1109/ISCAS.2000.857052}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SeixasSBTP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SekikawaMI00, author = {Munehisa Sekikawa and Tetsuya Miyoshi and Naohiko Inaba}, title = {The successive occurrence of torus doubling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {719--722}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857196}, doi = {10.1109/ISCAS.2000.857196}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SekikawaMI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SennZ00, author = {Eric Senn and Bertrand Y. Zavidovique}, title = {Designing a low-power (self-timed) router for a {MIMD} computer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {737--740}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857607}, doi = {10.1109/ISCAS.2000.857607}, timestamp = {Mon, 13 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SennZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeoWF00, author = {Dongwon Seo and Andrew C. Weil and Milton Feng}, title = {A 14 bit, 1 GS/s digital-to-analog converter with improved dynamic performances}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {541--544}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857491}, doi = {10.1109/ISCAS.2000.857491}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeoWF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeoWF00a, author = {Dongwon Seo and Andrew C. Weil and Milton Feng}, title = {A very wide-band 14 bit, 1 GS/s track-and-hold amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {549--552}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857493}, doi = {10.1109/ISCAS.2000.857493}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeoWF00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Serra-Graells00, author = {Francisco Serra{-}Graells}, title = {{VLSI} {CMOS} low-voltage log companding filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {172--175}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857055}, doi = {10.1109/ISCAS.2000.857055}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Serra-Graells00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Serrano-Gotarredona00, author = {Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco}, title = {A methodology for {MOS} transistor mismatch parameter extraction and mismatch simulation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {109--112}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858700}, doi = {10.1109/ISCAS.2000.858700}, timestamp = {Fri, 10 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Serrano-Gotarredona00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Serrano-Gotarredona00a, author = {Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco}, title = {A new strong inversion 5-parameter transistor mismatch model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {381--384}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858768}, doi = {10.1109/ISCAS.2000.858768}, timestamp = {Fri, 10 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Serrano-Gotarredona00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SettiBR00, author = {Gianluca Setti and Michele Balestra and Riccardo Rovatti}, title = {Experimental verification of enhanced electromagnetic compatibility in chaotic {FM} clock signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {229--232}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856038}, doi = {10.1109/ISCAS.2000.856038}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SettiBR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShamsPCB00, author = {Ahmed M. Shams and Wendi Pan and Archana Chandanandan and Magdy A. Bayoumi}, title = {A high-performance 1D-DCT architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {521--524}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857486}, doi = {10.1109/ISCAS.2000.857486}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShamsPCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShanbhagW00, author = {Naresh R. Shanbhag and Lei Wang}, title = {Energy-efficiency bounds for noise-tolerant dynamic circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {273--276}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858741}, doi = {10.1109/ISCAS.2000.858741}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShanbhagW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharmaS00, author = {Manish Sharma and Naresh R. Shanbhag}, title = {Architecture driven filter transformations}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {601--604}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857507}, doi = {10.1109/ISCAS.2000.857507}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SharmaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Shashkov00, author = {Mikhail V. Shashkov}, title = {On complexity of bifurcations for some classes of systems with simple dynamics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {233--234}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856039}, doi = {10.1109/ISCAS.2000.856039}, timestamp = {Thu, 14 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Shashkov00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenZL00, author = {Guobin Shen and Bing Zeng and Ming Lei Liou}, title = {Achieving optimal rate-distortion performance in arbitrarily-shaped transform coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856244}, doi = {10.1109/ISCAS.2000.856244}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenZL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenZL00a, author = {Guobin Shen and Bing Zeng and Ming Lei Liou}, title = {An efficient hybrid arbitrarily-shaped object coding technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {5--8}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856245}, doi = {10.1109/ISCAS.2000.856245}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenZL00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiMM00, author = {Xaojing Shi and Hirokazu Matsumoto and Kenji Murao}, title = {Gain- and offset-compensated non-inverting {SC} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {425--428}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856355}, doi = {10.1109/ISCAS.2000.856355}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiMM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiS00, author = {Bo Shi and Lars Sundstr{\"{o}}m}, title = {A novel design using translinear circuit for linear {LINC} transmitters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {64--67}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857027}, doi = {10.1109/ISCAS.2000.857027}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShiS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiWEI00, author = {Chunlei Shi and Yue Wu and Hassan O. Elwan and Mohammed Ismail}, title = {A low-power high-linearity {CMOS} baseband filter for wideband {CDMA} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {152--155}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856281}, doi = {10.1109/ISCAS.2000.856281}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShiWEI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiehHL00, author = {Bai{-}Jue Shieh and Terng{-}Yin Hsu and Chen{-}Yi Lee}, title = {A new approach of group-based {VLC} codec system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {609--612}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858825}, doi = {10.1109/ISCAS.2000.858825}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiehHL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinBPH00, author = {Sung{-}Chul Shin and Hyunki Baik and Myong{-}Soon Park and Dong Sam Ha}, title = {A center-biased hybrid search method using plus search pattern for block motion estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {309--312}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858750}, doi = {10.1109/ISCAS.2000.858750}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinBPH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinKCJP00, author = {Hyunchol Shin and Dong{-}Jin Keum and Jin{-}Sub Choi and Duck{-}Young Jung and Byeong{-}Ha Park}, title = {Highly linear variable gain amplifiers with programmable temperature compensation for {CDMA} wireless applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {467--470}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856098}, doi = {10.1109/ISCAS.2000.856098}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinKCJP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiraishiHK00, author = {Shin'ichi Shiraishi and Miki Haseyama and Hideo Kitajima}, title = {A highly accurate pipelined architecture for a {CORDIC} {ARMA} lattice filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {369--372}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857441}, doi = {10.1109/ISCAS.2000.857441}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiraishiHK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiueC00, author = {Wen{-}Tsong Shiue and Chaitali Chakrabarti}, title = {ILP-based scheme for low power scheduling and resource binding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {279--282}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856051}, doi = {10.1109/ISCAS.2000.856051}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShiueC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShutinoskiDL00, author = {Goce V. Shutinoski and Tomislav A. Dzhekov and Vanco B. Litovski}, title = {Current mode elementary motion perception neural cell}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {157--160}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856020}, doi = {10.1109/ISCAS.2000.856020}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShutinoskiDL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sjostrom00, author = {M{\aa}rten Sj{\"{o}}str{\"{o}}m}, title = {Properties of smoothing with time gating}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {707--710}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857193}, doi = {10.1109/ISCAS.2000.857193}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Sjostrom00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/So00, author = {Hing Cheung So}, title = {Adaptive time delay estimation for sinusoidal signal}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {501--504}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858798}, doi = {10.1109/ISCAS.2000.858798}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/So00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SoC00, author = {Hing Cheung So and Yiu Tong Chan}, title = {Two algorithms for frequency estimation of a real sinusoid from short data records}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {391--394}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856079}, doi = {10.1109/ISCAS.2000.856079}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SoC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SodagarL00, author = {Amir M. Sodagar and G. Roientan Lahiji}, title = {Parabolic approximation: a new method for phase to amplitude conversion in sine-output direct digital frequency synthesizers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {515--518}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857145}, doi = {10.1109/ISCAS.2000.857145}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SodagarL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SodagarLHC00, author = {Iraj Sodagar and Hung{-}Ju Lee and Paul Hatrack and Bing{-}Bing Chai}, title = {Multi-scale zerotree entropy coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {311--314}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857092}, doi = {10.1109/ISCAS.2000.857092}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SodagarLHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SorialLV00, author = {Hani Sorial and William E. Lynch and Andr{\'{e}} Vincent}, title = {Joint bit-allocation for {MPEG} encoding of multiple video sequences with minimum quality-variation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {9--12}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856443}, doi = {10.1109/ISCAS.2000.856443}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SorialLV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SoudrisDT00, author = {D. J. Soudris and Minas Dasygenis and Adonios Thanailakis}, title = {Designing {RNS} and {QRNS} full adder based converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {20--23}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857015}, doi = {10.1109/ISCAS.2000.857015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SoudrisDT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SoudrisPMMKDTMK00, author = {Dimitrios Soudris and Minas Perakis and Haris Mizas and Vasilios A. Mardiris and Kosfas Katis and Chrissavgi Dre and A. E. Tzimas and E. G. Metaxakis and Grigorios Kalivas and Nikolaos D. Zervas and Spyros Theoharis and George Theodoridis and Adonios Thanailakis and Constantinos E. Goutis}, title = {Low power design of a multi-mode transceiver}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {721--724}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856430}, doi = {10.1109/ISCAS.2000.856430}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SoudrisPMMKDTMK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Spencer00, author = {Ronald G. Spencer}, title = {{CMOS} dynamic linking networks for real-time human face tracking}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {427--430}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857122}, doi = {10.1109/ISCAS.2000.857122}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Spencer00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StarzykP00, author = {Janusz A. Starzyk and Jing Pang}, title = {Fault diagnosis in analog and mixed mode low testability system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {649--652}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856412}, doi = {10.1109/ISCAS.2000.856412}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/StarzykP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StolbergBPRMK00, author = {Hans{-}Joachim Stolberg and Mladen Berekovic and Peter Pirsch and Holger Runge and Henning M{\"{o}}ller and Johannes Kneip}, title = {The {M-PIRE} {MPEG-4} codec {DSP} and its macroblock engine}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {192--195}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856291}, doi = {10.1109/ISCAS.2000.856291}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StolbergBPRMK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StrandbergY00, author = {Roland Strandberg and Jiren Yuan}, title = {Single input current-sensing differential logic {(SCSDL)}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {764--767}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857208}, doi = {10.1109/ISCAS.2000.857208}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StrandbergY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StreitenbergerB00, author = {Martin Streitenberger and Helmut Bresch and Wolfgang Mathis}, title = {Theory and implementation of a new type of digital power amplifier for audio applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {511--514}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857144}, doi = {10.1109/ISCAS.2000.857144}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StreitenbergerB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Stroele00, author = {Albrecht P. Stroele}, title = {Synthesizing data paths with arithmetic self-test}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {45--48}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856254}, doi = {10.1109/ISCAS.2000.856254}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Stroele00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuJ00, author = {Ching{-}Long Su and Chein{-}Wei Jen}, title = {Motion estimation using on-line arithmetic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {683--686}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857187}, doi = {10.1109/ISCAS.2000.857187}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Subak-SharpeS00, author = {Gerald E. Subak{-}Sharpe and George P. H. Styan}, title = {A necessary condition for the realization of a resistive n-port based on network size and on the concept of weighted terminal valency}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {487--490}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857138}, doi = {10.1109/ISCAS.2000.857138}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Subak-SharpeS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuhKLL00, author = {Doug Young Suh and Hyun Cheol Kim and Young Kwon Lim and Myoung Ho Lee}, title = {Probabilistic analysis of {MPEG-4} error resilience tools in {W-CDMA} environments}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {638--641}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856141}, doi = {10.1109/ISCAS.2000.856141}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuhKLL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SumanenWH00, author = {Lauri Sumanen and Mikko Waltari and Kari Halonen}, title = {Optimizing the number of parallel channels and the stage resolution in time interleaved pipeline {A/D} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {613--616}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857518}, doi = {10.1109/ISCAS.2000.857518}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SumanenWH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunL00, author = {Xiaohong Sun and Kenneth R. Laker}, title = {Cascaded {\(\Sigma\)}{\(\Delta\)} {ADC} with adaptive interstage coupling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {621--624}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857526}, doi = {10.1109/ISCAS.2000.857526}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SutiknoS00, author = {Sarwono Sutikno and Andy Surya}, title = {An architecture of F(2\({}^{\mbox{2N}}\)) multiplier for elliptic curves cryptosystem}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {279--282}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857084}, doi = {10.1109/ISCAS.2000.857084}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SutiknoS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuvakovicS00, author = {Dusan Suvakovic and C. Andr{\'{e}} T. Salama}, title = {A pipelined multiply-accumulate unit design for energy recovery {DSP} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {16--19}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857014}, doi = {10.1109/ISCAS.2000.857014}, timestamp = {Mon, 15 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuvakovicS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuykensLV00, author = {Johan A. K. Suykens and Lukas Lukas and Joos Vandewalle}, title = {Sparse approximation using least squares support vector machines}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {757--760}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856439}, doi = {10.1109/ISCAS.2000.856439}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuykensLV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SveltoMDBC00, author = {Francesco Svelto and Giampiero Montagna and Stefano Deantoni and Giulio Braschi and Rinaldo Castello}, title = {Solutions for image rejection {CMOS} {LNA}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {49--52}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855993}, doi = {10.1109/ISCAS.2000.855993}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SveltoMDBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SwaminathanF00, author = {Viswanathan Swaminathan and Gerard Fernando}, title = {{MPEG-J:} Java application engine in {MPEG-4}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {44--47}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857022}, doi = {10.1109/ISCAS.2000.857022}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SwaminathanF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SzatmariZFK00, author = {Istv{\'{a}}n Szatm{\'{a}}ri and {\'{A}}kos Zar{\'{a}}ndy and P{\'{e}}ter F{\"{o}}ldesy and L{\'{a}}szl{\'{o}} K{\'{e}}k}, title = {An analogic {CNN} engine board with the 64{\texttimes}64 analog {I/O} {CNN-UM} chip}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {124--127}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856274}, doi = {10.1109/ISCAS.2000.856274}, timestamp = {Thu, 05 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SzatmariZFK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tadeusiewicz00, author = {Michal Tadeusiewicz}, title = {Proving asymptotic stability of equilibrium points of {MOS} transistor circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {204--207}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856294}, doi = {10.1109/ISCAS.2000.856294}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tadeusiewicz00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TailleferW00, author = {Christopher S. Taillefer and Chunyan Wang}, title = {Current mirror compensation for transistor mismatch}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {509--512}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856377}, doi = {10.1109/ISCAS.2000.856377}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TailleferW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TajalliAA00, author = {Armin Tajalli and Mojtaba Atarodi and Akbar Adibi}, title = {A 1.5-V supply, video range frequency, Gm-C filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {148--151}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856280}, doi = {10.1109/ISCAS.2000.856280}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TajalliAA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakafujiTW00, author = {Daisuke Takafuji and Satoshi Taoka and Toshimasa Watanabe}, title = {k-edge-connectivity augmentation problem with upper bounds on edge multiplicity}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {601--604}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858823}, doi = {10.1109/ISCAS.2000.858823}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakafujiTW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Takahashi00, author = {Toshihiko Takahashi}, title = {Dropping method for rectangle packing problem}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {200--203}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857062}, doi = {10.1109/ISCAS.2000.857062}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Takahashi00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakahashiNAMYFM00, author = {Masafumi Takahashi and Tsuyoshi Nishikawa and Hideho Arakida and Noriaki Machida and Hideaki Yamamoto and Toshihide Fujiyoshi and Yoko Matsumoto and Osamu Yamagishi and Tatsuo Samata and Atsushi Asano and Toshihiro Terazawa and Kenji Ohmori and Junya Shirakura and Yoshinori Watanabe and Hiroki Nakamura and Shigenobu Minami and Tohru Furuyama}, title = {A scalable {MPEG-4} video codec architecture for {IMT-2000} multimedia applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {188--191}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856290}, doi = {10.1109/ISCAS.2000.856290}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakahashiNAMYFM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakalaAAS00, author = {Jarmo Takala and David Akopian and Jaakko T. Astola and Jukka P. Saarinen}, title = {Scalable interconnection networks for partial column array processor architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {513--516}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858801}, doi = {10.1109/ISCAS.2000.858801}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TakalaAAS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakenakaWTF00, author = {Kyoichi Takenaka and Kazuyuki Wada and Shigetaka Takagi and Nobuo Fujii}, title = {Power saving technique for {MOS} differential amplifiers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {213--216}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857401}, doi = {10.1109/ISCAS.2000.857401}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakenakaWTF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TamN00, author = {Derek H. S. Tam and Wai Tung Ng}, title = {A novel mixed-mode adaptive equalization system for high-speed 2-level {PAM} signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {749--752}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858860}, doi = {10.1109/ISCAS.2000.858860}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TamN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanCGC00, author = {Meng Tong Tan and Hock{-}Chuan Chua and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {An investigation on the parameters affecting total harmonic distortion in class {D} amplifiers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {193--196}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858721}, doi = {10.1109/ISCAS.2000.858721}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanCGC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanW00, author = {Ying Tan and Jun Wang}, title = {Nonlinear blind separation using an {RBF} network model}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {634--637}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856140}, doi = {10.1109/ISCAS.2000.856140}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangCBC00, author = {Tin{-}Yau Tang and Chiu{-}sing Choy and Jan Butas and Cheong{-}Fat Chan}, title = {An {ALU} design using a novel asynchronous pipeline architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {361--364}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857439}, doi = {10.1109/ISCAS.2000.857439}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangCBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangF00, author = {Kevin T. Tang and Eby G. Friedman}, title = {Delay and power expressions characterizing a {CMOS} inverter driving an {RLC} load}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {283--286}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856052}, doi = {10.1109/ISCAS.2000.856052}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TangF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangF00a, author = {Kevin T. Tang and Eby G. Friedman}, title = {Transient analysis of a {CMOS} inverter driving resistive interconnect}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {269--272}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858740}, doi = {10.1109/ISCAS.2000.858740}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TangF00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangK00, author = {Johan van der Tang and Dieter Kasperkovitz}, title = {Oscillator design efficiency: a new figure of merit for oscillator benchmarking}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {533--536}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856383}, doi = {10.1109/ISCAS.2000.856383}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangW00, author = {Jing{-}Jou Tang and Ping{-}Tsung Wang}, title = {A efficient placement and global routing algorithm for hierarchical FPGAs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {729--732}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858855}, doi = {10.1109/ISCAS.2000.858855}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tanprasert00, author = {Thitipong Tanprasert}, title = {An analytical 3-D placement that reserves routing space}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {69--72}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855998}, doi = {10.1109/ISCAS.2000.855998}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tanprasert00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanskanenSNTC00, author = {Jarno K. Tanskanen and Tero Sihvo and Jarkko Niittylahti and Jarmo Takala and Reiner Creutzburg}, title = {Parallel, memory access schemes for {H.263} encoder}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {691--694}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857189}, doi = {10.1109/ISCAS.2000.857189}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanskanenSNTC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaoK00, author = {Liang Tao and Hon Keung Kwan}, title = {Real discrete Gabor expansion for finite and infinite sequences}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {637--640}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858832}, doi = {10.1109/ISCAS.2000.858832}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaoK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TarimI00, author = {Tuna B. Tarim and Mohammed Ismail}, title = {Application of a statistical design methodology to low voltage analog {MOS} integrated circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {117--120}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858702}, doi = {10.1109/ISCAS.2000.858702}, timestamp = {Sun, 17 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TarimI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TavaresPH00, author = {V{\'{\i}}tor M. Grade Tavares and Jos{\'{e}} C. Pr{\'{\i}}ncipe and John G. Harris}, title = {A silicon olfactory bulb oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {397--400}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857451}, doi = {10.1109/ISCAS.2000.857451}, timestamp = {Tue, 06 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TavaresPH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TavaresTP00, author = {Gon{\c{c}}alo Nuno Gomes Tavares and Lu{\'{\i}}s Miguel Gomes Tavares and Mois{\'{e}}s Sim{\~{o}}es Piedade}, title = {A new ML-based data-aided feedforward symbol synchronizer for burst-mode transmission}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {357--360}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856335}, doi = {10.1109/ISCAS.2000.856335}, timestamp = {Sat, 01 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TavaresTP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tavsanoglu00, author = {Vedat Tavsanoglu}, title = {A note on the square summability of 2-D first-order digital filter transfer functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {81--84}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858693}, doi = {10.1109/ISCAS.2000.858693}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Tavsanoglu00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TawfikFS00, author = {Rany A. Tawfik and Aly H. Fahmy and Aly E. Salama}, title = {Analog {VLSI} design of supervised-learnable neural cell using switched-current technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {289--292}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856318}, doi = {10.1109/ISCAS.2000.856318}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TawfikFS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tay00, author = {David B. H. Tay}, title = {Two stage, least squares design of biorthogonal filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {591--594}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857164}, doi = {10.1109/ISCAS.2000.857164}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tay00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tay00a, author = {David B. H. Tay}, title = {Families of binary coefficient biorthogonal wavelet filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {371--374}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856074}, doi = {10.1109/ISCAS.2000.856074}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tay00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TefasP00, author = {Anastasios Tefas and Ioannis Pitas}, title = {Image authentication using chaotic mixing systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {216--219}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857066}, doi = {10.1109/ISCAS.2000.857066}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TefasP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThieleCN00, author = {Lothar Thiele and Samarjit Chakraborty and Martin Naedele}, title = {Real-time calculus for scheduling hard real-time systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {101--104}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858698}, doi = {10.1109/ISCAS.2000.858698}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThieleCN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThinakaranWL00, author = {Jagan Thinakaran and Duan Juat Wong{-}Ho and Nam Ling}, title = {An architecture for {MPEG-4} binary shape decoder}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {457--460}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856363}, doi = {10.1109/ISCAS.2000.856363}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThinakaranWL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThorpeDRP00, author = {Simon J. Thorpe and Arnaud Delorme and Rufin Van Rullen and W. Paquier}, title = {Reverse engineering of the visual system using networks of spiking neurons}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {405--408}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858774}, doi = {10.1109/ISCAS.2000.858774}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThorpeDRP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tiebout00, author = {Marc Tiebout}, title = {A 480 {\(\mu\)}W 2 GHz ultra low power dual-modulus prescaler in 0.25 {\(\mu\)}m standard {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {741--744}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857608}, doi = {10.1109/ISCAS.2000.857608}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tiebout00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TobiasSR00, author = {Orlando J. Tobias and Rui Seara and Carlos Aur{\'{e}}lio Faria da Rocha}, title = {Analytical model for the mean weights of two adaptive interpolated-FIR filter structures}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {93--96}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856004}, doi = {10.1109/ISCAS.2000.856004}, timestamp = {Mon, 29 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TobiasSR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TokesORRZ00, author = {Szabolcs T{\~{o}}k{\'{e}}s and L{\'{a}}szl{\'{o}} Orz{\'{o}} and Csaba Rekeczky and Tam{\'{a}}s Roska and {\'{A}}kos Zar{\'{a}}ndy}, title = {An optical {CNN} implementation with stored programmability}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {136--139}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856277}, doi = {10.1109/ISCAS.2000.856277}, timestamp = {Mon, 23 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TokesORRZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TomabechiI00, author = {Nobuhiro Tomabechi and Teruki Ito}, title = {Design of a high-speed {RSA} encryption processor with built-in table for residue calculation of redundant binary numbers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {697--700}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857583}, doi = {10.1109/ISCAS.2000.857583}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TomabechiI00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Toriumi00, author = {Akira Toriumi}, title = {Challenge to off-roadmap silicon devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857080}, doi = {10.1109/ISCAS.2000.857080}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Toriumi00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TounsiH00, author = {Mohamed Lamine Tounsi and Brahim Haraoubia}, title = {Millimeter-wave analysis of directional couplers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {561--564}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858813}, doi = {10.1109/ISCAS.2000.858813}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TounsiH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TourapisALSA00, author = {Alexis M. Tourapis and Oscar C. Au and Ming L. Liou and Guobin Shen and Ishfaq Ahmad}, title = {Optimizing the {MPEG-4} encoder-advanced diamond zonal search}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {674--677}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856150}, doi = {10.1109/ISCAS.2000.856150}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TourapisALSA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Trask00, author = {Chris Trask}, title = {High dynamic range double-balanced active mixers using lossless feedback}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {41--44}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855991}, doi = {10.1109/ISCAS.2000.855991}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Trask00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TseL00, author = {Chi K. Tse and Yuk{-}Ming Lai}, title = {Control of bifurcation in current-programmed {DC/DC} converters: a reexamination of slope compensation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {671--674}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857184}, doi = {10.1109/ISCAS.2000.857184}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TseL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsekeridouP00, author = {Sofia Tsekeridou and Ioannis Pitas}, title = {Wavelet-based self-similar watermarking for still images}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {220--223}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857067}, doi = {10.1109/ISCAS.2000.857067}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsekeridouP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengL00, author = {Chen{-}Cheng Tseng and Su{-}Ling Lee}, title = {Minimax design of stable {IIR} digital filter using iterative linear programming method}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {89--92}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858695}, doi = {10.1109/ISCAS.2000.858695}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengP00, author = {Chien{-}Cheng Tseng and Soo{-}Chang Pei}, title = {Design of discrete-time fractional Hilbert transformer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {525--528}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857487}, doi = {10.1109/ISCAS.2000.857487}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengTC00, author = {Chien Hsun Tseng and Kok Lay Teo and Antonio Cantoni}, title = {Adaptive envelope-constrained filter design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {85--88}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858694}, doi = {10.1109/ISCAS.2000.858694}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TsengTC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsuboneS00, author = {Tadashi Tsubone and Toshimichi Saito}, title = {On basic piecewise-constant systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {248--251}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857074}, doi = {10.1109/ISCAS.2000.857074}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsuboneS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tsuji00, author = {Kohkichi Tsuji}, title = {On a new type of extended Petri nets and its applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {192--195}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857060}, doi = {10.1109/ISCAS.2000.857060}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tsuji00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsujikawaSKN00, author = {Masanori Tsujikawa and Takanori Shiozaki and Yoshinobu Kajikawa and Yasuo Nomura}, title = {Identification and elimination of second-order nonlinear distortion of loudspeaker systems using Volterra filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {249--252}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857410}, doi = {10.1109/ISCAS.2000.857410}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TsujikawaSKN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TuragaC00, author = {Deepak S. Turaga and Tsuhan Chen}, title = {Modeling of dynamic video traffic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {293--296}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858746}, doi = {10.1109/ISCAS.2000.858746}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TuragaC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UMF00, author = {Seng{-}Pan U and Rui Paulo Martins and Jos{\'{e}} E. Franca}, title = {A linear-phase halfband {SC} video interpolation filter with coefficient-sharing and spread-reduction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {177--180}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856025}, doi = {10.1109/ISCAS.2000.856025}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UMF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UbarRM00, author = {Raimund Ubar and Jaan Raik and Adam Morawiec}, title = {Back-tracing and event-driven techniques in high-level simulation with decision diagrams}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {208--211}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857064}, doi = {10.1109/ISCAS.2000.857064}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UbarRM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UesakaK00, author = {Kazuyoshi Uesaka and Masayuki Kawamata}, title = {Synthesis of low-sensitivity second-order digital filter using genetic programming with automatically defined functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {359--362}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857104}, doi = {10.1109/ISCAS.2000.857104}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UesakaK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UetaC00, author = {Tetsushi Ueta and Guanrong Chen}, title = {Bifurcation and bursting response in coupled neural oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {475--478}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856100}, doi = {10.1109/ISCAS.2000.856100}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UetaC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UetaC00a, author = {Tetsushi Ueta and Guanrong Chen}, title = {Bifurcation and chaos of Chen's equation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {505--508}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857482}, doi = {10.1109/ISCAS.2000.857482}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UetaC00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UusikartanoN00, author = {Riku H. Uusikartano and Jarkko T. Niittylahti}, title = {A compact digital frequency synthesizer for {GSM} {IF} up/downconverter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {113--116}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856009}, doi = {10.1109/ISCAS.2000.856009}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/UusikartanoN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VadoSZR00, author = {Patrice Vado and Yvon Savaria and Yannick Zoccarato and Chantal Robach}, title = {A methodology for validating digital circuits with mutation testing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {343--346}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857100}, doi = {10.1109/ISCAS.2000.857100}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VadoSZR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaidyanathanLAP00, author = {Palghat P. Vaidyanathan and Yuan{-}Pei Lin and Sony Akkarakaran and See{-}May Phoong}, title = {Optimality of principal component filter banks for discrete multitone communication systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {128--131}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857043}, doi = {10.1109/ISCAS.2000.857043}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaidyanathanLAP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValliappanEGLT00, author = {Magesh Valliappan and Brian L. Evans and Mohamed Gzara and Miroslav D. Lutovac and Dejan V. Tosic}, title = {Joint optimization of multiple behavioral and implementation properties of digital {IIR} filter designs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {77--80}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858692}, doi = {10.1109/ISCAS.2000.858692}, timestamp = {Tue, 23 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ValliappanEGLT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VanTTF00, author = {Lan{-}Da Van and Chih{-}Chun Tang and Shing Tenqchen and Wu{-}Shiung Feng}, title = {A new {VLSI} architecture without global broadcast for 2-D digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {547--550}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857153}, doi = {10.1109/ISCAS.2000.857153}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VanTTF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vapnik00, author = {Vladimir Naumovich Vapnik}, title = {{SVM} method of estimating density, conditional probability, and conditional density}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {749--752}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856437}, doi = {10.1109/ISCAS.2000.856437}, timestamp = {Fri, 22 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Vapnik00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vargas-BernalSS00, author = {Rafael Vargas{-}Bernal and Arturo Sarmiento{-}Reyes and Wouter A. Serdijn}, title = {Identifying translinear loops in the circuit topology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {585--588}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856396}, doi = {10.1109/ISCAS.2000.856396}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Vargas-BernalSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VarhoA00, author = {Susanna Varho and Paavo Alku}, title = {A linear predictive method for highly compressed presentation of speech spectra}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {57--60}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857362}, doi = {10.1109/ISCAS.2000.857362}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VarhoA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VassZ00, author = {Jozsef Vass and Xinhua Zhuang}, title = {Joint source-channel coding for highly efficient error resilient image transmission}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {311--314}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856059}, doi = {10.1109/ISCAS.2000.856059}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VassZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vazirani00, author = {Umesh V. Vazirani}, title = {Quantum computing and quantum complexity theory}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {737--739}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857201}, doi = {10.1109/ISCAS.2000.857201}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vazirani00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VeeravalliSS00, author = {Anand Veeravalli and Edgar S{\'{a}}nchez{-}Sinencio and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, title = {Different operational transconductance amplifier topologies for obtaining very small transconductances}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {189--192}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858720}, doi = {10.1109/ISCAS.2000.858720}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VeeravalliSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VerplaetseCS00, author = {Peter Verplaetse and Jan Van Campenhout and Dirk Stroobandt}, title = {On synthetic benchmark generation methods}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {213--216}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858726}, doi = {10.1109/ISCAS.2000.858726}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VerplaetseCS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VesmaS00, author = {Jussi Vesma and Tapio Antero Saram{\"{a}}ki}, title = {Design and properties of polynomial-based fractional delay filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {104--107}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857037}, doi = {10.1109/ISCAS.2000.857037}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VesmaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VetroSW00, author = {Anthony Vetro and Huifang Sun and Yao Wang}, title = {Object-based transcoding for scalable quality of service}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {17--20}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858677}, doi = {10.1109/ISCAS.2000.858677}, timestamp = {Thu, 17 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VetroSW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VidalPMAP00, author = {Eva Vidal and Sonia Porta and Herminio Mart{\'{\i}}nez and Eduard Alarc{\'{o}}n and Alberto Poveda}, title = {Complete nonlinear model for the {MRC} {(MOS} resistive circuit)}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {140--143}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857046}, doi = {10.1109/ISCAS.2000.857046}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VidalPMAP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VincenceGS00, author = {Volney C. Vincence and Carlos Galup{-}Montoro and M{\'{a}}rcio C. Schneider}, title = {A high-swing {MOS} cascode bias circuit for operation at any current level}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {489--492}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857478}, doi = {10.1109/ISCAS.2000.857478}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VincenceGS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VlassisS00, author = {Spiridon Vlassis and Stylianos Siskos}, title = {Current-mode non-linear building blocks based on floating-gate transistors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {521--524}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856380}, doi = {10.1109/ISCAS.2000.856380}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VlassisS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VlassisS00a, author = {Spiridon Vlassis and Stilianos Siskos}, title = {{CMOS} outlier rejection circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {729--732}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857605}, doi = {10.1109/ISCAS.2000.857605}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VlassisS00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VlcekZU00, author = {Miroslav Vlcek and Pavel Zahradnik and Rolf Unbehauen}, title = {Asymptotic behaviour of {FIR} filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {100--103}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857036}, doi = {10.1109/ISCAS.2000.857036}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VlcekZU00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VogelsG00, author = {Martin Vogels and Georges G. E. Gielen}, title = {Efficient analysis of the stability of sigma-delta modulators using wavelets}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {764}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856171}, doi = {10.1109/ISCAS.2000.856171}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VogelsG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VoghellS00, author = {Jean{-}Charles Voghell and Mohamad Sawan}, title = {Current tuneable {CMOS} transconductor for filtering applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {165--168}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857389}, doi = {10.1109/ISCAS.2000.857389}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VoghellS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VucicB00, author = {Mladen Vucic and Hrvoje Babic}, title = {Filter families with minimum impulse response moments}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {557--560}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856389}, doi = {10.1109/ISCAS.2000.856389}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VucicB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuoleviR00, author = {Joel H. Vuolevi and Timo Rahkonen}, title = {The effects of source impedance on the linearity of {BTJ} common-emitter amplifiers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {197--200}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858722}, doi = {10.1109/ISCAS.2000.858722}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VuoleviR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WanM00, author = {Jie Wan and Karen Nan Miu}, title = {Load estimation in radial electric power distribution networks using limited measurements}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {517--520}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856379}, doi = {10.1109/ISCAS.2000.856379}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WanM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wang00, author = {HongMo Wang}, title = {A solution for minimizing phase noise in low-power resonator-based oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {53--56}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855994}, doi = {10.1109/ISCAS.2000.855994}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wang00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCC00, author = {Chua{-}Chin Wang and Yu{-}Tsun Chien and Ying{-}Pei Chen}, title = {Design of an inter-plane circuit for clocked PLAs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {281--284}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858743}, doi = {10.1109/ISCAS.2000.858743}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCM00, author = {Xiao Fan Wang and Guanrong Chen and Kim{-}Fung Man}, title = {Chaotifing a continuous-time system by time-delay feedback}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {116--119}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856272}, doi = {10.1109/ISCAS.2000.856272}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangCM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangD00, author = {Chunyan Wang and Francis Devos}, title = {An adaptive optical sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {333--336}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858756}, doi = {10.1109/ISCAS.2000.858756}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangH00, author = {Jinn{-}Shyan Wang and Chun{-}Shing Huang}, title = {A high-speed single-phase-clocked {CMOS} priority encoder}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {537--540}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857490}, doi = {10.1109/ISCAS.2000.857490}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHC00, author = {Chin{-}Liang Wang and Jah{-}Ming Hsu and Ting{-}Yang Chang}, title = {Adaptive channel estimation using the {GOBA} algorithm for turbo codes in Rayleigh flat-fading channels}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {45--48}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858684}, doi = {10.1109/ISCAS.2000.858684}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangJY00, author = {Wenjie Wang and Bofeng Jiang and Qinye Yin}, title = {A beam tracking algorithm for space-time Rake receiver with a new beamformer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {613--616}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858826}, doi = {10.1109/ISCAS.2000.858826}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangJY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangK00, author = {Bo{-}Ting Wang and James B. Kuo}, title = {A novel two-port 6T {CMOS} {SRAM} cell structure for low-voltage {VLSI} {SRAM} with single-bit-line simultaneous read-and-write access {(SBLSRWA)} capability}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {733--736}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857606}, doi = {10.1109/ISCAS.2000.857606}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLH00, author = {Chua{-}Chin Wang and Po{-}Ming Lee and Chenn{-}Jung Hunng}, title = {Improved design of C\({}^{\mbox{2}}\)PL 3-2 compressors with less transistor count}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {61--64}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858688}, doi = {10.1109/ISCAS.2000.858688}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLSSH00, author = {Jinn{-}Shyan Wang and Pei{-}Lung Lin and Wern{-}Ho Sheen and Duo Sheng and Yu{-}Ming Huang}, title = {A compact adaptive equalizer {IC} for {HIPERLAN} system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {265--268}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856312}, doi = {10.1109/ISCAS.2000.856312}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLSSH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLTH00, author = {Lih{-}Yang Wang and Chi{-}Sung Laih and Hang{-}Geng Tsai and Nern{-}Min Huang}, title = {On the hardware design for {DES} cipher in tamper resistant devices against differential fault analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {697--700}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856424}, doi = {10.1109/ISCAS.2000.856424}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLTH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangSP00, author = {Zhongfeng Wang and Hiroshi Suzuki and Keshab K. Parhi}, title = {Efficient approaches to improving performance of {VLSI} SOVA-based turbo decoders}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {287--290}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857086}, doi = {10.1109/ISCAS.2000.857086}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangTC00, author = {Yan Wang and Chi{-}Ying Tsui and Roger S. Cheng}, title = {A low power {VLSI} architecture of SOVA-based turbo-code decoder using scarce state transition scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {283--286}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857085}, doi = {10.1109/ISCAS.2000.857085}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangTC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangW00, author = {Hai{-}Wei Wang and Che{-}Ho Wei}, title = {Pilot-symbol assisted channel estimation for coherent {DS-CDMA} communications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {383--386}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856077}, doi = {10.1109/ISCAS.2000.856077}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangWC00, author = {Jia{-}Ching Wang and Jhing{-}Fa Wang and Han{-}Chiang Chen}, title = {Single chip implementation of the 1.6 kbps speech vocoder}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {597--600}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857506}, doi = {10.1109/ISCAS.2000.857506}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangWC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangWW00, author = {Chua{-}Chin Wang and Hsin{-}Long Wu and Chih{-}Feng Wu}, title = {A fast dynamic 64-bit comparator with small transistor count}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {545--548}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857492}, doi = {10.1109/ISCAS.2000.857492}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangWW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZZ00, author = {Roy Wang and Hong{-}Jiang Zhang and Ya{-}Qin Zhang}, title = {A confidence measure based moving object extraction system built for compressed domain}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {21--24}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857353}, doi = {10.1109/ISCAS.2000.857353}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangZZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WassatschHT00, author = {Andreas Wassatsch and Marc Haase and Dirk Timmermann}, title = {DOLFIN-digit online for integration neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {602--605}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856132}, doi = {10.1109/ISCAS.2000.856132}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WassatschHT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WawrynM00, author = {Krzysztof Wawryn and Andrzej Mazurek}, title = {Current mode circuits for programmable neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {678--681}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856151}, doi = {10.1109/ISCAS.2000.856151}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WawrynM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WawrynS00, author = {Krzysztof Wawryn and Bogdan Strzeszewski}, title = {Prototype low power {WTA} circuits for programmable neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {753--756}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857611}, doi = {10.1109/ISCAS.2000.857611}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WawrynS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WegenerK00, author = {Carsten Wegener and Michael Peter Kennedy}, title = {Model-based testing of high-resolution ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {335--338}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857098}, doi = {10.1109/ISCAS.2000.857098}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WegenerK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiS00, author = {Shugang Wei and Kensuke Shimizu}, title = {Residue arithmetic circuits using a signed-digit number representation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {24--27}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857016}, doi = {10.1109/ISCAS.2000.857016}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeinfurterBDJPS00, author = {Harald Weinfurter and Dirk Bouwmeester and Mark Daniell and Thomas Jennewein and Jian{-}Wei Pan and Christoph Simon and Gregor Weihs and Anton Zeilinger}, title = {Quantum communication and entanglement}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {236--239}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856302}, doi = {10.1109/ISCAS.2000.856302}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeinfurterBDJPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WiangtongSL00, author = {Theerayod Wiangtong and Worranart Sangchai and Pichit Lumyong}, title = {{FPGA} based-IC design for inverter with vector modulation technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {499--502}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857141}, doi = {10.1109/ISCAS.2000.857141}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WiangtongSL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilliamsK00, author = {Richard Stanley Williams and Philip J. Kuekes}, title = {Molecular nanoelectronics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {5--7}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857011}, doi = {10.1109/ISCAS.2000.857011}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WilliamsK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilsonHD00, author = {Charles S. Wilson and Paul E. Hasler and Stephen P. DeWeerth}, title = {Synchrony detection for spike-mediated computation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {305--308}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856322}, doi = {10.1109/ISCAS.2000.856322}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WilsonHD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WongAW00, author = {Peter Hon{-}Wah Wong and Oscar C. Au and Justy W. C. Wong}, title = {Image watermarking using spread spectrum technique in log-2-spatio domain}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {224--227}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857068}, doi = {10.1109/ISCAS.2000.857068}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WongAW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WongAW00a, author = {Justy W. C. Wong and Oscar C. Au and Peter H. W. Wong}, title = {Novel fast motion estimation for frame rate/structure conversion}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {317--320}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858752}, doi = {10.1109/ISCAS.2000.858752}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WongAW00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WongTC00, author = {Bob Ka{-}Man Wong and Chi{-}Ying Tsui and Roger S.{-}K. Cheng}, title = {Low complexity {VLSI} implementation of a joint successive interference cancellation with interleaving scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {365--368}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856337}, doi = {10.1109/ISCAS.2000.856337}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WongTC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WooLY00, author = {Ramchan Woo and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {A 670 ps, 64 bit dynamic low-power adder design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {28--31}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857017}, doi = {10.1109/ISCAS.2000.857017}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WooLY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WorapishetHT00, author = {Apisak Worapishet and John B. Hughes and Christofer Toumazou}, title = {Low-voltage class {AB} two-step sampling switched-currents}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {413--416}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856352}, doi = {10.1109/ISCAS.2000.856352}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WorapishetHT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WroblewskiSN00, author = {Marek Wr{\'{o}}blewski and Sven Simon and Josef A. Nossek}, title = {Low power transformation of datapath architectures with cyclic SFGs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {597--600}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856399}, doi = {10.1109/ISCAS.2000.856399}, timestamp = {Tue, 05 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WroblewskiSN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WroblewskiSN00a, author = {Artur Wr{\'{o}}blewski and Christian V. Schimpfle and Josef A. Nossek}, title = {Automated transistor sizing algorithm for minimizing spurious switching activities in {CMOS} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {291--294}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856054}, doi = {10.1109/ISCAS.2000.856054}, timestamp = {Thu, 16 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WroblewskiSN00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wu0XZ00, author = {Xiaoyun Wu and Wenwu Zhu and Zixiang Xiong and Ya{-}Qin Zhang}, title = {Object-based multiresolution watermarking of images and video}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {212--215}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857065}, doi = {10.1109/ISCAS.2000.857065}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wu0XZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuAS00, author = {Meng Wu and M. Omair Ahmad and M. N. S. Swamy}, title = {A new fractal zerotree coding for wavelet image}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {21--24}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855985}, doi = {10.1109/ISCAS.2000.855985}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuAS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCG00, author = {Chung{-}Yu Wu and Yu Cheng and Jeng Gong}, title = {The new {CMOS} 2 {V} low-power {IF} fully differential Rm-C bandpass amplifier for {RF} wireless receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {633--636}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856408}, doi = {10.1109/ISCAS.2000.856408}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuCG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuH00, author = {Hui Wu and Ali Hajimiri}, title = {A novel tuning technique for distributed voltage controlled oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {57--60}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855995}, doi = {10.1109/ISCAS.2000.855995}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHZ0C00, author = {Dapeng Oliver Wu and Yiwei Thomas Hou and Ya{-}Qin Zhang and Wenwu Zhu and H. Jonathan Chao}, title = {Adaptive {QOS} control for {MPEG-4} video communication over wireless channels}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {48--51}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857023}, doi = {10.1109/ISCAS.2000.857023}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHZ0C00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuIO00, author = {Yue Wu and Mohammed Ismail and H{\aa}kan K. Olsson}, title = {A novel {CMOS} fully differential inductorless {RF} bandpass filter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {149--152}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858710}, doi = {10.1109/ISCAS.2000.858710}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuIO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuKMT00, author = {Lei Wu and Mustafa Keskin and Un{-}Ku Moon and Gabor C. Temes}, title = {Efficient common-mode feedback circuits for pseudo-differential switched-capacitor stages}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {445--448}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857467}, doi = {10.1109/ISCAS.2000.857467}, timestamp = {Sat, 26 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuKMT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuMM00, author = {Patrick B. Wu and Robert J. Mack and Robert E. Massara}, title = {A parameterised block-level layout generation system for {CMOS} analog ICs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {197--200}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856030}, doi = {10.1109/ISCAS.2000.856030}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuMM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuSIO00, author = {Yue Wu and Chunlei Shi and Mohammed Ismail and H{\aa}kan K. Olsson}, title = {Temperature compensation design for a 2.4 GHz {CMOS} low noise amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {323--326}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857095}, doi = {10.1109/ISCAS.2000.857095}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuSIO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuSWS00, author = {Chien{-}Ming Wu and Ming{-}Der Shieh and Chien{-}Hsing Wu and Ming{-}Hwa Sheu}, title = {An efficient approach for in-place scheduling of path metric update in Viterbi decoders}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {61--64}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855996}, doi = {10.1109/ISCAS.2000.855996}, timestamp = {Thu, 16 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuSWS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuW00, author = {Cheng{-}Shing Wu and An{-}Yeu Wu}, title = {Modified vector rotational {CORDIC} {(MVR-CORDIC)} algorithm and its application to {FFT}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {529--532}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858805}, doi = {10.1109/ISCAS.2000.858805}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Xiao00, author = {Yang Xiao}, title = {Schur stability of interval bivariate polynomials}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {527--530}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857148}, doi = {10.1109/ISCAS.2000.857148}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Xiao00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XingLLZ00, author = {Guiwei Xing and Jin Li and Shipeng Li and Ya{-}Qin Zhang}, title = {Arbitrarily shaped video object coding by wavelet}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {535--538}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856115}, doi = {10.1109/ISCAS.2000.856115}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XingLLZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YakoutAE00, author = {Mohamed A. Yakout and AbdelFattah I. Abdelfattah and Ayman S. El{-}Baz}, title = {BiCMOS current conveyor: design and application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {463--466}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856097}, doi = {10.1109/ISCAS.2000.856097}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YakoutAE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamamuraT00, author = {Kiyotaka Yamamura and Shigeru Tanaka}, title = {Finding all solutions of piecewise-linear resistive circuits using the dual simplex method}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {165--168}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858714}, doi = {10.1109/ISCAS.2000.858714}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YamamuraT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamauchiWNU00, author = {Masayuki Yamauchi and Masahiro Wada and Yoshifumi Nishio and Akio Ushida}, title = {Collisions between two phase-inversion-waves in an array of oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {679--682}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857186}, doi = {10.1109/ISCAS.2000.857186}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamauchiWNU00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanS00, author = {Shouli Yan and Edgar S{\'{a}}nchez{-}Sinencio}, title = {A programmable rail-to-rail constant-g\({}_{\mbox{m}}\) input structure for {LV} amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {645--648}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857543}, doi = {10.1109/ISCAS.2000.857543}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHCH00, author = {Jar{-}Ferr Yang and Shu{-}Sheng Hao and Pau{-}Choo Chung and Chieh{-}Ling Huang}, title = {Color object segmentation with eigen-based fuzzy C-means}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {25--28}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857354}, doi = {10.1109/ISCAS.2000.857354}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHCH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHZS00, author = {Hongwei Yang and Chen He and Hong{-}Wen Zhu and Wentao Song}, title = {Prediction of slant path rain attenuation based on artificial neural network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {152--155}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857049}, doi = {10.1109/ISCAS.2000.857049}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHZS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangKAPASLL00, author = {Jin{-}Young Yang and Yongsuk Kim and Sang{-}Woo Ahn and Min{-}Sik Park and Chieteuk Ahn and Jong{-}Won Seok and Young{-}Kwon Lim and Kyu Won Lee}, title = {A design of a streaming system for interactive television broadcast}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {559--562}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856121}, doi = {10.1109/ISCAS.2000.856121}, timestamp = {Sat, 10 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangKAPASLL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangWW00, author = {Po{-}Hui Yang and Jinn{-}Shyan Wang and Yi{-}Ming Wang}, title = {A 1-GHz low-power transposition memory using new pulse-clocked {D} flip-flops}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {665--668}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857560}, doi = {10.1109/ISCAS.2000.857560}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangWW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yasuda00, author = {Takeo Yasuda}, title = {High-speed wide-locking range {VCO} with frequency calibration}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {45--48}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855992}, doi = {10.1109/ISCAS.2000.855992}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Yasuda00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YatsukiM00, author = {Shuji Yatsuki and Hiromi Miyajima}, title = {Statistical dynamics of associative memory for higher order neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {670--673}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856149}, doi = {10.1109/ISCAS.2000.856149}, timestamp = {Fri, 16 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YatsukiM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yen00, author = {Jui{-}Cheng Yen}, title = {A new k-groups neural network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {658--661}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856146}, doi = {10.1109/ISCAS.2000.856146}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Yen00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yli-KaakinenS00, author = {Juha Yli{-}Kaakinen and Tapio Saram{\"{a}}ki}, title = {An algorithm for the design of multiplierless approximately linear-phase lattice-wave digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {77--80}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856262}, doi = {10.1109/ISCAS.2000.856262}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Yli-KaakinenS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YodprasitN00, author = {Uroschanit Yodprasit and Jitkasame Ngarmnil}, title = {Q-enhancing technique for rf {CMOS} active inductor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {589--592}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857503}, doi = {10.1109/ISCAS.2000.857503}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YodprasitN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YooK00, author = {Seung{-}Moon Yoo and Sung{-}Mo Kang}, title = {New high performance sub-1 {V} circuit technique with reduced standby current and robust data holding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {65--68}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858689}, doi = {10.1109/ISCAS.2000.858689}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YooK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoonC00, author = {Sang{-}Hun Yoon and Jong{-}Wha Chong}, title = {{FIR} digital filter implementation using flattened coefficient}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {363--366}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856072}, doi = {10.1109/ISCAS.2000.856072}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoonC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YouLCPKC00, author = {Seung{-}Bin You and Ku{-}Whan Lee and Hee{-}Cheol Choi and Ho{-}Jin Park and Jae{-}Whui Kim and Philip Chung}, title = {A 3.3 {V} 14-bit 10 {MSPS} calibration-free {CMOS} pipelined {A/D} converter}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {435--438}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857124}, doi = {10.1109/ISCAS.2000.857124}, timestamp = {Sun, 17 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YouLCPKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YounXS00, author = {Jeongnam Youn and Jun Xin and Ming{-}Ting Sun}, title = {Fast video transcoding architectures for networked multimedia applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {25--28}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858679}, doi = {10.1109/ISCAS.2000.858679}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YounXS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YousefS00, author = {Nabil R. Yousef and Ali H. Sayed}, title = {A unified approach to the steady-state analysis of quantized adaptive filtering algorithms}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {341--344}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856331}, doi = {10.1109/ISCAS.2000.856331}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YousefS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YousefS00a, author = {Nabil R. Yousef and Ali H. Sayed}, title = {A new combined architecture for {CDMA} location searchers and {RAKE} receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {101--104}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856006}, doi = {10.1109/ISCAS.2000.856006}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YousefS00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuL00, author = {Yi Yu and Wayne Lawton}, title = {Learning from examples with spatial-adaptive wavelet-based reproducing kernels}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {761--764}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856440}, doi = {10.1109/ISCAS.2000.856440}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuWCCLCC00, author = {Tsung{-}Hsin Yu and Chung{-}Yu Wu and Pei{-}Yen Chen and Fa{-}Wen Chi and Jiunn{-}Jye Luo and Cheng Der Chiang and Ya{-}Tung Cherng}, title = {A new {CMOS} readout circuit for uncooled bolometric infrared focal plane arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {493--496}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856373}, doi = {10.1109/ISCAS.2000.856373}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuWCCLCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanK00, author = {Li{-}Pen Yuan and Sung{-}Mo Kang}, title = {Detection and elimination of initial transient for accurate power analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {463--466}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857131}, doi = {10.1109/ISCAS.2000.857131}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuanK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuenTC00, author = {Chung M. Yuen and Kim Fung Tsang and Wai Hung Chan}, title = {Direct memory access frequency synthesizer for channel efficiency improvement in frequency hopping communication}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {485--488}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858794}, doi = {10.1109/ISCAS.2000.858794}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuenTC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YungJH00, author = {Weng Ho Yung and Min Jian and Yew Wee Ho}, title = {Polyphase decomposition channelizers for software radios}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {353--356}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856334}, doi = {10.1109/ISCAS.2000.856334}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YungJH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yurdakul00, author = {Arda Yurdakul}, title = {A synthesis tool for the multiplierless realization of FIR-based multirate {DSP} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {69--72}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858690}, doi = {10.1109/ISCAS.2000.858690}, timestamp = {Sat, 16 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Yurdakul00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZarisWR00, author = {Paul Zaris and Jeffrey Wood and Eric Rogers}, title = {The zero structure of nD linear systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {399--402}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857114}, doi = {10.1109/ISCAS.2000.857114}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZarisWR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZekiK00, author = {Ali Zeki and Hakan Kuntman}, title = {High-linearity low-voltage self-cascode class {AB} {CMOS} current output stage}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {257--260}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858737}, doi = {10.1109/ISCAS.2000.858737}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZekiK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zemanian00, author = {Armen H. Zemanian}, title = {Maximum principles for node voltages and branch currents in transfinite resistive networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {475--478}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857135}, doi = {10.1109/ISCAS.2000.857135}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Zemanian00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengBL00, author = {Yonghong Zeng and Guoan Bi and Abdul Rahim Leyman}, title = {Polynomial transform algorithms for multidimensional discrete Hartley transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {517--520}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857485}, doi = {10.1109/ISCAS.2000.857485}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengBL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengLZTZ00, author = {Xuan Zeng and Mingyuan Li and Wenqing Zhao and Pushan Tang and Dian Zhou}, title = {Parasitic and mismatch modeling for optimal stack generation [in {CMOS]}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {193--196}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856029}, doi = {10.1109/ISCAS.2000.856029}, timestamp = {Wed, 11 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengLZTZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZervasSTGT00, author = {Nikolaos D. Zervas and Dimitrios Soudris and Spyros Theoharis and Constantinos E. Goutis and Adonios Thanailakis}, title = {A methodology for the behavioral-level event-driven power management of digital receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {589--592}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856397}, doi = {10.1109/ISCAS.2000.856397}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZervasSTGT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCB00, author = {Feng Zhang and Yan Qiu Chen and Guoan Bi}, title = {Adaptive Harmonic Fractional Fourier Transform}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {45--48}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857359}, doi = {10.1109/ISCAS.2000.857359}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCLHW00, author = {Jun Zhang and Henry S. H. Chung and Wai Lun Lo and S. Y. Ron Hui and A. Wu}, title = {Decoupled optimization technique for design of switching regulators using genetic algorithms}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {495--498}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856105}, doi = {10.1109/ISCAS.2000.856105}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCLHW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLLZ00, author = {Subing Zhang and Guoying Lv and Zemin Lin and Zheng Zhou}, title = {A novel {VC} routing algorithm based on chaotic neural networks in {ATM} networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {523--526}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856112}, doi = {10.1109/ISCAS.2000.856112}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLLZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLMSTL00, author = {Qiang Zhang and Juin J. Liou and John McMacken and Kevin Stiles and J. Ross Thomson and Paul Layman}, title = {An efficient and practical {MOS} statistical model for digital applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {433--436}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856357}, doi = {10.1109/ISCAS.2000.856357}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLMSTL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangMEF00, author = {Xuguang Zhang and Brent J. Maundy and Ezz I. El{-}Masry and Ivars G. Finvers}, title = {A novel low-voltage operational transconductance amplifier and its applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {661--664}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856415}, doi = {10.1109/ISCAS.2000.856415}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangMEF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangSY00, author = {Xi Zhang and Kazuyoshi Suzuki and Toshinori Yoshikawa}, title = {Complex Chebyshev approximation for {IIR} digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {371--374}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857107}, doi = {10.1109/ISCAS.2000.857107}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangSY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZZ00, author = {Qian Zhang and Ya{-}Qin Zhang and Wenwu Zhu}, title = {Resource allocation for audio and video streaming over the Internet}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {21--24}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858678}, doi = {10.1109/ISCAS.2000.858678}, timestamp = {Sat, 07 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoS00, author = {Min Zhao and Sachin S. Sapatnekar}, title = {Dual-monotonic domino gate mapping and optimal output phase assignment of domino logic}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {309--312}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856323}, doi = {10.1109/ISCAS.2000.856323}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zheng00, author = {Wei Xing Zheng}, title = {A fast convergent algorithm for identification of noisy autoregressive signals}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {497--500}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858797}, doi = {10.1109/ISCAS.2000.858797}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Zheng00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zheng00a, author = {Wei Xing Zheng}, title = {Improved parameter estimation of linear systems with noisy data}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {505--508}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858799}, doi = {10.1109/ISCAS.2000.858799}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Zheng00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengL00, author = {Haitao Zheng and K. J. Ray Liu}, title = {Space-time diversity for multimedia delivery over wireless channels}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {285--288}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858744}, doi = {10.1109/ISCAS.2000.858744}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhengL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengLT00, author = {Li{-}Rong Zheng and Bingxin Li and Hannu Tenhunen}, title = {Efficient and accurate modeling of power supply noise on distributed on-chip power networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {513--516}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856378}, doi = {10.1109/ISCAS.2000.856378}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengLT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengMMT00, author = {Zhilliang Zheng and Byung{-}Moo Min and Un{-}Ku Moon and Gabor C. Temes}, title = {Efficient error-cancelling algorithmic {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {451--454}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857128}, doi = {10.1109/ISCAS.2000.857128}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengMMT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuCD00, author = {Jie Zhu and Xi{-}Ren Cao and Zhi Ding}, title = {An algebraic principle in blind separation of single source signal}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {693--696}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858846}, doi = {10.1109/ISCAS.2000.858846}, timestamp = {Tue, 25 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuCD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuLIB00, author = {Guangyong Zhu and Shiguo Luo and Chris Iannello and Issa Batarseh}, title = {Modeling of conduction losses in {PWM} converters operating in discontinuous conduction mode}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {511--514}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856109}, doi = {10.1109/ISCAS.2000.856109}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhuLIB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZoiaA00, author = {Giorgio Zoia and Claudio Alberti}, title = {An efficient block-based interpreter for {MPEG-4} structured audio}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {698--701}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856156}, doi = {10.1109/ISCAS.2000.856156}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZoiaA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZouCN00, author = {Yue{-}Xian Zou and Shing{-}Chow Chan and Tung{-}Sang Ng}, title = {A robust statistics based adaptive lattice-ladder filter in impulsive noise}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {539--542}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856116}, doi = {10.1109/ISCAS.2000.856116}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZouCN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2000, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000}, doi = {10.1109/ISCAS.2000}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.