Search dblp for Publications

export results for "toc:db/conf/iolts/iolts2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iolts/Abraham19,
  author       = {Jacob A. Abraham},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Resiliency Demands on Next Generation Critical Embedded Systems},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {135--138},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854420},
  doi          = {10.1109/IOLTS.2019.8854420},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/Abraham19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AerabiPH19,
  author       = {Ehsan Aerabi and
                  Athanasios Papadimitriou and
                  David H{\'{e}}ly},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {On a Side Channel and Fault Attack Concurrent Countermeasure Methodology
                  for MCU-based Byte-sliced Cipher Implementations},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {103--108},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854372},
  doi          = {10.1109/IOLTS.2019.8854372},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AerabiPH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AhlawatATFS19,
  author       = {Satyadev Ahlawat and
                  Kailash Ahirwar and
                  Jaynarayan T. Tudu and
                  Masahiro Fujita and
                  Virendra Singh},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Securing Scan through Plain-text Restriction},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {251--252},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854411},
  doi          = {10.1109/IOLTS.2019.8854411},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AhlawatATFS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AlcaideKHA19,
  author       = {Sergi Alcaide and
                  Leonidas Kosmidis and
                  Carles Hern{\'{a}}ndez and
                  Jaume Abella},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Software-only Diverse Redundancy on GPUs for Autonomous Driving Platforms},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {90--96},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854378},
  doi          = {10.1109/IOLTS.2019.8854378},
  timestamp    = {Fri, 14 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AlcaideKHA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AmrouchSPKSTH19,
  author       = {Hussam Amrouch and
                  Victor M. van Santen and
                  Om Prakash and
                  Hammam Kattan and
                  Sami Salamin and
                  Simon Thomann and
                  J{\"{o}}rg Henkel},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Reliability Challenges with Self-Heating and Aging in FinFET Technology},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {68--71},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854405},
  doi          = {10.1109/IOLTS.2019.8854405},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AmrouchSPKSTH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AndjelkovicLSKK19,
  author       = {Marko S. Andjelkovic and
                  Yuanqing Li and
                  Zoran Stamenkovic and
                  Milos Krstic and
                  Rolf Kraemer},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Characterization and Modeling of {SET} Generation Effects in {CMOS}
                  Standard Logic Cells},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {212--215},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854379},
  doi          = {10.1109/IOLTS.2019.8854379},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/AndjelkovicLSKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AthavaleMP19,
  author       = {Jyotika Athavale and
                  Riccardo Mariani and
                  Michael Paulitsch},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Flight Safety Certification Implications for Complex Multi-Core Processor
                  based Avionics Systems},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {38--39},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854415},
  doi          = {10.1109/IOLTS.2019.8854415},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AthavaleMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/BagbabaJRS19,
  author       = {Ahmet Cagri Bagbaba and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Christian Sauer},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Efficient Fault Injection based on Dynamic {HDL} Slicing Technique},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {52--53},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854419},
  doi          = {10.1109/IOLTS.2019.8854419},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/BagbabaJRS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/BolchiniCMRZN19,
  author       = {Cristiana Bolchini and
                  Luca Cassano and
                  Ivan Montalbano and
                  Giampiero Repole and
                  Andrea Zanetti and
                  Giorgio Di Natale},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {{HATE:} a HArdware Trojan Emulation Environment for Microprocessor-based
                  Systems},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {109--114},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854414},
  doi          = {10.1109/IOLTS.2019.8854414},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/BolchiniCMRZN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/BreitenreiterLR19,
  author       = {Anselm Breitenreiter and
                  Jes{\'{u}}s L{\'{o}}pez and
                  Pedro Reviriego and
                  Milos Krstic and
                  {\'{U}}rsula Gutierro and
                  Manuel S{\'{a}}nchez{-}Renedo and
                  Daniel Gonz{\'{a}}lez},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A Radiation Tolerant 10/100 Ethernet Transceiver for Space Applications},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {220--223},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854370},
  doi          = {10.1109/IOLTS.2019.8854370},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/BreitenreiterLR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/BreitenreiterWS19,
  author       = {Anselm Breitenreiter and
                  Stefan Weidling and
                  Oliver Schrape and
                  Steffen Zeidler and
                  Pedro Reviriego and
                  Milos Krstic},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Selective Fault Tolerance by Counting Gates with Controlling Value},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {15--20},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854380},
  doi          = {10.1109/IOLTS.2019.8854380},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/BreitenreiterWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CalligaroG19,
  author       = {Cristiano Calligaro and
                  Umberto Gatti},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Recipes to build-up a rad-hard {CMOS} memory},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {216--219},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854396},
  doi          = {10.1109/IOLTS.2019.8854396},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/CalligaroG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CeccarelliMMDH19,
  author       = {Edoardo Ceccarelli and
                  Kevin Manning and
                  Giuseppe Macera and
                  Dennis Dempsey and
                  Colm Heffernan},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {HCD-Induced {GIDL} Increase and Circuit Implications},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {76--79},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854462},
  doi          = {10.1109/IOLTS.2019.8854462},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/CeccarelliMMDH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CondiaR19,
  author       = {Josie E. Rodriguez Condia and
                  Matteo Sonza Reorda},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Testing permanent faults in pipeline registers of GPGPUs: {A} multi-kernel
                  approach},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {97--102},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854463},
  doi          = {10.1109/IOLTS.2019.8854463},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/CondiaR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/DraghettiSCR19,
  author       = {Lucas Klein Draghetti and
                  Fernando Fernandes dos Santos and
                  Luigi Carro and
                  Paolo Rech},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Detecting Errors in Convolutional Neural Networks Using Inter Frame
                  Spatio-Temporal Correlation},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {310--315},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854431},
  doi          = {10.1109/IOLTS.2019.8854431},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/DraghettiSCR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/EbaraYFK19,
  author       = {Mitsunori Ebara and
                  Kodai Yamada and
                  Jun Furuta and
                  Kazutoshi Kobayashi},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Comparison of Radiation Hardness of Stacked Transmission-Gate Flip
                  Flop and Stacked Tristate-Inverter Flip Flop in a 65 nm Thin {BOX}
                  {FDSOI} Process},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854436},
  doi          = {10.1109/IOLTS.2019.8854436},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/EbaraYFK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/EscuderoVR19,
  author       = {Manuel Escudero and
                  Ioannis Vourkas and
                  Antonio Rubio},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Stuck-at-OFF Fault Analysis in Memristor-Based Architecture for Synchronization},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {33--37},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854413},
  doi          = {10.1109/IOLTS.2019.8854413},
  timestamp    = {Thu, 06 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/EscuderoVR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/GizopoulosPCR0U19,
  author       = {Dimitris Gizopoulos and
                  George Papadimitriou and
                  Athanasios Chatzidimitriou and
                  Vijay Janapa Reddi and
                  Behzad Salami and
                  Osman S. Unsal and
                  Adri{\'{a}}n Cristal Kestelman and
                  Jingwen Leng},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {129--134},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854386},
  doi          = {10.1109/IOLTS.2019.8854386},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/GizopoulosPCR0U19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/HasegawaCT19,
  author       = {Kento Hasegawa and
                  Kiyoshi Chikamatsu and
                  Nozomu Togawa},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Empirical Evaluation on Anomaly Behavior Detection for Low-Cost Micro-Controllers
                  Utilizing Accurate Power Analysis},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {54--57},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854456},
  doi          = {10.1109/IOLTS.2019.8854456},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/HasegawaCT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/HosakaNKMK19,
  author       = {Takumi Hosaka and
                  Shinichi Nishizawa and
                  Ryo Kishida and
                  Takashi Matsumoto and
                  Kazutoshi Kobayashi},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Compact Modeling of {NBTI} Replicating {AC} Stress / Recovery from
                  a Single-shot Long-term {DC} Measurement},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {305--309},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854421},
  doi          = {10.1109/IOLTS.2019.8854421},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/HosakaNKMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/HsuHYM19,
  author       = {Shu{-}Han Hsu and
                  Ying{-}Yuan Huang and
                  Kexin Yang and
                  Linda Milor},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Identification of Failure Modes for Circuit Samples with Confounded
                  Causes of Failure},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {257--262},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854409},
  doi          = {10.1109/IOLTS.2019.8854409},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/HsuHYM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/IshikawaTYT19,
  author       = {Ryota Ishikawa and
                  Masashi Tawada and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Error Correction Coding of Stochastic Numbers Using {BER} Measurement},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {243--246},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854450},
  doi          = {10.1109/IOLTS.2019.8854450},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/IshikawaTYT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/IshiyamaHY19,
  author       = {Yuta Ishiyama and
                  Toshinori Hosokawa and
                  Hiroshi Yamazaki},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A Design for Testability Method for k-Cycle Capture Test Generation},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {40--43},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854416},
  doi          = {10.1109/IOLTS.2019.8854416},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/IshiyamaHY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/JaulmesMVC19,
  author       = {Luc Jaulmes and
                  Miquel Moret{\'{o}} and
                  Mateo Valero and
                  Marc Casas},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A Vulnerability Factor for ECC-protected Memory},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {176--181},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854397},
  doi          = {10.1109/IOLTS.2019.8854397},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/JaulmesMVC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/JurimagiUJRDO19,
  author       = {Lembit J{\"{u}}rim{\"{a}}gi and
                  Raimund Ubar and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Sergei Devadze and
                  Adeboye Stephen Oyeniran},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Application Specific True Critical Paths Identification in Sequential
                  Circuits},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {299--304},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854442},
  doi          = {10.1109/IOLTS.2019.8854442},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/JurimagiUJRDO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KhalidATHRA019,
  author       = {Faiq Khalid and
                  Hassan Ali and
                  Hammad Tariq and
                  Muhammad Abdullah Hanif and
                  Semeen Rehman and
                  Rehan Ahmed and
                  Muhammad Shafique},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {QuSecNets: Quantization-based Defense Mechanism for Securing Deep
                  Neural Network against Adversarial Attacks},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {182--187},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854377},
  doi          = {10.1109/IOLTS.2019.8854377},
  timestamp    = {Fri, 28 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KhalidATHRA019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KhalidHRA019,
  author       = {Faiq Khalid and
                  Muhammad Abdullah Hanif and
                  Semeen Rehman and
                  Rehan Ahmed and
                  Muhammad Shafique},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep
                  Neural Networks},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {188--193},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854425},
  doi          = {10.1109/IOLTS.2019.8854425},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/KhalidHRA019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KhandelwalBGOMJ19,
  author       = {Saurabh Khandelwal and
                  Anu Bala and
                  Vishal Gupta and
                  Marco Ottavi and
                  Eugenio Martinelli and
                  Abusaleh M. Jabir},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Fault Modeling and Simulation of Memristor based Gas Sensors},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {58--59},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854459},
  doi          = {10.1109/IOLTS.2019.8854459},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KhandelwalBGOMJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/Kharbouche-Harrari19,
  author       = {Mounia Kharbouche{-}Harrari and
                  Romain Wacquez and
                  Gregory di Pendina and
                  Jean{-}Max Dutertre and
                  J{\'{e}}r{\'{e}}my Postel{-}Pellerin and
                  Driss Aboulkassimi and
                  Jean{-}Michel Portal},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Dual Detection of Heating and Photocurrent attacks {(DDHP)} Sensor
                  using Hybrid {CMOS/STT-MRAM}},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {322--327},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854374},
  doi          = {10.1109/IOLTS.2019.8854374},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/Kharbouche-Harrari19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KindtC19,
  author       = {Philipp H. Kindt and
                  Samarjit Chakraborty},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Power-aware Reliable Communication for the IoT},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {208--211},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854406},
  doi          = {10.1109/IOLTS.2019.8854406},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KindtC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KinoshitaHF19,
  author       = {Yuya Kinoshita and
                  Toshinori Hosokawa and
                  Hideo Fujiwara},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A Test Generation Method Based on k-Cycle Testing for Finite State
                  Machines},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {232--235},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854426},
  doi          = {10.1109/IOLTS.2019.8854426},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KinoshitaHF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KnechtelPS19,
  author       = {Johann Knechtel and
                  Satwik Patnaik and
                  Ozgur Sinanoglu},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {3D Integration: Another Dimension Toward Hardware Security},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {147--150},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854395},
  doi          = {10.1109/IOLTS.2019.8854395},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/KnechtelPS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KouloumprisMT19,
  author       = {Andreas Kouloumpris and
                  Maria K. Michael and
                  Theocharis Theocharides},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Reliability-Aware Task Allocation Latency Optimization in Edge Computing},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {200--203},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854422},
  doi          = {10.1109/IOLTS.2019.8854422},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KouloumprisMT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KriebelR019,
  author       = {Florian Kriebel and
                  Semeen Rehman and
                  Muhammad Shafique},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Studying Aging and Soft Error Mitigation Jointly under Constrained
                  Scenarios in Multi-Cores},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {139--142},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854444},
  doi          = {10.1109/IOLTS.2019.8854444},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/KriebelR019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/LaiJRP19,
  author       = {Xinhui Lai and
                  Maksim Jenihhin and
                  Jaan Raik and
                  Kolin Paul},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {{PASCAL:} Timing {SCA} Resistant Design and Verification Flow},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {239--242},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854458},
  doi          = {10.1109/IOLTS.2019.8854458},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/LaiJRP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/LangeBGAS19,
  author       = {Thomas Lange and
                  Aneesh Balakrishnan and
                  Maximilien Glorieux and
                  Dan Alexandrescu and
                  Luca Sterpone},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Machine Learning to Tackle the Challenges of Transient and Soft Errors
                  in Complex Circuits},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {7--14},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854423},
  doi          = {10.1109/IOLTS.2019.8854423},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/LangeBGAS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/LiRAX19,
  author       = {Jiaqiang Li and
                  Pedro Reviriego and
                  Costas Argyrides and
                  Liyi Xiao},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Efficient Concurrent Error Detection for {SEC-DAEC} Encoders},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {165--170},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854465},
  doi          = {10.1109/IOLTS.2019.8854465},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/LiRAX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MatsunagaY19,
  author       = {Yusuke Matsunaga and
                  Masayoshi Yoshimura},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {An Efficient SAT-Attack Algorithm Against Logic Encryption},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {44--47},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854466},
  doi          = {10.1109/IOLTS.2019.8854466},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MatsunagaY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MhamdiVGBAFL19,
  author       = {Safa Mhamdi and
                  Arnaud Virazel and
                  Patrick Girard and
                  Alberto Bosio and
                  Etienne Auvray and
                  Eric Faehn and
                  Aymen Ladhar},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Towards Improvement of Mission Mode Failure Diagnosis for System-on-Chip},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {21--26},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854388},
  doi          = {10.1109/IOLTS.2019.8854388},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/MhamdiVGBAFL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MichardCCF19,
  author       = {Audrey Michard and
                  Florian Cacho and
                  Damien Celeste and
                  Xavier Federspiel},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Global and Local Process Variation Simulations in Design for Reliability
                  approach},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {72--75},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854371},
  doi          = {10.1109/IOLTS.2019.8854371},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MichardCCF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MiuraIK19,
  author       = {Yukiya Miura and
                  Miyuki Inoue and
                  Yuya Kinoshita},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Development of {FF} Circuits for Measures Against Power Supply Noise},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {48--51},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854447},
  doi          = {10.1109/IOLTS.2019.8854447},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MiuraIK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MomtazC19,
  author       = {Md Imran Momtaz and
                  Abhijit Chatterjee},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Hierarchical Check Based Detection and Diagnosis of Sensor-Actuator
                  Malfunction in Autonomous Systems: {A} Quadcopter Study},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {316--321},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854392},
  doi          = {10.1109/IOLTS.2019.8854392},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MomtazC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/NagarajanKEAG19,
  author       = {Karthikeyan Nagarajan and
                  Mohammad Nasim Imtiaz Khan and
                  Sina Sayyah Ensan and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Meeting the Conflicting Goals of Low-Power and Resiliency Using Emerging
                  Memories : (Invited Paper)},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {224--227},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854412},
  doi          = {10.1109/IOLTS.2019.8854412},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/NagarajanKEAG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/NairBTGT19,
  author       = {Sarath Mohanachandran Nair and
                  Rajendra Bishnoi and
                  Mehdi Baradaran Tahoori and
                  Hayk T. Grigoryan and
                  Grigor Tshagharyan},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Variation-aware Fault Modeling and Test Generation for {STT-MRAM}},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {80--83},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854376},
  doi          = {10.1109/IOLTS.2019.8854376},
  timestamp    = {Tue, 31 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/NairBTGT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/Najdi0T19,
  author       = {Abdessamad Najdi and
                  Daniele Rossi and
                  Vasileios Tenentes},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Analysis on Retention Time and Adaptive Refresh in Embedded DRAMs
                  with Aging Benefits},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {281--286},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854403},
  doi          = {10.1109/IOLTS.2019.8854403},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/Najdi0T19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/NordmannG19,
  author       = {Paul{-}Patrick Nordmann and
                  Michael G{\"{o}}ssel},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A New {DEC/TED} Code for Fast Correction of 2-Bit-Errors},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {171--175},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854404},
  doi          = {10.1109/IOLTS.2019.8854404},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/NordmannG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/OttaviGKKMMJ19,
  author       = {Marco Ottavi and
                  Vishal Gupta and
                  Saurabh Khandelwal and
                  Shahar Kvatinsky and
                  Jimson Mathew and
                  Eugenio Martinelli and
                  Abusaleh M. Jabir},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {The Missing Applications Found: Robust Design Techniques and Novel
                  Uses of Memristors},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {159--164},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854427},
  doi          = {10.1109/IOLTS.2019.8854427},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/OttaviGKKMMJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ParvinA19,
  author       = {Sajjad Parvin and
                  Mustafa Altun},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Implementation of {CMOS} Logic Circuits with Perfect Fault Detection
                  Using Preservative Reversible Gates},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {64--67},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854440},
  doi          = {10.1109/IOLTS.2019.8854440},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/ParvinA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/RajputM19,
  author       = {Prashant Hari Narayan Rajput and
                  Michail Maniatakos},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {{JTAG:} {A} Multifaceted Tool for Cyber Security},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {155--158},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854430},
  doi          = {10.1109/IOLTS.2019.8854430},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/RajputM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/RathoreCSSS19,
  author       = {Vijeta Rathore and
                  Vivek Chaturvedi and
                  Amit Kumar Singh and
                  Thambipillai Srikanthan and
                  Muhammad Shafique},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Towards Scalable Lifetime Reliability Management for Dark Silicon
                  Manycore Systems},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {204--207},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854454},
  doi          = {10.1109/IOLTS.2019.8854454},
  timestamp    = {Mon, 14 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/RathoreCSSS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/RichardsonC19,
  author       = {Andrew Richardson and
                  David Cheneler},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Self-Monitoring, Self-Healing Biomorphic Sensor Technology},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {121--124},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854453},
  doi          = {10.1109/IOLTS.2019.8854453},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/RichardsonC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/SandionigiH19,
  author       = {Chiara Sandionigi and
                  Olivier H{\'{e}}ron},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Estimation of oxide breakdown effects by fault injection},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {269--274},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854441},
  doi          = {10.1109/IOLTS.2019.8854441},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/SandionigiH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ShayanBSCK19,
  author       = {Mohammed Shayan and
                  Sukanta Bhattacharjee and
                  Yong{-}Ak Song and
                  Krishnendu Chakrabarty and
                  Ramesh Karri},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Can Multi-Layer Microfluidic Design Methods Aid Bio-Intellectual Property
                  Protection?},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {151--154},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854393},
  doi          = {10.1109/IOLTS.2019.8854393},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/ShayanBSCK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/SilvaBHS19,
  author       = {Felipe Augusto da Silva and
                  Ahmet Cagri Bagbaba and
                  Said Hamdioui and
                  Christian Sauer},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Efficient Methodology for {ISO26262} Functional Safety Verification},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {255--256},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854449},
  doi          = {10.1109/IOLTS.2019.8854449},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/SilvaBHS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/SiniVDGP19,
  author       = {Jacopo Sini and
                  Massimo Violante and
                  V. Dodde and
                  R. Gnaniah and
                  L. Pecorella},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A Novel Simulation-Based Approach for {ISO} 26262 Hazard Analysis
                  and Risk Assessment},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {253--254},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854385},
  doi          = {10.1109/IOLTS.2019.8854385},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/SiniVDGP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/SouzaN19,
  author       = {Eduardo Nunes de Souza and
                  Gabriel L. Nazar},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Cost-effective Resilient FPGA-based {LDPC} Decoder Architecture},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {84--89},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854457},
  doi          = {10.1109/IOLTS.2019.8854457},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/SouzaN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/SubramaniVBAM19,
  author       = {Kiruba S. Subramani and
                  Georgios Volanis and
                  Mohammad{-}Mahdi Bidmeshki and
                  Angelos Antonopoulos and
                  Yiorgos Makris},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Trusted and Secure Design of Analog/RF ICs: Recent Developments},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {125--128},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854461},
  doi          = {10.1109/IOLTS.2019.8854461},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/SubramaniVBAM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TakeuchiHYY19,
  author       = {Yuki Takeuchi and
                  Toshinori Hosokawa and
                  Hiroshi Yamazaki and
                  Masayoshi Yoshimura},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {A Controller Augmentation Method to Improve Transition Fault Coverage
                  for {RTL} Data-Paths},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {293--298},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854445},
  doi          = {10.1109/IOLTS.2019.8854445},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/TakeuchiHYY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TchendjouS19,
  author       = {Ghislain Takam Tchendjou and
                  Emmanuel Simeu},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Control Loop of Image Correction based on Detection and Self-Healing
                  of Defective Pixels},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {247--250},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854390},
  doi          = {10.1109/IOLTS.2019.8854390},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/TchendjouS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TenentesD0A19,
  author       = {Vasileios Tenentes and
                  Shidhartha Das and
                  Daniele Rossi and
                  Bashir M. Al{-}Hashimi},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Run-time Detection and Mitigation of Power-Noise Viruses},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {275--280},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854375},
  doi          = {10.1109/IOLTS.2019.8854375},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/TenentesD0A19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TychalasKM19,
  author       = {Dimitrios Tychalas and
                  Anastasis Keliris and
                  Michail Maniatakos},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {{LED} Alert: Supply Chain Threats for Stealthy Data Exfiltration in
                  Industrial Control Systems},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {194--199},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854451},
  doi          = {10.1109/IOLTS.2019.8854451},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/TychalasKM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ValleroSCC19,
  author       = {Alessandro Vallero and
                  Alessandro Savino and
                  Alberto Carelli and
                  Stefano Di Carlo},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Bayesian models for early cross-layer reliability analysis and design
                  space exploration},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {143--146},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854452},
  doi          = {10.1109/IOLTS.2019.8854452},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/ValleroSCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/VatajeluNMH19,
  author       = {Elena Ioana Vatajelu and
                  Giorgio Di Natale and
                  Mohd Syafiq Mispan and
                  Basel Halak},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {On the Encryption of the Challenge in Physically Unclonable Functions},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {115--120},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854387},
  doi          = {10.1109/IOLTS.2019.8854387},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/VatajeluNMH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/WeyerWPC19,
  author       = {Daniel J. Weyer and
                  Francis G. Wolff and
                  Christos A. Papachristou and
                  Steve Clay},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Methodology for Tradeoffs between Performance and Lifetimes of Integrated
                  Circuits},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {60--63},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854437},
  doi          = {10.1109/IOLTS.2019.8854437},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/WeyerWPC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/WuHH19,
  author       = {Kai{-}Chiang Wu and
                  Wei{-}Tao Huang and
                  Chiao{-}Yang Huang},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {{ICE-RADAR:} In-situ, Cost-Effective Razor Flip-Flop Deployment for
                  Aging Resilience},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {263--268},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854407},
  doi          = {10.1109/IOLTS.2019.8854407},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/WuHH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/WuL19,
  author       = {Bing{-}Chen Wu and
                  Tsung{-}Te Liu},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Variation-Resilient Design Techniques for Energy-Constrained Systems},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {228--231},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854384},
  doi          = {10.1109/IOLTS.2019.8854384},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/WuL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/XanthopoulosNLT19,
  author       = {Constantinos Xanthopoulos and
                  Arnold Neckermann and
                  Paulus List and
                  Klaus{-}Peter Tschernay and
                  Peter Sarson and
                  Yiorgos Makris},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Automated Die Inking through On-line Machine Learning},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {27--32},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854373},
  doi          = {10.1109/IOLTS.2019.8854373},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/XanthopoulosNLT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/YoshidaKF19,
  author       = {Takashi Yoshida and
                  Kazutoshi Kobayashi and
                  Jun Furuta},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Total Ionizing Dose Effects by alpha irradiation on circuit performance
                  and {SEU} tolerance in thin {BOX} {FDSOI} process},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {236--238},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854439},
  doi          = {10.1109/IOLTS.2019.8854439},
  timestamp    = {Mon, 07 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/YoshidaKF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ZhangHYYWFL0Z19,
  author       = {Kuozhong Zhang and
                  Junying Huang and
                  Jing Ye and
                  Xiaochun Ye and
                  Da Wang and
                  Dongrui Fan and
                  Huawei Li and
                  Xiaowei Li and
                  Zhimin Zhang},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities
                  under {DVFS} attack},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {287--292},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854398},
  doi          = {10.1109/IOLTS.2019.8854398},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/ZhangHYYWFL0Z19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iolts/2019,
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8846168/proceeding},
  isbn         = {978-1-7281-2490-2},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics