Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2016.bht:"
@inproceedings{DBLP:conf/iccad/0001P16, author = {Teng Xu and Miodrag Potkonjak}, editor = {Frank Liu}, title = {Energy-efficient fault tolerance approach for internet of things applications}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {62}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967034}, doi = {10.1145/2966986.2967034}, timestamp = {Fri, 23 Jun 2023 22:29:48 +0200}, biburl = {https://dblp.org/rec/conf/iccad/0001P16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/0001YY16, author = {Jian Kuang and Evangeline F. Y. Young and Bei Yu}, editor = {Frank Liu}, title = {Incorporating cut redistribution with mask assignment to enable 1D gridded design}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {48}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967048}, doi = {10.1145/2966986.2967048}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/0001YY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AbusultanK16, author = {Monther Abusultan and Sunil P. Khatri}, editor = {Frank Liu}, title = {A flash-based digital circuit design flow}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966990}, doi = {10.1145/2966986.2966990}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AbusultanK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgostaBPS16, author = {Giovanni Agosta and Alessandro Barenghi and Gerardo Pelosi and Michele Scandale}, editor = {Frank Liu}, title = {Encasing block ciphers to foil key recovery attempts via side channel}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {96}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967033}, doi = {10.1145/2966986.2967033}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AgostaBPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AhmadiBNOPM16, author = {Ali Ahmadi and Mohammad{-}Mahdi Bidmeshki and Amit Nahar and Bob Orr and Michael Pas and Yiorgos Makris}, editor = {Frank Liu}, title = {A machine learning approach to fab-of-origin attestation}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {92}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966992}, doi = {10.1145/2966986.2966992}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AhmadiBNOPM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AhmadyanV16, author = {Seyed Nematollah Ahmadyan and Shobha Vasudevan}, editor = {Frank Liu}, title = {Duplex: simultaneous parameter-performance exploration for optimizing analog circuits}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {19}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967026}, doi = {10.1145/2966986.2967026}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AhmadyanV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BadarogluX16, author = {Mustafa Badaroglu and Jeff Xu}, editor = {Frank Liu}, title = {Interconnect-aware device targeting from {PPA} perspective}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {26}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980068}, doi = {10.1145/2966986.2980068}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BadarogluX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BatselierCLW16, author = {Kim Batselier and Zhongming Chen and Haotian Liu and Ngai Wong}, editor = {Frank Liu}, title = {A tensor-based volterra series black-box nonlinear system identification and simulation framework}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {17}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966996}, doi = {10.1145/2966986.2966996}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BatselierCLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BaylessHH16, author = {Sam Bayless and Holger H. Hoos and Alan J. Hu}, editor = {Frank Liu}, title = {Scalable, high-quality, SAT-based multi-layer escape routing}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {22}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967072}, doi = {10.1145/2966986.2967072}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BaylessHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BernardiniES16, author = {Alessandro Bernardini and Wolfgang Ecker and Ulf Schlichtmann}, editor = {Frank Liu}, title = {Where formal verification can help in functional safety analysis}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {85}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980087}, doi = {10.1145/2966986.2980087}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BernardiniES16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhatGTPOO16, author = {Ganapati Bhat and Ujjwal Gupta and Nicholas Tran and Jaehyun Park and Sule Ozev and {\"{U}}mit Y. Ogras}, editor = {Frank Liu}, title = {Multi-objective design optimization for flexible hybrid electronics}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {73}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967057}, doi = {10.1145/2966986.2967057}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhatGTPOO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhattacharjeeC16, author = {Debjyoti Bhattacharjee and Anupam Chattopadhyay}, editor = {Frank Liu}, title = {Delay-optimal technology mapping for in-memory computing using ReRAM devices}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {119}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967020}, doi = {10.1145/2966986.2967020}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhattacharjeeC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CaiSM16, author = {Ermao Cai and Dimitrios Stamoulis and Diana Marculescu}, editor = {Frank Liu}, title = {Exploring aging deceleration in FinFET-based multi-core systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {111}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967039}, doi = {10.1145/2966986.2967039}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CaiSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CarballoX16, author = {Juan Antonio Carballo and Bangqi Xu}, editor = {Frank Liu}, title = {The architecture value engine: measuring and delivering sustainable SoC improvement}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {24}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980066}, doi = {10.1145/2966986.2980066}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CarballoX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Chandrasekharan16, author = {Arun Chandrasekharan and Mathias Soeken and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Frank Liu}, title = {Approximation-aware rewriting of AIGs for error tolerant applications}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {83}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967003}, doi = {10.1145/2966986.2967003}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Chandrasekharan16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangRZC16, author = {Wanli Chang and Debayan Roy and Licong Zhang and Samarjit Chakraborty}, editor = {Frank Liu}, title = {Model-based design of resource-efficient automotive control software}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {34}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980075}, doi = {10.1145/2966986.2980075}, timestamp = {Sat, 22 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChangRZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangSCSDYL16, author = {Kyungwook Chang and Saurabh Sinha and Brian Cline and Raney Southerland and Michael Doherty and Greg Yeric and Sung Kyu Lim}, editor = {Frank Liu}, title = {Cascade2D: {A} design-aware partitioning approach to monolithic 3D {IC} with 2D commercial tools}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {130}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967013}, doi = {10.1145/2966986.2967013}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChangSCSDYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChatterjeeSN16, author = {Sandeep Chatterjee and Valeriy Sukharev and Farid N. Najm}, editor = {Frank Liu}, title = {Fast physics-based electromigration checking for on-die power grids}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {110}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967041}, doi = {10.1145/2966986.2967041}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChatterjeeSN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenS16, author = {Quan Chen and Wim Schoenmaker}, editor = {Frank Liu}, title = {A new tightly-coupled transient electro-thermal simulation method for power electronics}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {16}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966993}, doi = {10.1145/2966986.2966993}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenSCY16, author = {Pai{-}Yu Chen and Jae{-}sun Seo and Yu Cao and Shimeng Yu}, editor = {Frank Liu}, title = {Compact oscillation neuron exploiting metal-insulator-transition for neuromorphic computing}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {15}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967015}, doi = {10.1145/2966986.2967015}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenSCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenZZWZLH16, author = {Xiaodao Chen and Yuchen Zhou and Hong Zhou and Chaowei Wan and Qi Zhu and Wenchao Li and Shiyan Hu}, editor = {Frank Liu}, title = {Analysis of production data manipulation attacks in petroleum cyber-physical systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {108}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980091}, doi = {10.1145/2966986.2980091}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenZZWZLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengW16, author = {Shaoyi Cheng and John Wawrzynek}, editor = {Frank Liu}, title = {Synthesis of statically analyzable accelerator networks from sequential programs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {126}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967077}, doi = {10.1145/2966986.2967077}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChengW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChhetriCF16, author = {Sujit Rokka Chhetri and Arquimedes Canedo and Mohammad Abdullah Al Faruque}, editor = {Frank Liu}, title = {{KCAD:} kinetic cyber-attack detection method for cyber-physical additive manufacturing systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {74}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967050}, doi = {10.1145/2966986.2967050}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChhetriCF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CiampoliniLDMTJ16, author = {Lorenzo Ciampolini and Jean{-}Christophe Lafont and Faress Tissafi Drissi and Jean{-}Paul Morin and David Turgis and Xavier Jonsson and Cyril Descl{\`{e}}ves and Joseph Nguyen}, editor = {Frank Liu}, title = {Efficient yield estimation through generalized importance sampling with application to NBL-assisted {SRAM} bitcells}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {89}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967031}, doi = {10.1145/2966986.2967031}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CiampoliniLDMTJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DasDPC16, author = {Sourav Das and Janardhan Rao Doppa and Partha Pratim Pande and Krishnendu Chakrabarty}, editor = {Frank Liu}, title = {Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {57}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980096}, doi = {10.1145/2966986.2980096}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DasDPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DharASIP16, author = {Shounak Dhar and Saurabh N. Adya and Love Singhal and Mahesh A. Iyer and David Z. Pan}, editor = {Frank Liu}, title = {Detailed placement for modern FPGAs using 2D dynamic programming}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {9}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967024}, doi = {10.1145/2966986.2967024}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DharASIP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhoshKDJ16, author = {Swaroop Ghosh and Mohammad Nasim Imtiaz Khan and Asmit De and Jae{-}Won Jang}, editor = {Frank Liu}, title = {Security and privacy threats to on-chip non-volatile memories and countermeasures}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980064}, doi = {10.1145/2966986.2980064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GhoshKDJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GolanbariGOKT16, author = {Mohammad Saber Golanbari and Anteneh Gebregiorgis and Fabian Oboril and Saman Kiamehr and Mehdi Baradaran Tahoori}, editor = {Frank Liu}, title = {A cross-layer approach for resiliency and energy efficiency in near threshold computing}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {71}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980081}, doi = {10.1145/2966986.2980081}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GolanbariGOKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuanM16, author = {Zhong Guan and Malgorzata Marek{-}Sadowska}, editor = {Frank Liu}, title = {An efficient and accurate algorithm for computing {RC} current response with applications to {EM} reliability evaluation}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {112}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966999}, doi = {10.1145/2966986.2966999}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuanM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaCOAKPG16, author = {Ujjwal Gupta and Joseph Campbell and {\"{U}}mit Y. Ogras and Raid Ayoub and Michael Kishinevsky and Francesco Paterna and Suat Gumussoy}, editor = {Frank Liu}, title = {Adaptive performance prediction for integrated GPUs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {61}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966997}, doi = {10.1145/2966986.2966997}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuptaCOAKPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuthausSACWS16, author = {Matthew R. Guthaus and James E. Stine and Samira Ataei and Brian Chen and Bin Wu and Mehedi Sarwar}, editor = {Frank Liu}, title = {OpenRAM: an open-source memory compiler}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {93}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980098}, doi = {10.1145/2966986.2980098}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuthausSACWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GyvezFV16, author = {Jos{\'{e}} Pineda de Gyvez and Hamed Fatemi and Maarten Vertregt}, editor = {Frank Liu}, title = {Circuit valorization in the {IC} design ecosystem}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {25}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980067}, doi = {10.1145/2966986.2980067}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GyvezFV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HamadKMS16, author = {Ghaith Bany Hamad and Ghaith Kazma and Otmane A{\"{\i}}t Mohamed and Yvon Savaria}, editor = {Frank Liu}, title = {Efficient and accurate analysis of single event transients propagation using SMT-based techniques}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {54}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967027}, doi = {10.1145/2966986.2967027}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HamadKMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HanF16, author = {Lengfei Han and Zhuo Feng}, editor = {Frank Liu}, title = {TinySPICE plus: scaling up statistical {SPICE} simulations on {GPU} leveraging shared-memory based sparse matrix solution techniques}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {99}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967081}, doi = {10.1145/2966986.2967081}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HanF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HerdtLGD16, author = {Vladimir Herdt and Hoang M. Le and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Frank Liu}, title = {Compiled symbolic simulation for systemC}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {52}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967016}, doi = {10.1145/2966986.2967016}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HerdtLGD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuBATIMK16, author = {Wei Hu and Andrew Becker and Armita Ardeshiricham and Yu Tai and Paolo Ienne and Dejun Mu and Ryan Kastner}, editor = {Frank Liu}, title = {Imprecise security: quality and complexity tradeoffs for hardware information flow tracking}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {95}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967046}, doi = {10.1145/2966986.2967046}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuBATIMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangLKN16, author = {Shih{-}Hsu Huang and Rung{-}Bin Lin and Myung{-}Chul Kim and Shigetoshi Nakatake}, editor = {Frank Liu}, title = {Overview of the 2016 {CAD} contest at {ICCAD}}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {38}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980070}, doi = {10.1145/2966986.2980070}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuangLKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IsmariPLBS16, author = {Dylan Ismari and Jim Plusquellic and Charles Lamech and Swarup Bhunia and Fareena Saqib}, editor = {Frank Liu}, title = {On detecting delay anomalies introduced by hardware trojans}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {44}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967061}, doi = {10.1145/2966986.2967061}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IsmariPLBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JensonR16, author = {Devon Jenson and Marc D. Riedel}, editor = {Frank Liu}, title = {A deterministic approach to stochastic computation}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {102}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966988}, doi = {10.1145/2966986.2966988}, timestamp = {Sat, 30 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JensonR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JungJNKBL16, author = {Jinwook Jung and Iris Hui{-}Ru Jiang and Gi{-}Joon Nam and Victor N. Kravets and Laleh Behjat and Yih{-}Lang Li}, editor = {Frank Liu}, title = {OpenDesign flow database: the infrastructure for {VLSI} design and design automation research}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {42}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980074}, doi = {10.1145/2966986.2980074}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JungJNKBL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JungNRJS16, author = {Jinwook Jung and Gi{-}Joon Nam and Lakshmi N. Reddy and Iris Hui{-}Ru Jiang and Youngsoo Shin}, editor = {Frank Liu}, title = {{OWARU:} free space-aware timing-driven incremental placement}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {8}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967062}, doi = {10.1145/2966986.2967062}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JungNRJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KadetotadACS16, author = {Deepak Kadetotad and Sairam Arunachalam and Chaitali Chakrabarti and Jae{-}sun Seo}, editor = {Frank Liu}, title = {Efficient memory compression in deep neural networks using coarse-grain sparsification for speech applications}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {78}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967028}, doi = {10.1145/2966986.2967028}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KadetotadACS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngLL16, author = {Andrew B. Kahng and Hyein Lee and Jiajia Li}, editor = {Frank Liu}, title = {Measuring progress and value of {IC} implementation technology}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {27}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980069}, doi = {10.1145/2966986.2980069}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KahngLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngLW16, author = {Andrew B. Kahng and Jiajia Li and Lutong Wang}, editor = {Frank Liu}, title = {Improved flop tray-based design implementation for power reduction}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {20}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967047}, doi = {10.1145/2966986.2967047}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KahngLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KanduriHRLJDT16, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt and Hannu Tenhunen}, editor = {Frank Liu}, title = {Approximation knob: power capping meets energy efficiency}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {122}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967002}, doi = {10.1145/2966986.2967002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KanduriHRLJDT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KannanS16, author = {PariVallal Kannan and Satish Sivaswamy}, editor = {Frank Liu}, title = {Performance driven routing for modern FPGAs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {65}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980082}, doi = {10.1145/2966986.2980082}, timestamp = {Sat, 26 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KannanS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KannanSE16, author = {Sukeshwar Kannan and Mehdi Sadi and Luke England}, editor = {Frank Liu}, title = {Power delivery in 3D packages: current crowding effects, dynamic {IR} drop and compensation network using sensors (invited paper)}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {55}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980094}, doi = {10.1145/2966986.2980094}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KannanSE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KapareCS16, author = {Amrut Kapare and Hari Cherupalli and John Sartori}, editor = {Frank Liu}, title = {Automated error prediction for approximate sequential circuits}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {82}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967007}, doi = {10.1145/2966986.2967007}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KapareCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KemmererZC16, author = {Warren Kemmerer and Wei Zuo and Deming Chen}, editor = {Frank Liu}, title = {Parallel code-specific {CPU} simulation with dynamic phase convergence modeling for {HW/SW} co-design}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {79}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967063}, doi = {10.1145/2966986.2967063}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KemmererZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimCKLS16, author = {Youngbin Kim and Jian Cai and Yooseong Kim and Kyoungwoo Lee and Aviral Shrivastava}, editor = {Frank Liu}, title = {Splitting functions in code management on scratchpad memories}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {60}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967075}, doi = {10.1145/2966986.2967075}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimCKLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimSCGWCT16, author = {Taeyoung Kim and Zeyu Sun and Chase Cook and Jagadeesh Gaddipati and Hai Wang and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, editor = {Frank Liu}, title = {Dynamic reliability management for near-threshold dark silicon processors}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {70}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980080}, doi = {10.1145/2966986.2980080}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimSCGWCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KoehnA16, author = {Thaddeus Koehn and Peter M. Athanas}, editor = {Frank Liu}, title = {Arbitrary streaming permutations with minimum memory and latency}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {31}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967004}, doi = {10.1145/2966986.2967004}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KoehnA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KosmidisVMQAC16, author = {Leonidas Kosmidis and Roberto Vargas and David Morales and Eduardo Qui{\~{n}}ones and Jaume Abella and Francisco J. Cazorla}, editor = {Frank Liu}, title = {{TASA:} toolchain-agnostic static software randomisation for critical real-time systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {59}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967078}, doi = {10.1145/2966986.2967078}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KosmidisVMQAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KriegWJ16, author = {Christian Krieg and Clifford Wolf and Axel Jantsch}, editor = {Frank Liu}, title = {Malicious {LUT:} a stealthy {FPGA} trojan injected and triggered by the design flow}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {43}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967054}, doi = {10.1145/2966986.2967054}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KriegWJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KuDMRL16, author = {Bon Woong Ku and Peter Debacker and Dragomir Milojevic and Praveen Raghavan and Sung Kyu Lim}, editor = {Frank Liu}, title = {How much cost reduction justifies the adoption of monolithic 3D ICs at 7nm node?}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {87}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967044}, doi = {10.1145/2966986.2967044}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KuDMRL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LadenheimCMP16, author = {Scott Ladenheim and Yi{-}Chung Chen and Milan Mihajlovic and Vasilis F. Pavlidis}, editor = {Frank Liu}, title = {{IC} thermal analyzer for versatile 3-D structures using multigrid preconditioned krylov methods}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {123}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967045}, doi = {10.1145/2966986.2967045}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LadenheimCMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LaiCA16, author = {Liangzhen Lai and Vikas Chandra and Rob Aitken}, editor = {Frank Liu}, title = {Resiliency in dynamically power managed designs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {69}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980079}, doi = {10.1145/2966986.2980079}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LaiCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeKLJ16, author = {Nian{-}Ze Lee and Hao{-}Yuan Kuo and Yi{-}Hsiang Lai and Jie{-}Hong R. Jiang}, editor = {Frank Liu}, title = {Analytic approaches to the collapse operation and equivalence verification of threshold logic circuits}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {5}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967001}, doi = {10.1145/2966986.2967001}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeKLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiDP16, author = {Wuxi Li and Shounak Dhar and David Z. Pan}, editor = {Frank Liu}, title = {UTPlaceF: a routability-driven {FPGA} placer with physical and congestion aware packing}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {66}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980083}, doi = {10.1145/2966986.2980083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiDP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLGXX16, author = {Shuangchen Li and Liu Liu and Peng Gu and Cong Xu and Yuan Xie}, editor = {Frank Liu}, title = {NVSim-CAM: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {2}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967059}, doi = {10.1145/2966986.2967059}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiLGXX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLYCPHL16, author = {Zipeng Li and Kelvin Yi{-}Tse Lai and Po{-}Hsien Yu and Krishnendu Chakrabarty and Miroslav Pajic and Tsung{-}Yi Ho and Chen{-}Yi Lee}, editor = {Frank Liu}, title = {Error recovery in a micro-electrode-dot-array digital microfluidic biochip?}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {105}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967035}, doi = {10.1145/2966986.2967035}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiLYCPHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiSH16, author = {Chaofan Li and Sachin S. Sapatnekar and Jiang Hu}, editor = {Frank Liu}, title = {Control synthesis and delay sensor deployment for efficient {ASV} designs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {64}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967017}, doi = {10.1145/2966986.2967017}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiSMZYJP16, author = {Meng Li and Kaveh Shamsi and Travis Meade and Zheng Zhao and Bei Yu and Yier Jin and David Z. Pan}, editor = {Frank Liu}, title = {Provably secure camouflaging strategy for {IC} protection}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {28}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967065}, doi = {10.1145/2966986.2967065}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiSMZYJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiWWWCL16, author = {Sicheng Li and Yandan Wang and Wujie Wen and Yu Wang and Yiran Chen and Hai Li}, editor = {Frank Liu}, title = {A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966987}, doi = {10.1145/2966986.2966987}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiWWWCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCC16, author = {Jai{-}Ming Lin and Po{-}Yang Chiu and Yen{-}Fu Chang}, editor = {Frank Liu}, title = {{SAINT:} handling module folding and alignment in fixed-outline floorplans for 3D ICs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {131}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967071}, doi = {10.1145/2966986.2967071}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinLC16, author = {Bo{-}Qiao Lin and Ting{-}Chou Lin and Yao{-}Wen Chang}, editor = {Frank Liu}, title = {Redistribution layer routing for integrated fan-out wafer-level chip-scale packages}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {23}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967070}, doi = {10.1145/2966986.2967070}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinYXGVL0AP16, author = {Yibo Lin and Bei Yu and Xiaoqing Xu and Jhih{-}Rong Gao and Natarajan Viswanathan and Wen{-}Hao Liu and Zhuo Li and Charles J. Alpert and David Z. Pan}, editor = {Frank Liu}, title = {MrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {7}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967055}, doi = {10.1145/2966986.2967055}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinYXGVL0AP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuXBS16, author = {Yuntao Liu and Yang Xie and Chongxi Bao and Ankur Srivastava}, editor = {Frank Liu}, title = {An optimization-theoretic approach for attacking physical unclonable functions}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {45}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967000}, doi = {10.1145/2966986.2967000}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuXBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaganaSD16, author = {Jonathon Maga{\~{n}}a and Daohang Shi and Azadeh Davoodi}, editor = {Frank Liu}, title = {Are proximity attacks a threat to the security of split manufacturing of integrated circuits?}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {90}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967006}, doi = {10.1145/2966986.2967006}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaganaSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MammoFBMK16, author = {Biruk Mammo and Milind Furia and Valeria Bertacco and Scott A. Mahlke and Daya Shanker Khudia}, editor = {Frank Liu}, title = {BugMD: automatic mismatch diagnosis for bug triaging}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {117}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967010}, doi = {10.1145/2966986.2967010}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MammoFBMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MasudaHO16, author = {Yutaka Masuda and Masanori Hashimoto and Takao Onoye}, editor = {Frank Liu}, title = {Critical path isolation for time-to-failure extension and lower voltage operation}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {63}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967019}, doi = {10.1145/2966986.2967019}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MasudaHO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MiaoLRY16, author = {Jin Miao and Meng Li and Subhendu Roy and Bei Yu}, editor = {Frank Liu}, title = {{LRR-DPUF:} learning resilient and reliable digital physical unclonable function}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {46}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967051}, doi = {10.1145/2966986.2967051}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MiaoLRY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MinutoliCTLF16, author = {Marco Minutoli and Vito Giovanni Castellana and Antonino Tumeo and Marco Lattuada and Fabrizio Ferrandi}, editor = {Frank Liu}, title = {Efficient synthesis of graph methods: a dynamically scheduled architecture}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {128}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967030}, doi = {10.1145/2966986.2967030}, timestamp = {Wed, 13 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MinutoliCTLF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MrazekSSV016, author = {Vojtech Mrazek and Syed Shakib Sarwar and Luk{\'{a}}s Sekanina and Zdenek Vas{\'{\i}}cek and Kaushik Roy}, editor = {Frank Liu}, title = {Design of power-efficient approximate multipliers for approximate artificial neural networks}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {81}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967021}, doi = {10.1145/2966986.2967021}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MrazekSSV016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MuCCWCT16, author = {Szu{-}Pang Mu and Wen{-}Hsiang Chang and Mango C.{-}T. Chao and Yi{-}Ming Wang and Ming{-}Tung Chang and Min{-}Hsiu Tsai}, editor = {Frank Liu}, title = {Statistical methodology to identify optimal placement of on-chip process monitors for predicting fmax}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {116}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967076}, doi = {10.1145/2966986.2967076}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MuCCWCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NallaGBMKI16, author = {Pradeep Kumar Nalla and Raj Kumar Gajavelly and Jason Baumgartner and Hari Mony and Robert Kanzelman and Alexander Ivrii}, editor = {Frank Liu}, title = {The art of semi-formal bug hunting}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {51}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967079}, doi = {10.1145/2966986.2967079}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NallaGBMKI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NataleSBCSS16, author = {Giuseppe Natale and Giulio Stramondo and Pietro Bressana and Riccardo Cattaneo and Donatella Sciuto and Marco D. Santambrogio}, editor = {Frank Liu}, title = {A polyhedral model-based framework for dataflow implementation on {FPGA} devices of iterative stencil loops}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {77}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966995}, doi = {10.1145/2966986.2966995}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NataleSBCSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NixonCC16, author = {Kent W. Nixon and Xiang Chen and Yiran Chen}, editor = {Frank Liu}, title = {Scope - quality retaining display rendering workload scaling based on user-smartphone distance}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {1}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967073}, doi = {10.1145/2966986.2967073}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NixonCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ParkZC16, author = {Sangyoung Park and Licong Zhang and Samarjit Chakraborty}, editor = {Frank Liu}, title = {Design space exploration of drone infrastructure for large-scale delivery services}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {72}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967022}, doi = {10.1145/2966986.2967022}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ParkZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PattisonAAGV16, author = {Ryan Pattison and Ziad Abuowaimer and Shawki Areibi and Gary Gr{\'{e}}wal and Anthony Vannelli}, editor = {Frank Liu}, title = {GPlace: a congestion-aware placement tool for ultrascale FPGAs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {68}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980085}, doi = {10.1145/2966986.2980085}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PattisonAAGV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PeanoRGNB16, author = {Andrea Peano and Luca Ramini and Marco Gavanelli and Maddalena Nonato and Davide Bertozzi}, editor = {Frank Liu}, title = {Design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {3}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967023}, doi = {10.1145/2966986.2967023}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PeanoRGNB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PetkovskaMSMBI16, author = {Ana Petkovska and Alan Mishchenko and Mathias Soeken and Giovanni De Micheli and Robert K. Brayton and Paolo Ienne}, editor = {Frank Liu}, title = {Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967040}, doi = {10.1145/2966986.2967040}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PetkovskaMSMBI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PuiCCL0TZYY16, author = {Chak{-}Wa Pui and Gengjie Chen and Wing{-}Kai Chow and Ka{-}Chun Lam and Jian Kuang and Peishan Tu and Hang Zhang and Evangeline F. Y. Young and Bei Yu}, editor = {Frank Liu}, title = {RippleFPGA: a routability-driven placement for large-scale heterogeneous FPGAs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {67}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980084}, doi = {10.1145/2966986.2980084}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PuiCCL0TZYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RajamanikkamSCR16, author = {Chidhambaranathan Rajamanikkam and Rajesh J. S. and Koushik Chakraborty and Sanghamitra Roy}, editor = {Frank Liu}, title = {BoostNoC: power efficient network-on-chip architecture for near threshold computing}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {124}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967009}, doi = {10.1145/2966986.2967009}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RajamanikkamSCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RayHFS16, author = {Sandip Ray and Ian G. Harris and G{\"{o}}rschwin Fey and Mathias Soeken}, editor = {Frank Liu}, title = {Multilevel design understanding: from specification to logic (invited paper)}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {133}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980093}, doi = {10.1145/2966986.2980093}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RayHFS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RehmanES0H16, author = {Semeen Rehman and Walaa El{-}Harouni and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel}, editor = {Frank Liu}, title = {Architectural-space exploration of approximate multipliers}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {80}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967005}, doi = {10.1145/2966986.2967005}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RehmanES0H16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RienerF16, author = {Heinz Riener and G{\"{o}}rschwin Fey}, editor = {Frank Liu}, title = {Exact diagnosis using boolean satisfiability}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {53}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967036}, doi = {10.1145/2966986.2967036}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RienerF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RokniG16, author = {Seyed Ali Rokni and Hassan Ghasemzadeh}, editor = {Frank Liu}, title = {Autonomous sensor-context learning in dynamic human-centered internet-of-things environments}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {75}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967008}, doi = {10.1145/2966986.2967008}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RokniG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SahinC16, author = {Onur Sahin and Ayse K. Coskun}, editor = {Frank Liu}, title = {QScale: thermally-efficient QoS management on heterogeneous mobile platforms}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {125}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967066}, doi = {10.1145/2966986.2967066}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SahinC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SamalNIBL16, author = {Sandeep Kumar Samal and Deepak Nayak and Motoi Ichihashi and Srinivasa Banna and Sung Kyu Lim}, editor = {Frank Liu}, title = {Tier partitioning strategy to mitigate {BEOL} degradation and cost issues in monolithic 3D ICs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {129}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967080}, doi = {10.1145/2966986.2967080}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SamalNIBL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Scheifele16, author = {Rudolf Scheifele}, editor = {Frank Liu}, title = {RC-aware global routing}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {21}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967067}, doi = {10.1145/2966986.2967067}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Scheifele16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShahrjerdiNAAK16, author = {Davood Shahrjerdi and Bayan Nasri and D. Armstrong and Abdullah Alharbi and Ramesh Karri}, editor = {Frank Liu}, title = {Security engineering of nanostructures and nanomaterials}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {11}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980065}, doi = {10.1145/2966986.2980065}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ShahrjerdiNAAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShakyaAFT16, author = {Bicky Shakya and Navid Asadizanjani and Domenic Forte and Mark M. Tehranipoor}, editor = {Frank Liu}, title = {Chip editor: leveraging circuit edit for logic obfuscation and trusted fabrication}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {30}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967014}, doi = {10.1145/2966986.2967014}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShakyaAFT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShiALYAT16, author = {Weijing Shi and Mohamed Baker Alawieh and Xin Li and Huafeng Yu and Nikos Ar{\'{e}}chiga and Nobuyuki Tomatsu}, editor = {Frank Liu}, title = {Efficient statistical validation of machine learning systems for autonomous driving}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {36}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980077}, doi = {10.1145/2966986.2980077}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ShiALYAT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShihHCK16, author = {Chi{-}Sheng Shih and Pi{-}Cheng Hsiu and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, editor = {Frank Liu}, title = {Framework designs to enhance reliable and timely services of disaster management systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {107}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980090}, doi = {10.1145/2966986.2980090}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ShihHCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShinZLHCLP16, author = {Jangseop Shin and Hongce Zhang and Jinyong Lee and Ingoo Heo and Yu{-}Yuan Chen and Ruby B. Lee and Yunheung Paek}, editor = {Frank Liu}, title = {A hardware-based technique for efficient implicit information flow tracking}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {94}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966991}, doi = {10.1145/2966986.2966991}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ShinZLHCLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinhaZHRBC16, author = {Debjit Sinha and Vladimir Zolotov and Jin Hu and Sheshashayee K. Raghunathan and Adil Bhanji and Christine M. Casey}, editor = {Frank Liu}, title = {Generation and use of statistical timing macro-models considering slew and load variability}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {98}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967043}, doi = {10.1145/2966986.2967043}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinhaZHRBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SmithsonYGM16, author = {Sean C. Smithson and Guang Yang and Warren J. Gross and Brett H. Meyer}, editor = {Frank Liu}, title = {Neural networks designing neural networks: multi-objective hyper-parameter optimization}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {104}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967058}, doi = {10.1145/2966986.2967058}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SmithsonYGM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SteinhorstL16, author = {Sebastian Steinhorst and Martin Lukasiewycz}, editor = {Frank Liu}, title = {Formal approaches to design of active cell balancing architectures in battery management systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {86}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980088}, doi = {10.1145/2966986.2980088}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SteinhorstL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StowABG016, author = {Dylan C. Stow and Itir Akgun and Russell Barnes and Peng Gu and Yuan Xie}, editor = {Frank Liu}, title = {Cost analysis and cost-driven {IP} reuse methodology for SoC design based on 2.5D/3D integration}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {56}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980095}, doi = {10.1145/2966986.2980095}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/StowABG016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SuC16, author = {Yu{-}Hsuan Su and Yao{-}Wen Chang}, editor = {Frank Liu}, title = {{VCR:} simultaneous via-template and cut-template-aware routing for directed self-assembly technology}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {49}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967082}, doi = {10.1145/2966986.2967082}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SuC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SuC16a, author = {Yu{-}Hsuan Su and Yao{-}Wen Chang}, editor = {Frank Liu}, title = {DSA-compliant routing for two-dimensional patterns using block copolymer lithography}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {50}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967025}, doi = {10.1145/2966986.2967025}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SuC16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunDSKHT16, author = {Zeyu Sun and Ertugrul Demircan and Mehul D. Shroff and Taeyoung Kim and Xin Huang and Sheldon X.{-}D. Tan}, editor = {Frank Liu}, title = {Voltage-based electromigration immortality check for general multi-branch interconnects}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {113}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967083}, doi = {10.1145/2966986.2967083}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunDSKHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TaoYLWPZ16, author = {Yudong Tao and Changhao Yan and Yibo Lin and Sheng{-}Guo Wang and David Z. Pan and Xuan Zeng}, editor = {Frank Liu}, title = {A novel unified dummy fill insertion framework with SQP-based optimization method}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {88}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966994}, doi = {10.1145/2966986.2966994}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TaoYLWPZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TibbaMSNZC16, author = {Ghizlane Tibba and Christoph Malz and Christoph Stoermer and Natarajan Nagarajan and Licong Zhang and Samarjit Chakraborty}, editor = {Frank Liu}, title = {Testing automotive embedded systems under X-in-the-loop setups}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {35}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980076}, doi = {10.1145/2966986.2980076}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TibbaMSNZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Topaloglu16, author = {Rasit Onur Topaloglu}, editor = {Frank Liu}, title = {{ICCAD-2016} {CAD} contest in pattern classification for integrated circuit design space analysis and benchmark suite}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {41}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980073}, doi = {10.1145/2966986.2980073}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Topaloglu16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/UrdahlULSK16, author = {Joakim Urdahl and Shrinidhi Udupi and Tobias Ludwig and Dominik Stoffel and Wolfgang Kunz}, editor = {Frank Liu}, title = {Properties first? a new design methodology for hardware, and its perspectives in safety analysis}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {84}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980086}, doi = {10.1145/2966986.2980086}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/UrdahlULSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCO16, author = {Ye Wang and Constantine Caramanis and Michael Orshansky}, editor = {Frank Liu}, title = {Exploiting randomness in sketching for efficient hardware implementation of machine learning applications}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {114}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967038}, doi = {10.1145/2966986.2967038}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangCO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangDAC16, author = {Ran Wang and Sergej Deutsch and Mukesh Agrawal and Krishnendu Chakrabarty}, editor = {Frank Liu}, title = {The hype, myths, and realities of testing 3D integrated circuits}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {58}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980097}, doi = {10.1145/2966986.2980097}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangDAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLCKYHSLSC16, author = {Qin Wang and Zeyan Li and Haena Cheong and Oh{-}Sun Kwon and Hailong Yao and Tsung{-}Yi Ho and Kwanwoo Shin and Bing Li and Ulf Schlichtmann and Yici Cai}, editor = {Frank Liu}, title = {Control-fluidic CoDesign for paper-based digital microfluidic biochips}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {103}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967018}, doi = {10.1145/2966986.2967018}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangLCKYHSLSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLL16, author = {Ying Wang and Huawei Li and Xiaowei Li}, editor = {Frank Liu}, title = {Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967068}, doi = {10.1145/2966986.2967068}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WeiL16, author = {Tangent Wei and Luke Lin}, editor = {Frank Liu}, title = {{ICCAD-2016} {CAD} contest in large-scale identical fault search}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {39}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980071}, doi = {10.1145/2966986.2980071}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WeiL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WilleLSD16, author = {Robert Wille and Bing Li and Ulf Schlichtmann and Rolf Drechsler}, editor = {Frank Liu}, title = {From biochips to quantum circuits: computer-aided design for emerging technologies}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {132}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980099}, doi = {10.1145/2966986.2980099}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WilleLSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuHK16, author = {Chi{-}An (Rocky) Wu and Chih{-}Jen (Jacky) Hsu and Kei{-}Yong Khoo}, editor = {Frank Liu}, title = {{ICCAD-2016} {CAD} contest in non-exact projective {NPNP} boolean matching and benchmark suite}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {40}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980072}, doi = {10.1145/2966986.2980072}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuLHS16, author = {Jie Wu and Jinglan Liu and Xiaobo Sharon Hu and Yiyu Shi}, editor = {Frank Liu}, title = {Privacy protection via appliance scheduling in smart homes}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {106}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980089}, doi = {10.1145/2966986.2980089}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuLHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XueCYW016, author = {Linuo Xue and Yuanqing Cheng and Jianlei Yang and Peiyuan Wang and Yuan Xie}, editor = {Frank Liu}, title = {{ODESY:} a novel 3T-3MTJ cell design with optimized area DEnsity, scalability and latencY}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {118}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967060}, doi = {10.1145/2966986.2967060}, timestamp = {Sun, 05 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/XueCYW016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangLLCWBWR16, author = {Chaofei Yang and Beiye Liu and Hai Li and Yiran Chen and Wujie Wen and Mark Barnell and Qing Wu and Jeyavijayan Rajendran}, editor = {Frank Liu}, title = {Security of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {97}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967074}, doi = {10.1145/2966986.2967074}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangLLCWBWR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangM16, author = {Ping{-}Lin Yang and Malgorzata Marek{-}Sadowska}, editor = {Frank Liu}, title = {Making split-fabrication more secure}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {91}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967053}, doi = {10.1145/2966986.2967053}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangWLCBW16, author = {Chaofei Yang and Chunpeng Wu and Hai Li and Yiran Chen and Mark Barnell and Qing Wu}, editor = {Frank Liu}, title = {Security challenges in smart surveillance systems and the solutions based on emerging nano-devices}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {109}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980092}, doi = {10.1145/2966986.2980092}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangWLCBW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YasinMSR16, author = {Muhammad Yasin and Bodhisatwa Mazumdar and Ozgur Sinanoglu and Jeyavijayan Rajendran}, editor = {Frank Liu}, title = {CamoPerturb: secure {IC} camouflaging for minterm protection}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {29}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967012}, doi = {10.1145/2966986.2967012}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YasinMSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YassineN16, author = {Abdul{-}Amir Yassine and Farid N. Najm}, editor = {Frank Liu}, title = {A fast layer elimination approach for power grid reduction}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {101}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966989}, doi = {10.1145/2966986.2966989}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YassineN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YiK16, author = {Dongyoun Yi and Taewhan Kim}, editor = {Frank Liu}, title = {Allocation of multi-bit flip-flops in logic synthesis for power optimization}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {33}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966998}, doi = {10.1145/2966986.2966998}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YiK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YinANDGNH16, author = {Xunzhao Yin and Ahmedullah Aziz and Joseph Nahas and Suman Datta and Sumeet Kumar Gupta and Michael T. Niemier and Xiaobo Sharon Hu}, editor = {Frank Liu}, title = {Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {121}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967037}, doi = {10.1145/2966986.2967037}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YinANDGNH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YinXMLW16, author = {Shouyi Yin and Zhicong Xie and Chenyue Meng and Leibo Liu and Shaojun Wei}, editor = {Frank Liu}, title = {Multibank memory optimization for parallel data access in multiple data arrays}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {32}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967056}, doi = {10.1145/2966986.2967056}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YinXMLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YinYLLW16, author = {Shouyi Yin and Xianqing Yao and Tianyi Lu and Leibo Liu and Shaojun Wei}, editor = {Frank Liu}, title = {Joint loop mapping and data placement for coarse-grained reconfigurable architecture with multi-bank memory}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {127}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967049}, doi = {10.1145/2966986.2967049}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YinYLLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuTLSZZ016, author = {Handi Yu and Jun Tao and Changhai Liao and Yangfeng Su and Dian Zhou and Xuan Zeng and Xin Li}, editor = {Frank Liu}, title = {Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {18}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967029}, doi = {10.1145/2966986.2967029}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YuTLSZZ016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaL16, author = {Yue Zha and Jing Li}, editor = {Frank Liu}, title = {Reconfigurable in-memory computing with resistive memory crossbar}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {120}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967069}, doi = {10.1145/2966986.2967069}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhaL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangFTC16, author = {Xinhai Zhang and Lei Feng and Martin T{\"{o}}rngren and De{-}Jiu Chen}, editor = {Frank Liu}, title = {Formulating customized specifications for resource allocation problem of distributed embedded systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {76}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967042}, doi = {10.1145/2966986.2967042}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangFTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangFZPC16, author = {Chen Zhang and Zhenman Fang and Peipei Zhou and Peichen Pan and Jason Cong}, editor = {Frank Liu}, title = {Caffeine: towards uniformed representation and acceleration for deep convolutional neural networks}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {12:1--12:8}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967011}, doi = {10.1145/2966986.2967011}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangFZPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangLS16, author = {Grace Li Zhang and Bing Li and Ulf Schlichtmann}, editor = {Frank Liu}, title = {PieceTimer: a holistic timing analysis framework considering setup/hold time interdependency using a piecewise model}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {100}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967064}, doi = {10.1145/2966986.2967064}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangYY16, author = {Hang Zhang and Bei Yu and Evangeline F. Y. Young}, editor = {Frank Liu}, title = {Enabling online learning in lithography hotspot detection with information-theoretic feature optimization}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {47}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967032}, doi = {10.1145/2966986.2967032}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoLY16, author = {Chenyuan Zhao and Jialing Li and Yang Yi}, editor = {Frank Liu}, title = {Making neural encoding robust and energy efficient: an advanced analog temporal encoder for brain-inspired computing systems}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {115}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967052}, doi = {10.1145/2966986.2967052}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhengLYLZ16, author = {Bowen Zheng and Chung{-}Wei Lin and Huafeng Yu and Hengyi Liang and Qi Zhu}, editor = {Frank Liu}, title = {{CONVINCE:} a cross-layer modeling, exploration and validation framework for next-generation connected vehicles}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {37}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980078}, doi = {10.1145/2966986.2980078}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhengLYLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2016, editor = {Frank Liu}, title = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986}, doi = {10.1145/2966986}, isbn = {978-1-4503-4466-1}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.