Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad1993.bht:"
@inproceedings{DBLP:conf/iccad/AlomaryNHIH93, author = {Alauddin Alomary and Takeharu Nakata and Yoshimichi Honma and Masaharu Imai and Nobuyuki Hikichi}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An {ASIP} instruction set optimization algorithm with functional module sharing constraint}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {526--532}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580109}, doi = {10.1109/ICCAD.1993.580109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AlomaryNHIH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ArnsteinT93, author = {Lawrence F. Arnstein and Donald E. Thomas}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A general consistency technique for increasing the controllability of high level synthesis tools}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {741--744}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580171}, doi = {10.1109/ICCAD.1993.580171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ArnsteinT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BaharFGHMPS93, author = {R. Iris Bahar and Erica A. Frohm and Charles M. Gaona and Gary D. Hachtel and Enrico Macii and Abelardo Pardo and Fabio Somenzi}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Algebraic decision diagrams and their applications}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {188--191}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580054}, doi = {10.1109/ICCAD.1993.580054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BaharFGHMPS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BalasaCM93, author = {Florin Balasa and Francky Catthoor and Hugo De Man}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Exact evaluation of memory size for multi-dimensional signal processing systems}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {669--672}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580159}, doi = {10.1109/ICCAD.1993.580159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BalasaCM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BasaranRC93, author = {Bulent Basaran and Rob A. Rutenbar and L. Richard Carley}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Latchup-aware placement and parasitic-bounded routing of custom analog cells}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {415--421}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580090}, doi = {10.1109/ICCAD.1993.580090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BasaranRC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BeardsleeS93, author = {Mark Beardslee and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An algorithm for improving partitions of pin-limited multi-chip systems}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {378--385}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580084}, doi = {10.1109/ICCAD.1993.580084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BeardsleeS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BeerelBM93, author = {Peter A. Beerel and Jerry R. Burch and Teresa H.{-}Y. Meng}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Efficient verification of determinate speed-independent circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {261--267}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580067}, doi = {10.1109/ICCAD.1993.580067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BeerelBM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhattacharyaA93, author = {Debashis Bhattacharya and Prathima Agrawal}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Boolean algebraic test generation using a distributed system}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {440--443}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580094}, doi = {10.1109/ICCAD.1993.580094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhattacharyaA93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BoschWB93, author = {K. Olav ten Bosch and Pieter van der Wolf and Peter Bingley}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A flow-based user interface for efficient execution of the design cycle}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {356--363}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580081}, doi = {10.1109/ICCAD.1993.580081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BoschWB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Brand93, author = {Daniel Brand}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Verification of large synthesized designs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {534--537}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580110}, doi = {10.1109/ICCAD.1993.580110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Brand93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BurchDWM93, author = {Jerry R. Burch and David L. Dill and Elizabeth Wolf and Giovanni De Micheli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Modeling hierarchical combinational circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {612--617}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580149}, doi = {10.1109/ICCAD.1993.580149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BurchDWM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BurksS93, author = {Timothy M. Burks and Karem A. Sakallah}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Min-max linear programming and the timing analysis of digital circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {152--155}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580047}, doi = {10.1109/ICCAD.1993.580047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BurksS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CaiYOGSW93, author = {Xuejun Cai and He Yie and Peter Osterberg and John Gilbert and Stephen D. Senturia and Jacob K. White}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A relaxation/multipole-accelerated scheme for self-consistent electromechanical analysis of complex 3-D microelectromechanical structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {283--286}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580070}, doi = {10.1109/ICCAD.1993.580070}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CaiYOGSW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CarragherCF93, author = {Robert J. Carragher and Chung{-}Kuan Cheng and Masahiro Fujita}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An efficient algorithm for the net matching problem}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {640--644}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580153}, doi = {10.1109/ICCAD.1993.580153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CarragherCF93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Casotto93, author = {Andrea Casotto}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Run-time requirement tracing}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {350--355}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580080}, doi = {10.1109/ICCAD.1993.580080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Casotto93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangCY93, author = {Mi{-}Chang Chang and Jue{-}Hsien Chern and Ping Yang}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An accurate grid local truncation error for device simulation}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {275--282}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580069}, doi = {10.1109/ICCAD.1993.580069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangCY93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CharbonMS93, author = {Edoardo Charbon and Enrico Malavasi and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Generalized constraint generation for analog circuit design}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {408--414}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580089}, doi = {10.1109/ICCAD.1993.580089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CharbonMS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChaudharyOK93, author = {Kamal Chaudhary and Akira Onozawa and Ernest S. Kuh}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A spacing algorithm for performance enhancement and cross-talk reduction}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {697--702}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580164}, doi = {10.1109/ICCAD.1993.580164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChaudharyOK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenTHWL93, author = {Chau{-}Shen Chen and Yu{-}Wen Tsay and TingTing Hwang and Allen C.{-}H. Wu and Youn{-}Long Lin}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Combining technology mapping and placement for delay-optimization in {FPGA} designs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {123--127}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580042}, doi = {10.1109/ICCAD.1993.580042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenTHWL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenY93, author = {Julie Chen and Andrew T. Yang}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Style: a technology-independent approach to statistical design}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {210--214}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580058}, doi = {10.1109/ICCAD.1993.580058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenY93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenY93a, author = {Chien{-}In Henry Chen and Joel T. Yuen}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Logic partitioning to pseudo-exhaustive test for {BIST} design}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {646--649}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580154}, doi = {10.1109/ICCAD.1993.580154}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenY93a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengH93, author = {Chih{-}Liang Eric Cheng and Ching{-}yen Ho}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {{SEFOP:} a novel approach to data path module placement}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {178--181}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580052}, doi = {10.1109/ICCAD.1993.580052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChengH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiproutHNR93, author = {Eli Chiprout and Hansruedi Heeb and Michel S. Nakhla and Albert E. Ruehli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Simulating 3-D retarded interconnect models using complex frequency hopping {(CFH)}}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {66--72}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580032}, doi = {10.1109/ICCAD.1993.580032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChiproutHNR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoiIS93, author = {Gwan S. Choi and Ravishankar K. Iyer and Daniel G. Saab}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Fault behavior dictionary for simulation of device-level transients}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {6--9}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580023}, doi = {10.1109/ICCAD.1993.580023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoiIS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChowdhuryCVC93, author = {Dipanwita Roy Chowdhury and Supratik Chakraborty and B. Vamsi and B. Pal Chaudhuri}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Cellular automata based synthesis of easily and fully testable FSMs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {650--653}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580155}, doi = {10.1109/ICCAD.1993.580155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChowdhuryCVC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChuangSH93, author = {Weitong Chuang and Sachin S. Sapatnekar and Ibrahim N. Hajj}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A unified algorithm for gate sizing and clock skew optimization to minimize sequential circuit area}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {220--223}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580060}, doi = {10.1109/ICCAD.1993.580060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChuangSH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongD93, author = {Jason Cong and Yuzheng Ding}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Beyond the combinatorial limit in depth minimization for LUT-based {FPGA} designs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {110--114}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580040}, doi = {10.1109/ICCAD.1993.580040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongL93, author = {Jason Cong and Kwok{-}Shing Leung}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Optimal wiresizing under the distributed Elmore delay model}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {634--639}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580152}, doi = {10.1109/ICCAD.1993.580152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CorazaoKGPR93, author = {Miguel R. Corazao and Marwan A. Khalaf and Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Instruction set mapping for performance optimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {518--521}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580107}, doi = {10.1109/ICCAD.1993.580107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CorazaoKGPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DahlgrenL93, author = {Peter Dahlgren and Peter Lid{\'{e}}n}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Efficient modeling of switch-level networks containing undetermined logic node states}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {746--752}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580172}, doi = {10.1109/ICCAD.1993.580172}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DahlgrenL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevganR93, author = {Anirudh Devgan and Ronald A. Rohrer}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Event driven adaptively controlled explicit simulation of integrated circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {136--140}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580044}, doi = {10.1109/ICCAD.1993.580044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DevganR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyPR93, author = {Sujit Dey and Miodrag Potkonjak and Rabindra K. Roy}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Exploiting hardware sharing in high-level synthesis for partial scan optimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {20--25}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580025}, doi = {10.1109/ICCAD.1993.580025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Dutt93, author = {Shantanu Dutt}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {New faster Kernighan-Lin-type graph-partitioning algorithms}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {370--377}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580083}, doi = {10.1109/ICCAD.1993.580083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Dutt93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EckerH93, author = {Wolfgang Ecker and Michael Hofmeister}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {State look ahead technique for cycle optimization of interacting finite state Moore machines}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {392--397}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580086}, doi = {10.1109/ICCAD.1993.580086}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/EckerH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EntrenaC93, author = {Luis Entrena and Kwang{-}Ting Cheng}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Sequential logic optimization by redundancy addition and removal}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {310--315}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580074}, doi = {10.1109/ICCAD.1993.580074}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EntrenaC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FujiiOH93, author = {Hiroshige Fujii and Goichi Ootomo and Chikahiro Hori}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Interleaving based variable ordering methods for ordered binary decision diagrams}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {38--41}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580028}, doi = {10.1109/ICCAD.1993.580028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FujiiOH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GaitondeW93, author = {Dinesh D. Gaitonde and Duncan M. Hank Walker}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Test quality and yield analysis using the {DEFAM} defect to fault mapper}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {202--205}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580056}, doi = {10.1109/ICCAD.1993.580056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GaitondeW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GaoL93, author = {Tong Gao and C. L. Liu}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Minimum crosstalk channel routing}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {692--696}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580163}, doi = {10.1109/ICCAD.1993.580163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GaoL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GenderenM93, author = {Arjan J. van Genderen and N. P. van der Meijs}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Hierarchical extraction of 3D interconnect capacitances in large regular {VLSI} structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {764--769}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580175}, doi = {10.1109/ICCAD.1993.580175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GenderenM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GeurtsCM93, author = {Werner Geurts and Francky Catthoor and Hugo De Man}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Quadratic zero-one programming based synthesis of application specific data paths}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {522--525}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580108}, doi = {10.1109/ICCAD.1993.580108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GeurtsCM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GolinFHH93, author = {Eric J. Golin and Annette C. Feng and Linus Huang and Eric Hughes}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A visual design environment}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {364--367}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580082}, doi = {10.1109/ICCAD.1993.580082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GolinFHH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuerraPR93, author = {Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {High level synthesis for reconfigurable datapath structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {26--29}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580026}, doi = {10.1109/ICCAD.1993.580026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuerraPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaF93, author = {Aarti Gupta and Allan L. Fisher}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Representation and symbolic manipulation of linearly inductive Boolean functions}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {192--199}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580055}, doi = {10.1109/ICCAD.1993.580055}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuptaF93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HachtelS93, author = {Gary D. Hachtel and Fabio Somenzi}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A symbolic algorithm for maximum flow in 0-1 networks}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {403--406}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580088}, doi = {10.1109/ICCAD.1993.580088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HachtelS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HarmananiP93, author = {Haidar Harmanani and Christos A. Papachristou}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An improved method for {RTL} synthesis with testability tradeoffs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {30--35}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580027}, doi = {10.1109/ICCAD.1993.580027}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HarmananiP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangD93, author = {Ing{-}Jer Huang and Alvin M. Despain}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Hardware/software resolution of pipeline hazards in pipeline synthesis of instruction set processors}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {594--599}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580120}, doi = {10.1109/ICCAD.1993.580120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuangD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangW93, author = {Steve C.{-}Y. Huang and Wayne H. Wolf}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Scheduling a minimum dependence in FSMs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {446--449}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580095}, doi = {10.1109/ICCAD.1993.580095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuangW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HulgaardBAB93, author = {Henrik Hulgaard and Steven M. Burns and Tod Amon and Gaetano Borriello}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Practical applications of an efficient time separation of events algorithm}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {146--151}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580046}, doi = {10.1109/ICCAD.1993.580046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HulgaardBAB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Ishii93, author = {Alexander T. Ishii}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Retiming gated-clocks and precharged circuit structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {300--307}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580073}, doi = {10.1109/ICCAD.1993.580073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Ishii93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JainB93, author = {Alok Jain and Randal E. Bryant}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Inverter minimization in multi-level logic networks}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {462--465}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580098}, doi = {10.1109/ICCAD.1993.580098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JainB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JangP93, author = {Hyuk{-}Jae Jang and Barry M. Pangrle}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A grid-based approach for connectivity binding with geometric costs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {94--99}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580037}, doi = {10.1109/ICCAD.1993.580037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JangP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JeangHWL93, author = {Yuan{-}Long Jeang and Yu{-}Chin Hsu and Jhing{-}Fa Wang and Jau{-}Yien Lee}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {High throughput pipelined data path synthesis by conserving the regularity of nested loops}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {450--453}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580096}, doi = {10.1109/ICCAD.1993.580096}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JeangHWL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KajiharaSK93, author = {Seiji Kajihara and Tetsuji Sumioka and Kozo Kinoshita}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Test generation for multiple faults based on parallel vector pair analysis}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {436--439}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580093}, doi = {10.1109/ICCAD.1993.580093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KajiharaSK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KaoH93, author = {Russell Kao and Mark Horowitz}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Piecewise linear models for Rsim}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {753--758}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580173}, doi = {10.1109/ICCAD.1993.580173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KaoH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimTGKSNP93, author = {S. Y. Kim and Emre Tuncer and Rohini Gupta and Byron Krauter and Thomas L. Savarino and Dean P. Neikirk and Lawrence T. Pillage}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {An efficient methodology for extraction and simulation of transmission lines for application specific electronic modules}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {58--65}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580031}, doi = {10.1109/ICCAD.1993.580031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimTGKSNP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KodandapaniGDT93, author = {K. Kodandapani and Joel Grodstein and Antun Domic and Herv{\'{e}} J. Touati}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A simple algorithm for fanout optimization using high-performance buffer libraries}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {466--471}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580099}, doi = {10.1109/ICCAD.1993.580099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KodandapaniGDT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KolksLM93, author = {Tilman Kolks and Bill Lin and Hugo De Man}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Sizing and verification of communication buffers for communicating processes}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {660--664}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580157}, doi = {10.1109/ICCAD.1993.580157}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KolksLM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KosekoOM93, author = {Yasushi Koseko and Takuji Ogihara and Shinichi Murai}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Tri-state bus conflict checking method for {ATPG} using {BDD}}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {512--515}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580106}, doi = {10.1109/ICCAD.1993.580106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KosekoOM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Kunz93, author = {Wolfgang Kunz}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {{HANNIBAL:} an efficient tool for logic verification based on recursive learning}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {538--543}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580111}, doi = {10.1109/ICCAD.1993.580111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Kunz93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LaiPV93, author = {Yung{-}Te Lai and Massoud Pedram and Sarma B. K. Vrudhula}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {{FGILP:} an integer linear program solver based on function graphs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {685--689}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580162}, doi = {10.1109/ICCAD.1993.580162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LaiPV93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeH93, author = {Hyung Ki Lee and Dong Sam Ha}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {New methods of improving parallel fault simulation in synchronous sequential circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {10--17}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580024}, doi = {10.1109/ICCAD.1993.580024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeM93, author = {Yun Sik Lee and Peter M. Maurer}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Parallel multi-delay simulation}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {759--762}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580174}, doi = {10.1109/ICCAD.1993.580174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeSP93, author = {Jaebum Lee and Eugene Shragowitz and David J. Poli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Bounds on net lengths for high-speed {PCB}}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {73--76}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580033}, doi = {10.1109/ICCAD.1993.580033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeSP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiaoDG93, author = {Stan Y. Liao and Srinivas Devadas and Abhijit Ghosh}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Boolean factorization using multiple-valued minimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {606--611}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580122}, doi = {10.1109/ICCAD.1993.580122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiaoDG93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LippensMVW93, author = {Paul E. R. Lippens and Jef L. van Meerbergen and Wim F. J. Verhaegh and Albert van der Werf}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Allocation of multiport memories for hierarchical data stream}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {728--735}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580169}, doi = {10.1109/ICCAD.1993.580169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LippensMVW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuS93, author = {Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Nyquist data converter testing and yield analysis using behavioral simulation}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {341--348}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580079}, doi = {10.1109/ICCAD.1993.580079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuSCCK93, author = {Lung{-}Tien Liu and Minshine Shih and Nan{-}Chi Chou and Chung{-}Kuan Cheng and Walter H. Ku}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Performance-driven partitioning using retiming and replication}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {296--299}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580072}, doi = {10.1109/ICCAD.1993.580072}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuSCCK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LockyearE93, author = {Brian Lockyear and Carl Ebeling}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {The practical application of retiming to the design of high-performance systems}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {288--295}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580071}, doi = {10.1109/ICCAD.1993.580071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LockyearE93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LopezJS93, author = {Mario Alberto L{\'{o}}pez and Ravi Janardan and Sartaj K. Sahni}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A fast algorithm for {VLSI} net extraction}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {770--774}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580176}, doi = {10.1109/ICCAD.1993.580176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LopezJS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LoweG93, author = {Kerry S. Lowe and P. Glenn Gulak}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Gate sizing and buffer insertion for optimizing performance in power constrained BiCMOS circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {216--219}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580059}, doi = {10.1109/ICCAD.1993.580059}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LoweG93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Malik93, author = {Sharad Malik}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Analysis of cyclic combinational circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {618--625}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580150}, doi = {10.1109/ICCAD.1993.580150}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Malik93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MandalV93, author = {Pradip Mandal and V. Visvanathan}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Macromodeling of the {A.C.} characteristics of {CMOS} Op-amps}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {334--340}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580078}, doi = {10.1109/ICCAD.1993.580078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MandalV93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Marwedel93, author = {Peter Marwedel}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Tree-based mapping of algorithms to predefined structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {586--593}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580119}, doi = {10.1109/ICCAD.1993.580119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Marwedel93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MarzoukiLT93, author = {Meryem Marzouki and Marcelo Lubaszewski and Mohamed Hedi Touati}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Unifying test and diagnosis of interconnects and logic clusters in partial boundary scan boards}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {654--657}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580156}, doi = {10.1109/ICCAD.1993.580156}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MarzoukiLT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MathewS93, author = {Ben Mathew and Daniel G. Saab}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Augmented partial reset}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {716--719}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580167}, doi = {10.1109/ICCAD.1993.580167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MathewS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MayoT93, author = {Robert N. Mayo and Herv{\'{e}} J. Touati}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Boolean matching for full-custom {ECL} gates}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {472--477}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580100}, doi = {10.1109/ICCAD.1993.580100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MayoT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MichaelAT93, author = {Christopher Michael and Christopher J. Abel and C. S. Teng}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A flexible statistical model for {CAD} of submicrometer analog {CMOS} integrated circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {330--333}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580077}, doi = {10.1109/ICCAD.1993.580077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MichaelAT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MitraGB93, author = {Raj S. Mitra and Biswaroop Guha and Anupam Basu}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Rapid prototyping of microprocessor-based systems}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {600--603}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580121}, doi = {10.1109/ICCAD.1993.580121}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MitraGB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MojtahediG93, author = {Mehrdad Mojtahedi and Walter Geisselhardt}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {New methods for parallel pattern fast fault simulation for synchronous sequential circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {2--5}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580022}, doi = {10.1109/ICCAD.1993.580022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MojtahediG93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MollerMW93, author = {Dirk M{\"{o}}ller and Janett Mohnke and Michael Weber}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Detection of symmetry of Boolean functions represented by ROBDDs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {680--684}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580161}, doi = {10.1109/ICCAD.1993.580161}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MollerMW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MonteiroDG93, author = {Jos{\'{e}} Monteiro and Srinivas Devadas and Abhijit Ghosh}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Retiming sequential circuits for low power}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {398--402}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580087}, doi = {10.1109/ICCAD.1993.580087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MonteiroDG93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MoshnyagaMOT93, author = {Vasily G. Moshnyaga and Hiroshi Mori and Hidetoshi Onodera and Keikichi Tamaru}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Layout-driven module selection for register-transfer synthesis of sub-micron ASIC's}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {100--103}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580038}, doi = {10.1109/ICCAD.1993.580038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MoshnyagaMOT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MukherjeePB93, author = {Debaditya Mukherjee and Massoud Pedram and Melvin A. Breuer}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Merging multiple {FSM} controllers for {DFT/BIST} hardware}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {720--725}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580168}, doi = {10.1109/ICCAD.1993.580168}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MukherjeePB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MurgaiBS93, author = {Rajeev Murgai and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Cube-packing and two-level minimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {115--122}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580041}, doi = {10.1109/ICCAD.1993.580041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MurgaiBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NagiCBA93, author = {Naveena Nagi and Abhijit Chatterjee and Ashok Balivada and Jacob A. Abraham}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Fault-based automatic test generator for linear analog circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {88--91}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580036}, doi = {10.1109/ICCAD.1993.580036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NagiCBA93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NarayananB93, author = {Sridhar Narayanan and Melvin A. Breuer}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Reconfigurable scan chains: a novel approach to reduce test application time}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {710--715}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580166}, doi = {10.1109/ICCAD.1993.580166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NarayananB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OchiYY93, author = {Hiroyuki Ochi and Koichi Yasuoka and Shuzo Yajima}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Breadth-first manipulation of very large binary-decision diagrams}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {48--55}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580030}, doi = {10.1109/ICCAD.1993.580030}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OchiYY93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OkamotoIF93, author = {Takumi Okamoto and Masaki Ishikawa and Tomoyuki Fujita}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A new feed-through assignment algorithm based on a flow model}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {775--778}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580177}, doi = {10.1109/ICCAD.1993.580177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OkamotoIF93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PastorC93, author = {Enric Pastor and Jordi Cortadella}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Polynomial algorithms for the synthesis for hazard-free circuits from signal transition graphs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {250--254}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580065}, doi = {10.1109/ICCAD.1993.580065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PastorC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PedramNP93, author = {Massoud Pedram and Bahman S. Nobandegani and Bryan Preas}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Architecture and routability analysis for row-based FPGAs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {230--235}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580062}, doi = {10.1109/ICCAD.1993.580062}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PedramNP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PomeranzR93, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Test generation for path delay faults based on learning}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {428--435}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580092}, doi = {10.1109/ICCAD.1993.580092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PomeranzR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PomeranzR93a, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {On diagnosis and correction of design errors}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {500--507}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580104}, doi = {10.1109/ICCAD.1993.580104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PomeranzR93a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PradhanCB93, author = {Dhiraj K. Pradhan and Mitrajit Chatterjee and Savita Banerjee}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Buffer assignment for data driven architectures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {665--668}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580158}, doi = {10.1109/ICCAD.1993.580158}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PradhanCB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RathTJ93, author = {Kamlesh Rath and M. Esen Tuna and Steven D. Johnson}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Behavior tables: a basis for system representation and transformational system synthesis}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {736--740}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580170}, doi = {10.1109/ICCAD.1993.580170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RathTJ93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ReicheltLW93, author = {Mark W. Reichelt and Andrew Lumsdaine and Jacob K. White}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Accelerated waveform methods for parallel transient simulation of semiconductor devices}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {270--274}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580068}, doi = {10.1109/ICCAD.1993.580068}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ReicheltLW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Rudell93, author = {Richard Rudell}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Dynamic variable ordering for ordered binary decision diagrams}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {42--47}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580029}, doi = {10.1109/ICCAD.1993.580029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Rudell93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Rundensteiner93, author = {Elke A. Rundensteiner}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Design tool integration using object-oriented database views}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {104--107}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580039}, doi = {10.1109/ICCAD.1993.580039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Rundensteiner93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RyanFP93, author = {Paul G. Ryan and W. Kent Fuchs and Irith Pomeranz}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Fault dictionary compression and equivalence class computation for sequential circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {508--511}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580105}, doi = {10.1109/ICCAD.1993.580105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RyanFP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SapatnekarVK93, author = {Sachin S. Sapatnekar and Pravin M. Vaidya and Steve M. Kang}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Convexity-based algorithms for design centering}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {206--209}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580057}, doi = {10.1109/ICCAD.1993.580057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SapatnekarVK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Sarrafzadeh93, author = {Majid Sarrafzadeh}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Transforming an arbitrary floorplan into a sliceable one}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {386--389}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580085}, doi = {10.1109/ICCAD.1993.580085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Sarrafzadeh93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SaucierBH93, author = {Gabriele Saucier and Daniel R. Brasen and J. P. Hiol}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Partitioning with cone structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {236--239}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580063}, doi = {10.1109/ICCAD.1993.580063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SaucierBH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShenDG93, author = {Amelia Shen and Srinivas Devadas and Abhijit Ghosh}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Probabilistic construction and manipulation of free Boolean diagrams}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {544--583}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580112}, doi = {10.1109/ICCAD.1993.580112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShenDG93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShenoyBS93, author = {Narendra V. Shenoy and Robert K. Brayton and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Minimum padding to satisfy short path constraints}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {156--161}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580048}, doi = {10.1109/ICCAD.1993.580048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShenoyBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShinKKORCC93, author = {Hyunchul Shin and Chunghee Kim and Wonjong Kim and Myoungsub Oh and Kwangjoon Rhee and Seogyun Choi and Heasoo Chung}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A combined hierarchical placement algorithm}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {164--169}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580050}, doi = {10.1109/ICCAD.1993.580050}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShinKKORCC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StanionS93, author = {Ted Stanion and Carl Sechen}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Maximum projections of don't care conditions in a Boolean network}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {674--679}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580160}, doi = {10.1109/ICCAD.1993.580160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/StanionS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunS93, author = {Wern{-}Jieh Sun and Carl Sechen}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Efficient and effective placement for very large circuits}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {170--177}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580051}, doi = {10.1109/ICCAD.1993.580051}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunWWL93, author = {Yachyang Sun and Ting{-}Chi Wang and Chak{-}Kuen Wong and C. L. Liu}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Routing for symmetric FPGAs and FPICs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {486--490}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580102}, doi = {10.1109/ICCAD.1993.580102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunWWL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SwartzS93, author = {William Swartz and Carl Sechen}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A new generalized row-based global router}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {491--498}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580103}, doi = {10.1109/ICCAD.1993.580103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SwartzS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Tamisier93, author = {Thomas Tamisier}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Computing the observable equivalence relation of a finite state machine}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {184--187}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580053}, doi = {10.1109/ICCAD.1993.580053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Tamisier93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TimmerJ93, author = {Adwin H. Timmer and Jochen A. G. Jess}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Execution interval analysis under resource constraints}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {454--459}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580097}, doi = {10.1109/ICCAD.1993.580097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TimmerJ93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TrihyR93, author = {Richard J. Trihy and Ronald A. Rohrer}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Simulating sigma-delta modulators in AWEswit}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {141--144}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580045}, doi = {10.1109/ICCAD.1993.580045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TrihyR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsuiPD93, author = {Chi{-}Ying Tsui and Massoud Pedram and Alvin M. Despain}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Efficient estimation of dynamic power consumption under a real delay model}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {224--228}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580061}, doi = {10.1109/ICCAD.1993.580061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TsuiPD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/UpadhyayaC93, author = {Shambhu J. Upadhyaya and Liang{-}Chi Chen}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {On-chip test generation for combinational circuits by {LFSR} modification}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {84--87}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580035}, doi = {10.1109/ICCAD.1993.580035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/UpadhyayaC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VergheseLA93, author = {Nishath K. Verghese and Sang{-}Soo Lee and David J. Allstot}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A unified approach to simulating electrical and thermal substrate coupling interactions in ICs}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {422--426}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580091}, doi = {10.1109/ICCAD.1993.580091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VergheseLA93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangB93, author = {Huey{-}Yih Wang and Robert K. Brayton}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Input don't care sequences in {FSM} networks}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {321--328}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580076}, doi = {10.1109/ICCAD.1993.580076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLLC93, author = {Lih{-}Yang Wang and Yen{-}Tai Lai and Bin{-}Da Liu and Ting{-}Chung Chang}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A graph-based simplex algorithm for minimizing the layout size and the delay on timing critical paths}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {703--708}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580165}, doi = {10.1109/ICCAD.1993.580165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangLLC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WatanabeB93, author = {Yosinori Watanabe and Robert K. Brayton}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {The maximum set of permissible behaviors for {FSM} networks}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {316--320}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580075}, doi = {10.1109/ICCAD.1993.580075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WatanabeB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WenY93, author = {Chih{-}Po Wen and Katherine A. Yelick}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Parallel timing simulation on a distributed memory multiprocessor}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {130--135}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580043}, doi = {10.1109/ICCAD.1993.580043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WenY93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XueDJ93, author = {Hua Xue and Chennian Di and Jochen A. G. Jess}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A net-oriented method for realistic fault analysis}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {78--83}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580034}, doi = {10.1109/ICCAD.1993.580034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XueDJ93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YunD93, author = {Kenneth Y. Yun and David L. Dill}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Unifying synchronous/asynchronous state machine synthesis}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {255--260}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580066}, doi = {10.1109/ICCAD.1993.580066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YunD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuDX93, author = {Qing Zhu and Wayne Wei{-}Ming Dai and Joe G. Xi}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Optimal sizing of high-speed clock networks based on distributed {RC} and lossy transmission line models}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {628--633}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580151}, doi = {10.1109/ICCAD.1993.580151}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuDX93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuWC93, author = {Kai Zhu and D. F. Wong and Yao{-}Wen Chang}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Switch module design with application to two-dimensional segmentation design}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {480--485}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580101}, doi = {10.1109/ICCAD.1993.580101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuWC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/1993, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://ieeexplore.ieee.org/xpl/conhome/4431/proceeding}, isbn = {0-8186-4490-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.