Search dblp for Publications

export results for "toc:db/conf/fmcad/fmcad2010.bht:"

 download as .bib file

@inproceedings{DBLP:conf/fmcad/AgbariaCCKLN10,
  author       = {Sabih Agbaria and
                  Dan Carmi and
                  Orly Cohen and
                  Dmitry Korchemny and
                  Michael Lifshits and
                  Alexander Nadel},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {SAT-based semiformal verification of hardware},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {25--32},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770929/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/AgbariaCCKLN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/AlkassarCHKP10,
  author       = {Eyad Alkassar and
                  Ernie Cohen and
                  Mark A. Hillebrand and
                  Mikhail Kovalev and
                  Wolfgang J. Paul},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Verifying shadow page table algorithms},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {267--270},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770958/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/AlkassarCHKP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/AlkassarCHP10,
  author       = {Eyad Alkassar and
                  Ernie Cohen and
                  Mark A. Hillebrand and
                  Hristo Pentchev},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Modular specification and verification of interprocess communication},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {167--174},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770946/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/AlkassarCHP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/AuerbachCP10,
  author       = {Gadiel Auerbach and
                  Fady Copty and
                  Viresh Paruthi},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Formal verification of arbiters using property strengthening and underapproximations},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770928/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/AuerbachCP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/BalakrishnanGGIKLMPSSW10,
  author       = {Gogul Balakrishnan and
                  Malay K. Ganai and
                  Aarti Gupta and
                  Franjo Ivancic and
                  Vineet Kahlon and
                  Weihong Li and
                  Naoto Maeda and
                  Nadia Papakonstantinou and
                  Sriram Sankaranarayanan and
                  Nishant Sinha and
                  Chao Wang},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Scalable and precise program analysis at {NEC}},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {273--274},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770960/},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/BalakrishnanGGIKLMPSSW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/BallBKL10,
  author       = {Thomas Ball and
                  Ella Bounimova and
                  Rahul Kumar and
                  Vladimir Levin},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {{SLAM2:} Static driver verification with under 4{\%} false alarms},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {35--42},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770931/},
  timestamp    = {Tue, 09 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/BallBKL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/BaumgartnerCM10,
  author       = {Jason Baumgartner and
                  Michael L. Case and
                  Hari Mony},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Coping with Moore's Law (and more): Supporting arrays in state-of-the-art
                  model checkers},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {61--69},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770934/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/BaumgartnerCM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/BensalemBLNSY10,
  author       = {Saddek Bensalem and
                  Marius Bozga and
                  Axel Legay and
                  Thanh{-}Hung Nguyen and
                  Joseph Sifakis and
                  Rongjie Yan},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Incremental component-based construction and verification using invariants},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {257--256},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770957/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/BensalemBLNSY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/BeyerKW10,
  author       = {Dirk Beyer and
                  M. Erkan Keremoglu and
                  Philipp Wendler},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Predicate abstraction with adjustable-block encoding},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {189--197},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770949/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/BeyerKW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Bohm10,
  author       = {Peter B{\"{o}}hm},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {A framework for incremental modelling and verification of on-chip
                  protocols},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {159--166},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770945/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Bohm10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Chockler10,
  author       = {Hana Chockler},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {{PINCETTE} - Validating changes and upgrades in networked software},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {277},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770962/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Chockler10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/CimattiMNR10,
  author       = {Alessandro Cimatti and
                  Andrea Micheli and
                  Iman Narasamdya and
                  Marco Roveri},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Verifying SystemC: {A} software model checking approach},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {51--59},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770933/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/CimattiMNR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/EenMA10,
  author       = {Niklas E{\'{e}}n and
                  Alan Mishchenko and
                  Nina Amla},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {A single-instance incremental {SAT} formulation of proof- and counterexample-based
                  abstraction},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {181--188},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770948/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/EenMA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/EmmerKKV10,
  author       = {Moshe Emmer and
                  Zurab Khasidashvili and
                  Konstantin Korovin and
                  Andrei Voronkov},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Encoding industrial hardware verification problems into effectively
                  propositional logic},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {137--144},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770942/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/EmmerKKV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/FranzenCNSS10,
  author       = {Anders Franz{\'{e}}n and
                  Alessandro Cimatti and
                  Alexander Nadel and
                  Roberto Sebastiani and
                  Jonathan Shalev},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Applying {SMT} in symbolic execution of microcode},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {121--128},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770940/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/FranzenCNSS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Ganai10,
  author       = {Malay K. Ganai},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Propelling {SAT} and SAT-based {BMC} using careset},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {231--238},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770954/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Ganai10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/GaoGIGSC10,
  author       = {Sicun Gao and
                  Malay K. Ganai and
                  Franjo Ivancic and
                  Aarti Gupta and
                  Sriram Sankaranarayanan and
                  Edmund M. Clarke},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Integrating {ICP} and {LRA} solvers for deciding nonlinear real arithmetic
                  problems},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {81--89},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770936/},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/GaoGIGSC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Gulwani10,
  author       = {Sumit Gulwani},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Dimensions in program synthesis},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770924/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Gulwani10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/HallerS10,
  author       = {Leopold Haller and
                  Satnam Singh},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Relieving capacity limits on FPGA-based SAT-solvers},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770952/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/HallerS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/HamzaJK10,
  author       = {Jad Hamza and
                  Barbara Jobstmann and
                  Viktor Kuncak},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Synthesis for regular specifications over unbounded domains},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {101--109},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770938/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/HamzaJK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Hunt10,
  author       = {Warren A. Hunt Jr.},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Verifying {VIA} Nano microprocessor components},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {3--10},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770925/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Hunt10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/KinderV10,
  author       = {Johannes Kinder and
                  Helmut Veith},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Precise static analysis of untrusted driver binaries},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {43--50},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770932/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/KinderV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/KrishnaSJ10,
  author       = {B. A. Krishna and
                  Anamaya Sullerey and
                  Alok Jain},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Formal verification of an {ASIC} ethernet switch block},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {13--20},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770927/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/KrishnaSJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/KuhneBBB10,
  author       = {Ulrich K{\"{u}}hne and
                  Sven Beyer and
                  J{\"{o}}rg Bormann and
                  John Barstow},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Automated formal verification of processors based on architectural
                  models},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {129--136},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770941/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/KuhneBBB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/KupersteinVY10,
  author       = {Michael Kuperstein and
                  Martin T. Vechev and
                  Eran Yahav},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Automatic inference of memory fences},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {111--119},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770939/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/KupersteinVY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/LaarmanPW10,
  author       = {Alfons Laarman and
                  Jaco van de Pol and
                  Michael Weber},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Boosting multi-core reachability performance with shared hash tables},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {247--255},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770956/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/LaarmanPW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Nadel10,
  author       = {Alexander Nadel},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Boosting minimal unsatisfiable core extraction},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {221--229},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770953/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Nadel10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/NuzzoPSS10,
  author       = {Pierluigi Nuzzo and
                  Alberto Puggelli and
                  Sanjit A. Seshia and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {CalCS: {SMT} solving for non-linear convex constraints},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {71--79},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770935/},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/NuzzoPSS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Paruthi10,
  author       = {Viresh Paruthi},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Large-scale application of formal verification: From fiction to fact},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {175--180},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770947/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Paruthi10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Roselli10,
  author       = {Massimo Roselli},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Impacting verification closure using formal analysis},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {271},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770959/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Roselli10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/SavojBMB10,
  author       = {Hamid Savoj and
                  David Berthelot and
                  Alan Mishchenko and
                  Robert K. Brayton},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Combinational techniques for sequential equivalence checking},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {145--149},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770943/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/SavojBMB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Sawada10,
  author       = {Jun Sawada},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Automatic verification of estimate functions with polynomials of bounded
                  functions},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {151--158},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770944/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Sawada10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/SenRBMR10,
  author       = {Lopamudra Sen and
                  Amit Roy and
                  Supriya Bhattacharjee and
                  Bijitendra Mittra and
                  Subir K. Roy},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {{DFT} logic verification through property based formal methods - {SOC}
                  to {IP}},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {33},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770930/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/SenRBMR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/ShenQZL10,
  author       = {ShengYu Shen and
                  Ying Qin and
                  Jianmin Zhang and
                  Sikun Li},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {A halting algorithm to determine the existence of decoder},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {91--99},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770937/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/ShenQZL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Siegel10,
  author       = {Michael Siegel},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Achieving earlier verification closure using advanced formal verification},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {275},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770961/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Siegel10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Sifakis10,
  author       = {Joseph Sifakis},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Embedded systems design - Scientific challenges and work directions},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {11},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770926/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Sifakis10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/Sinha10,
  author       = {Nishant Sinha},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Modular bug detection with inertial refinement},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {199--206},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770950/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/Sinha10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/UrdahlSBWK10,
  author       = {Joakim Urdahl and
                  Dominik Stoffel and
                  J{\"{o}}rg Bormann and
                  Markus Wedler and
                  Wolfgang Kunz},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Path predicate abstraction by complete interval property checking},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {207--215},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770951/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/UrdahlSBWK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/WintersteigerHM10,
  author       = {Christoph M. Wintersteiger and
                  Youssef Hamadi and
                  Leonardo Mendon{\c{c}}a de Moura},
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Efficiently solving quantified bit-vector formulas},
  booktitle    = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  pages        = {239--246},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/document/5770955/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/WintersteigerHM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/fmcad/2010,
  editor       = {Roderick Bloem and
                  Natasha Sharygina},
  title        = {Proceedings of 10th International Conference on Formal Methods in
                  Computer-Aided Design, {FMCAD} 2010, Lugano, Switzerland, October
                  20-23},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5766311/proceeding},
  isbn         = {978-1-4577-0734-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics