Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:journals/dt:"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/dt/000124, author = {Gang Qu}, title = {Special Issue on the 2021 Workshop on Top Picks in Hardware and Embedded Security}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {5--6}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3349082}, doi = {10.1109/MDAT.2023.3349082}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/000124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/000124a, author = {Scott Davidson}, title = {Predictions}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {90}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3357778}, doi = {10.1109/MDAT.2024.3357778}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/000124a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/0001LKCM24, author = {Ke Huang and Yu Liu and Nenad Korolija and John M. Carulli and Yiorgos Makris}, title = {Statistical Methods for Detecting Recycled Electronics: From ICs to PCBs and Beyond}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {15--22}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3283349}, doi = {10.1109/MDAT.2023.3283349}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/0001LKCM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AbideenWPSP24, author = {Zain Ul Abideen and Rui Wang and Tiago Diadami Perez and Geert Jan Schrijen and Samuel Pagliarini}, title = {Impact of Orientation on the Bias of SRAM-Based PUFs}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {14--20}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3322621}, doi = {10.1109/MDAT.2023.3322621}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AbideenWPSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BashBFJMW24, author = {Cullen E. Bash and Kirk Bresniker and Paolo Faraboschi and Tiffani Jarnigan and Dejan S. Milojicic and Pam Wood}, title = {Ethics in Sustainability}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {25--32}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3283351}, doi = {10.1109/MDAT.2023.3283351}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BashBFJMW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BhathejaCLDBC24, author = {Kushagra Bhatheja and Shravan K. Chaganti and Johnathan Leisinger and Emmanuel Nti Darko and Isaac Bruce and Degang Chen}, title = {A {BIST} Approach to Approximate Co-Testing of Embedded Data Converters}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {21--28}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3365958}, doi = {10.1109/MDAT.2024.3365958}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BhathejaCLDBC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakraborty0AMB24, author = {Prabuddha Chakraborty and Jonathan Cruz and Rasheed Almawzan and Tanzim Mahfuz and Swarup Bhunia}, title = {Learning Your Lock: Exploiting Structural Vulnerabilities in Logic Locking}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {7--14}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3354569}, doi = {10.1109/MDAT.2024.3354569}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakraborty0AMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenFRZK24, author = {Huili Chen and Cheng Fu and Bita Darvish Rouhani and Jishen Zhao and Farinaz Koushanfar}, title = {Intellectual Property Protection of Deep-Learning Systems via Hardware/Software Co-Design}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {23--31}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3303435}, doi = {10.1109/MDAT.2023.3303435}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenFRZK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson24, author = {Scott Davidson}, title = {Losing My Memory}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {95}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3312570}, doi = {10.1109/MDAT.2023.3312570}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson24a, author = {Scott Davidson}, title = {Niklaus Wirth {(1934-2024)} - An Appreciation}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {65}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3371372}, doi = {10.1109/MDAT.2024.3371372}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Davidson24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson24b, author = {Scott Davidson}, title = {{IC} Phone Home!}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {70}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3393829}, doi = {10.1109/MDAT.2024.3393829}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Davidson24b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Deng0S24, author = {Shuwen Deng and Wenjie Xiong and Jakub Szefer}, title = {Designing Secure TLBs}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {39--46}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3287938}, doi = {10.1109/MDAT.2023.3287938}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Deng0S24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Gao0BCSMHGM24, author = {Zhan Gao and Min{-}Chun Hu and Rogier Baert and Bilal Chehab and Joe Swenton and Santosh Malagi and Jos Huisken and Kees Goossens and Erik Jan Marinissen}, title = {Cell-Aware Test on Various Circuits in an Advanced 3-nm Technology}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {56--64}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3294872}, doi = {10.1109/MDAT.2023.3294872}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Gao0BCSMHGM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HossainARFT24, author = {Muhammad Monir Hossain and Kimia Zamiri Azar and Fahim Rahman and Farimah Farahmandi and Mark M. Tehranipoor}, title = {Fuzzing for Automated SoC Security Verification: Challenges and Solution}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {7--16}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3377164}, doi = {10.1109/MDAT.2024.3377164}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HossainARFT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuGF24, author = {Xiaobo Sharon Hu and Alain Girault and Heiko Falk}, title = {Report on the 2023 Embedded Systems Week {(ESWEEK)}}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {84--87}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3333795}, doi = {10.1109/MDAT.2023.3333795}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuGF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/IngleseVN24, author = {Pietro Inglese and Elena Ioana Vatajelu and Giorgio Di Natale}, title = {Side Channel and Fault Analyses on Memristor-Based Logic In-Memory}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {29--35}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3324522}, doi = {10.1109/MDAT.2023.3324522}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/IngleseVN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschGOM24, author = {Axel Jantsch and Swaroop Ghosh and {\"{U}}mit Y. Ogras and Pascal Meinerzhagen}, title = {{ISLPED} 2023: International Symposium on Low-Power Electronics and Design}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {93--94}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3324518}, doi = {10.1109/MDAT.2023.3324518}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JantschGOM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JoannouRWFMNRWNM24, author = {Alexandre Joannou and Peter Rugg and Jonathan Woodruff and Franz A. Fuchs and Marno van der Maas and Matthew Naylor and Michael Roe and Robert N. M. Watson and Peter G. Neumann and Simon W. Moore}, title = {Randomized Testing of {RISC-V} CPUs Using Direct Instruction Injection}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {40--49}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3262741}, doi = {10.1109/MDAT.2023.3262741}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JoannouRWFMNRWNM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JosephJKR24, author = {Arun Joseph and Pretty Mariam Jacob and Matthias Klein and Wolfgang Roesner}, title = {Efficient Aspect Verification and Debugging of High-Performance Microprocessor Designs}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {36--46}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3363085}, doi = {10.1109/MDAT.2024.3363085}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JosephJKR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Karimi24, author = {Naghmeh Karimi}, title = {The 28th {IEEE} European Test Symposium}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {91--92}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3292800}, doi = {10.1109/MDAT.2023.3292800}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Karimi24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kim24, author = {Taewhan Kim}, title = {Recap of the 29th Edition of the Asia and South Pacific Design Automation Conference {(ASPDAC} 2024)}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {63--64}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3371368}, doi = {10.1109/MDAT.2024.3371368}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Kim24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KohanHW24, author = {Somayeh Sadeghi Kohan and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Workload-Aware Periodic Interconnect {BIST}}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {50--55}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3298849}, doi = {10.1109/MDAT.2023.3298849}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KohanHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KoopmanW24, author = {Philip Koopman and William H. Widen}, title = {Safety Ethics for Design and Test of Automated Driving Features}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {17--24}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3281733}, doi = {10.1109/MDAT.2023.3281733}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KoopmanW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KoruyehKSA24, author = {Esmaeil Mohammadian Koruyeh and Khaled N. Khasawneh and Chengyu Song and Nael B. Abu{-}Ghazaleh}, title = {Spectre Returns! Speculation Attacks Using the Return Stack Buffer}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {47--55}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3352537}, doi = {10.1109/MDAT.2024.3352537}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KoruyehKSA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrishnakumarYAGPMHCCBAKDBO24, author = {Anish Krishnakumar and Hanguang Yu and Tutu Ajayi and A. Alper Goksoy and Vishrut Pandey and Joshua Mack and Md Sahil Hassan and Kuan{-}Yu Chen and Chaitali Chakrabarti and Daniel W. Bliss and Ali Akoglu and Hun{-}Seok Kim and Ronald G. Dreslinski and David T. Blaauw and {\"{U}}mit Y. Ogras}, title = {{FALCON:} An {FPGA} Emulation Platform for Domain-Specific SoCs (DSSoCs)}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {70--80}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3291331}, doi = {10.1109/MDAT.2023.3291331}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KrishnakumarYAGPMHCCBAKDBO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MeloASKD24, author = {Caio Batista de Melo and Marzieh Ashrafiamiri and Minjun Seo and Fadi J. Kurdahi and Nikil D. Dutt}, title = {{SAFER:} Safety Assurances for Emergent Behavior}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {17--25}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3324887}, doi = {10.1109/MDAT.2023.3324887}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MeloASKD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MoussaHT24, author = {Dina A. Moussa and Michael Hefenbrock and Mehdi B. Tahoori}, title = {Testing for Multiple Faults in Deep Neural Networks}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {47--53}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3365988}, doi = {10.1109/MDAT.2024.3365988}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MoussaHT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Munoz24, author = {Rob Munoz}, title = {Furthering Moore's Law Integration Benefits in the Chiplet Era}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {81--90}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3302809}, doi = {10.1109/MDAT.2023.3302809}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Munoz24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Nicolici24, author = {Nicola Nicolici}, title = {Interview With Janusz Rajski}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {65--69}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3386106}, doi = {10.1109/MDAT.2024.3386106}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Nicolici24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PanZSI24, author = {Yuxuan Pan and Zhonghua Zhou and S. Arash Sheikholeslam and Andr{\'{e}} Ivanov}, title = {VioNet: {A} Hierarchical Detailed Routing Wire-Short Violation Predictor Based on a Convolutional Neural Network}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {65--74}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3314672}, doi = {10.1109/MDAT.2023.3314672}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PanZSI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande24, author = {Partha Pratim Pande}, title = {Ethics in Computing}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {4}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3340991}, doi = {10.1109/MDAT.2023.3340991}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pande24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande24a, author = {Partha Pratim Pande}, title = {Special Issue on the 2021 Workshop on Top Picks in Hardware and Embedded Security}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {4}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3358221}, doi = {10.1109/MDAT.2024.3358221}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pande24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande24b, author = {Partha Pratim Pande}, title = {Robust and Secure Systems}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {4}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3373750}, doi = {10.1109/MDAT.2024.3373750}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande24b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande24c, author = {Partha Pratim Pande}, title = {Silicon Lifecycle Management}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {4}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3393834}, doi = {10.1109/MDAT.2024.3393834}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande24c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PartridgeGEG24, author = {Craig Partridge and Moti Gorin and Eric Easley and Jesse Gray}, title = {Five Years Teaching Ethics and Computing}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {33--39}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3277814}, doi = {10.1109/MDAT.2023.3277814}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PartridgeGEG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasrichaW24, author = {Sudeep Pasricha and Marilyn Wolf}, title = {Special Issue on Ethics in Computing}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {5--6}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3331319}, doi = {10.1109/MDAT.2023.3331319}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PasrichaW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasrichaW24a, author = {Sudeep Pasricha and Marilyn Wolf}, title = {Ethical Design of Computers: From Semiconductors to IoT and Artificial Intelligence}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {7--16}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3277815}, doi = {10.1109/MDAT.2023.3277815}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PasrichaW24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PicekHJB024, author = {Stjepan Picek and Annelie Heuser and Alan Jovic and Shivam Bhasin and Francesco Regazzoni}, title = {Tipping the Balance: Imbalanced Classes in Deep-Learning Side-Channel Analysis}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {32--38}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3288808}, doi = {10.1109/MDAT.2023.3288808}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PicekHJB024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pomeranz24, author = {Irith Pomeranz}, title = {Conventional Tests for Approximate Scan Logic}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {5--13}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3370824}, doi = {10.1109/MDAT.2024.3370824}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pomeranz24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RahmanTATF24, author = {Mridha Md Mashahedur Rahman and Shams Tarek and Kimia Zamiri Azar and Mark M. Tehranipoor and Farimah Farahmandi}, title = {Efficient SoC Security Monitoring: Quality Attributes and Potential Solutions}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {26--34}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3292208}, doi = {10.1109/MDAT.2023.3292208}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RahmanTATF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RajskiCCEMT24, author = {Janusz Rajski and Vivek Chickermane and Jean{-}Fran{\c{c}}ois C{\^{o}}t{\'{e}} and Stephan Eggersgl{\"{u}}{\ss} and Nilanjan Mukherjee and Jerzy Tyszer}, title = {The Future of Design for Test and Silicon Lifecycle Management}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {35--49}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3335195}, doi = {10.1109/MDAT.2023.3335195}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RajskiCCEMT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RathorR24, author = {Mahendra Rathor and Girraj Prasad Rathor}, title = {Hard-Sign: {A} Hardware Watermarking Scheme Using Dated Handwritten Signature}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {75--83}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3307576}, doi = {10.1109/MDAT.2023.3307576}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RathorR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Salehi24, author = {Sayed Ahmad Salehi}, title = {Area-Efficient LFSR-Based Stochastic Number Generators With Minimum Correlation}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {50--59}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3278619}, doi = {10.1109/MDAT.2023.3278619}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Salehi24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SuKHPGBZAR24, author = {Fei Su and Robert Kwasnick and John Holm and William Penner and Hermann Gartler and Josh Boelter and Yufei Zhou and Bijan Arbab and Michael Rothberg}, title = {Product Health Insights Using Telemetry}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {56--64}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3317337}, doi = {10.1109/MDAT.2023.3317337}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SuKHPGBZAR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TahooriZ24, author = {Mehdi Baradaran Tahoori and Yervant Zorian}, title = {Special Issue on Silicon Lifecycle Management}, journal = {{IEEE} Des. Test}, volume = {41}, number = {4}, pages = {5--6}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3392620}, doi = {10.1109/MDAT.2024.3392620}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TahooriZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YoonJKH24, author = {Kyonghwan Yoon and Eunjin Jeong and Woosuk Kang and Soonhoi Ha}, title = {Memory Usage Estimation for Dataflow-Model-Based Software Development Methodology}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {60--69}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3286333}, doi = {10.1109/MDAT.2023.3286333}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YoonJKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Young24, author = {Evangeline F. Y. Young}, title = {Recap of the 42nd Edition of the International Conference on Computer- Aided Design {(ICCAD} 2023)}, journal = {{IEEE} Des. Test}, volume = {41}, number = {2}, pages = {88--89}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2024.3351568}, doi = {10.1109/MDAT.2024.3351568}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Young24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangWRXC24, author = {Zhang Zhang and Annan Wang and Hongtao Ren and Guangjun Xie and Xin Cheng}, title = {Voltage-Resistance-Adaptive {MPPT} Circuit for Energy Harvesting}, journal = {{IEEE} Des. Test}, volume = {41}, number = {3}, pages = {54--62}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3335173}, doi = {10.1109/MDAT.2023.3335173}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhangWRXC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AhmadpourNBMY23, author = {Seyed{-}Sajad Ahmadpour and Nima Jafari Navimipour and Ali Newaz Bahar and Mohammad Mosleh and Senay Yal{\c{c}}in}, title = {An Energy-Aware Nanoscale Design of Reversible Atomic Silicon Based on Miller Algorithm}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {62--69}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3261800}, doi = {10.1109/MDAT.2023.3261800}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AhmadpourNBMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AhmedT23, author = {Soyed Tuhin Ahmed and Mehdi B. Tahoori}, title = {Fault-Tolerant Neuromorphic Computing With Memristors Using Functional {ATPG} for Efficient Recalibration}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {42--50}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3270126}, doi = {10.1109/MDAT.2023.3270126}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AhmedT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AugustineL23, author = {Charles Augustine and Hai Helen Li}, title = {{ISLPED} 2022: An Experience of a Hybrid Conference in the Time of {COVID-19}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {105--107}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3208552}, doi = {10.1109/MDAT.2022.3208552}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AugustineL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BanerjeeNC23, author = {Sanmitra Banerjee and Mahdi Nikdast and Krishnendu Chakrabarty}, title = {On the Impact of Uncertainties in Silicon-Photonic Neural Networks}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {82--89}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3161599}, doi = {10.1109/MDAT.2022.3161599}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BanerjeeNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BertoaGFBM23, author = {Timoteo Garc{\'{\i}}a Bertoa and Giulio Gambardella and Nicholas J. Fraser and Michaela Blott and John McAllister}, title = {Fault-Tolerant Neural Network Accelerators With Selective {TMR}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {67--74}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3174181}, doi = {10.1109/MDAT.2022.3174181}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BertoaGFBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BilgicO23, author = {Bora Bilgic and Sule Ozev}, title = {Low-Cost Structural Monitoring of Analog Circuits for Secure and Reliable Operation}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {5--16}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3266997}, doi = {10.1109/MDAT.2023.3266997}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BilgicO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BosioBSHT23, author = {Alberto Bosio and Mario Barbareschi and Alessandro Savino and Jie Han and J{\"{u}}rgen Teich}, title = {Special Issue on Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {5--7}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3221909}, doi = {10.1109/MDAT.2022.3221909}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BosioBSHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CaiHZLN23, author = {Hao Cai and Yaoru Hou and Mengdi Zhang and Bo Liu and Lirida Alves de Barros Naviner}, title = {Dependable {STT-MRAM} With Emerging Approximation and Speculation Paradigms}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {17--25}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2021.3120330}, doi = {10.1109/MDAT.2021.3120330}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CaiHZLN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CaoYWBY23, author = {Peng Cao and Tai Yang and Kai Wang and Wei Bao and Hao Yan}, title = {Topology-Aided Multicorner Timing Predictor for Wide Voltage Design}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {62--69}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2021.3117745}, doi = {10.1109/MDAT.2021.3117745}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CaoYWBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenBGK23, author = {Zeyu Chen and Ankur Bindal and Vaidehi Garg and Tushar Krishna}, title = {{SPOCK:} Reverse Packet Traversal for Deadlock Recovery}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {86--99}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3309742}, doi = {10.1109/MDAT.2023.3309742}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenBGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenZYLS23, author = {Tinghuan Chen and Grace Li Zhang and Bei Yu and Bing Li and Ulf Schlichtmann}, title = {Machine Learning in Advanced {IC} Design: {A} Methodological Survey}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {17--33}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3216799}, doi = {10.1109/MDAT.2022.3216799}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenZYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CondiaSBGHSR23, author = {Josie E. Rodriguez Condia and Felipe Augusto da Silva and Ahmet {\c{C}}agri Bagbaga and Juan{-}David Guerrero{-}Balaguera and Said Hamdioui and Christian Sauer and Matteo Sonza Reorda}, title = {Using STLs for Effective In-Field Test of GPUs}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {109--117}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3188573}, doi = {10.1109/MDAT.2022.3188573}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CondiaSBGHSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CorreaPCA23, author = {Marcel Moscarelli Corr{\^{e}}a and Daniel Palomino and Guilherme Corr{\^{e}}a and Luciano Agostini}, title = {Heuristic-Based Algorithms for Low-Complexity {AV1} Intraprediction}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {26--33}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3286335}, doi = {10.1109/MDAT.2023.3286335}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CorreaPCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson23, author = {Scott Davidson}, title = {Training Data Sets: The Source of Our Woes?}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {116}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3225342}, doi = {10.1109/MDAT.2022.3225342}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson23a, author = {Scott Davidson}, title = {Is There an Answer?}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {139}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3241567}, doi = {10.1109/MDAT.2023.3241567}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson23b, author = {Scott Davidson}, title = {Our Gated Community}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {78}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3273199}, doi = {10.1109/MDAT.2023.3273199}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson23c, author = {Scott Davidson}, title = {Calling Yourself Back}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {115}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3291666}, doi = {10.1109/MDAT.2023.3291666}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson23c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FaccendaCCM23, author = {Rafael Follmann Faccenda and Gustavo Comar{\'{u}} and Luciano Lores Caimi and Fernando Gehm Moraes}, title = {SeMAP - {A} Method to Secure the Communication in NoC-Based Many-Cores}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {42--51}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3277813}, doi = {10.1109/MDAT.2023.3277813}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FaccendaCCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FarayolaOCSRC23, author = {Praise O. Farayola and Ekaniyere Oko{-}Odion and Shravan K. Chaganti and Abalhassan Sheikh and Srivaths Ravi and Degang Chen}, title = {Site-to-Site Variation in Analog Multisite Testing: {A} Survey on Its Detection and Correction}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {52--61}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3261799}, doi = {10.1109/MDAT.2023.3261799}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FarayolaOCSRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FischerRCGB23, author = {Tim Fischer and Michael Rogenmoser and Matheus A. Cavalcante and Frank K. G{\"{u}}rkaynak and Luca Benini}, title = {FlooNoC: {A} Multi-Tb/s Wide NoC for Heterogeneous {AXI4} Traffic}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {7--17}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3306720}, doi = {10.1109/MDAT.2023.3306720}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FischerRCGB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FranciscoDF23, author = {Luis Francisco and W. Rhett Davis and Paul D. Franzon}, title = {A Deep Transfer Learning Design Rule Checker With Synthetic Training}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {77--84}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3162786}, doi = {10.1109/MDAT.2022.3162786}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FranciscoDF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GoelTETWLD23, author = {Abhinav Goel and Caleb Tung and Nick Eliopoulos and George K. Thiruvathukal and Amy Wang and Yung{-}Hsiang Lu and James C. Davis}, title = {Tree-Based Unidirectional Neural Networks for Low-Power Computer Vision}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {53--61}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3217016}, doi = {10.1109/MDAT.2022.3217016}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GoelTETWLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuWZJLR23, author = {Taochen Gu and Fayu Wan and Jingjie Zhou and Qizheng Ji and Binhong Li and Blaise Ravelo}, title = {T-Topology Coupler-Based Bandpass Negative Group Delay Active Circuit Design and Test}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {118--126}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3202858}, doi = {10.1109/MDAT.2022.3202858}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GuWZJLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuerreroBalagueraCR23, author = {Juan{-}David Guerrero{-}Balaguera and Josie E. Rodriguez Condia and Matteo Sonza Reorda}, title = {STLs for GPUs: Using High-Level Language Approaches}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {51--60}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3267601}, doi = {10.1109/MDAT.2023.3267601}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GuerreroBalagueraCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuaiKLLSMLL23, author = {Shuo Huai and Hao Kong and Xiangzhong Luo and Di Liu and Ravi Subramaniam and Christian Makaya and Qian Lin and Weichen Liu}, title = {On Hardware-Aware Design and Optimization of Edge Intelligence}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {149--162}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3307558}, doi = {10.1109/MDAT.2023.3307558}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuaiKLLSMLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JimenezRDSDVGQGSPM23, author = {V{\'{\i}}ctor Jim{\'{e}}nez and Mario Rodr{\'{\i}}guez and Marc Dom{\'{\i}}nguez and Josep Sans and Ivan Diaz and Luca Valente and Vito Luca Guglielmi and Josue V. Quiroga and R. Ignacio Genovese and Nehir S{\"{o}}nmez and Oscar Palomar and Miquel Moret{\'{o}}}, title = {Functional Verification of a {RISC-V} Vector Accelerator}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {36--44}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3226709}, doi = {10.1109/MDAT.2022.3226709}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JimenezRDSDVGQGSPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kahng23, author = {Andrew B. Kahng}, title = {Machine Learning for {CAD/EDA:} The Road Ahead}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {8--16}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3161593}, doi = {10.1109/MDAT.2022.3161593}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Kahng23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Karimi23, author = {Naghmeh Karimi}, title = {The 41st {IEEE} {VLSI} Test Symposium}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {113--114}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3292798}, doi = {10.1109/MDAT.2023.3292798}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Karimi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhanP23, author = {Kamil Khan and Sudeep Pasricha}, title = {A Reinforcement Learning Framework With Region-Awareness and Shared Path Experience for Efficient Routing in Networks-on-Chip}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {76--85}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3306719}, doi = {10.1109/MDAT.2023.3306719}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KhanP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KiniMHS23, author = {K. Ramakrishna Kini and Muddu Madakyaru and Fouzi Harrou and Ying Sun}, title = {Detecting Pediatric Foot Deformities Using Plantar Pressure Measurements: {A} Semisupervised Approach}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {61--68}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3234224}, doi = {10.1109/MDAT.2023.3234224}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KiniMHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrayemSKC23, author = {Ibrahim Krayem and Joel Ortiz Sosa and C{\'{e}}dric Killian and Daniel Chillet}, title = {Analytical Model for Performance Evaluation of Token-Passing-Based WiNoCs}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {136--148}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3309730}, doi = {10.1109/MDAT.2023.3309730}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KrayemSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KumarDDD23, author = {Atul Kumar and Dipika Deb and Shirshendu Das and Palash Das}, title = {edAttack: Hardware Trojan Attack on On-Chip Packet Compression}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {125--135}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3306718}, doi = {10.1109/MDAT.2023.3306718}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KumarDDD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KunduRBNB23, author = {Shamik Kundu and Arnab Raha and Suvadeep Banerjee and Suriyaprakash Natarajan and Kanad Basu}, title = {Analysis and Mitigation of {DRAM} Faults in Sparse-DNN Accelerators}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {90--99}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3183545}, doi = {10.1109/MDAT.2022.3183545}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KunduRBNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LanLXLLL23, author = {Mingfeng Lan and Mengquan Li and Jie Xiong and Weichen Liu and Chubo Liu and Kenli Li}, title = {Automated Optical Accelerator Search: Expediting Green and Ubiquitous DNN-Powered Intelligence}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {175--184}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3309895}, doi = {10.1109/MDAT.2023.3309895}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LanLXLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuCZDZGWGY23, author = {Bo Liu and Hao Cai and Zilong Zhang and Xiaoling Ding and Renyuan Zhang and Yu Gong and Zhen Wang and Wei Ge and Jun Yang}, title = {Multiplication Circuit Architecture for Error- Tolerant CNN-Based Keywords Speech Recognition}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {26--35}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2021.3135346}, doi = {10.1109/MDAT.2021.3135346}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiuCZDZGWGY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Lu23, author = {Zhonghai Lu}, title = {PiN: Processing in Network-on-Chip}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {30--38}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3307943}, doi = {10.1109/MDAT.2023.3307943}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Lu23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarquesRLBL23, author = {Sandro Matheus V. N. Marques and F{\'{a}}bio Diniz Rossi and Marcelo Caggiani Luizelli and Antonio Carlos Schneider Beck and Arthur Francisco Lorenzon}, title = {Seamless Thermal Optimization of Parallel Workloads}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {34--41}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3286336}, doi = {10.1109/MDAT.2023.3286336}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MarquesRLBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MartinBGA23, author = {Timothy Martin and Charlotte Barnes and Gary Gr{\'{e}}wal and Shawki Areibi}, title = {Integrating Machine-Learning Probes in {FPGA} {CAD:} Why and How?}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {7--14}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3286334}, doi = {10.1109/MDAT.2023.3286334}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MartinBGA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MartinDNE23, author = {Honorio Mart{\'{\i}}n and Sophie Dupuis and Giorgio Di Natale and Luis Entrena}, title = {Using Approximate Circuits Against Hardware Trojans}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {8--16}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2021.3117741}, doi = {10.1109/MDAT.2021.3117741}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MartinDNE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MeyersGT23, author = {Vincent Meyers and Dennis Gnad and Mehdi Baradaran Tahoori}, title = {Active and Passive Physical Attacks on Neural Network Accelerators}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {70--85}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3253603}, doi = {10.1109/MDAT.2023.3253603}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MeyersGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Micheli23, author = {Giovanni De Micheli}, title = {Strange Loops in Design and Technology: 59th {DAC} Keynote Speech}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {96--103}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3284295}, doi = {10.1109/MDAT.2023.3284295}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Micheli23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Mitra23, author = {Tulika Mitra}, title = {The 2022 International Conference on Computer-Aided Design {(ICCAD)}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {137--138}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3238340}, doi = {10.1109/MDAT.2023.3238340}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Mitra23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NagasakiKIS23, author = {Shun Nagasaki and Junichiro Kadomoto and Hidetsugu Irie and Shuichi Sakai}, title = {Dynamically Reconfigurable Network Protocol for Shape-Changeable Computer System}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {18--29}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3309891}, doi = {10.1109/MDAT.2023.3309891}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NagasakiKIS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NarayanaMAIKO23, author = {Shruti Yadav Narayana and Sumit K. Mandal and Raid Ayoub and Mohammad M. Islam and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Fast Analysis Using Finite Queuing Model for Multilayer NoCs}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {112--124}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3310167}, doi = {10.1109/MDAT.2023.3310167}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NarayanaMAIKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NarayanaSOYBO23, author = {Shruti Yadav Narayana and Emily Shriver and Kenneth O'Neal and Nuriye Yildirim and Khamida Begaliyeva and {\"{U}}mit Y. Ogras}, title = {Similarity-Based Fast Analysis of Data Center Networks}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {100--111}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3310450}, doi = {10.1109/MDAT.2023.3310450}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NarayanaSOYBO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NeethuSJJM23, author = {K. Neethu and K. C. Sharin Shahana and Rekha K. James and John Jose and Sumit K. Mandal}, title = {{ELEMENT:} Energy-Efficient Multi-NoP Architecture for IMC-Based 2.5-D Accelerator for {DNN} Training}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {51--63}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3309743}, doi = {10.1109/MDAT.2023.3309743}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NeethuSJJM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Nicolici23, author = {Nicola Nicolici}, title = {Interview With Janet Olson}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {112--115}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3221921}, doi = {10.1109/MDAT.2022.3221921}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Nicolici23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Nicolici23a, author = {Nicola Nicolici}, title = {Interview With Prof. Sung-Mo (Steve) Kang}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {64--67}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3250618}, doi = {10.1109/MDAT.2023.3250618}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Nicolici23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NikdastMED23, author = {Mahdi Nikdast and Miquel Moret{\'{o}} and Masoumeh Ebrahimi and Sujay Deb}, title = {Special Issue on the 2023 International Symposium on Networks-on-Chip {(NOCS} 2023)}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {5--6}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3313970}, doi = {10.1109/MDAT.2023.3313970}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NikdastMED23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OConnorWPB23, author = {Ian O'Connor and Robert Wille and Andy D. Pimentel and Valeria Bertacco}, title = {Postpandemic Conferences: The {DATE} 2023 Experience}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {104--112}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3287930}, doi = {10.1109/MDAT.2023.3287930}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/OConnorWPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OmlandPPPEDHK23, author = {Patrik Omland and Yang Peng and Michael Paulitsch and Jorge Parra and Gustavo Espinosa and Abishai Daniel and Gereon Hinz and Alois C. Knoll}, title = {API-Based Hardware Fault Simulation for {DNN} Accelerators}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {75--81}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3180977}, doi = {10.1109/MDAT.2022.3180977}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/OmlandPPPEDHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OrosticaNMNF23, author = {Boris Orostica and Isaac N{\'{u}}{\~{n}}ez and Tamara Mat{\'{u}}te and Felipe N{\'{u}}{\~{n}}ez and Fernan Federici}, title = {Building an Open-Source {DNA} Assembler Device}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {69--77}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3237942}, doi = {10.1109/MDAT.2023.3237942}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/OrosticaNMNF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OzenO23, author = {Elbruz Ozen and Alex Orailoglu}, title = {Shaping Resilient {AI} Hardware Through {DNN} Computational Feature Exploitation}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {59--66}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3156016}, doi = {10.1109/MDAT.2022.3156016}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/OzenO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande23, author = {Partha Pratim Pande}, title = {Machine Learning for {CAD/EDA}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {4}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3227524}, doi = {10.1109/MDAT.2022.3227524}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pande23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande23a, author = {Partha Pratim Pande}, title = {Special Issue on Testability and Dependability of Artificial Intelligence Hardware}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {4}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3243862}, doi = {10.1109/MDAT.2023.3243862}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande23b, author = {Partha Pratim Pande}, title = {Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {4}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3261801}, doi = {10.1109/MDAT.2023.3261801}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande23c, author = {Partha Pratim Pande}, title = {40th {IEEE} {VLSI} Test Symposium 2022}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {4}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3275049}, doi = {10.1109/MDAT.2023.3275049}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande23c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande23d, author = {Partha Pratim Pande}, title = {The 2022 Symposium on Integrated Circuits and Systems Design {(SBCCI} 2022)}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {4}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3292716}, doi = {10.1109/MDAT.2023.3292716}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande23d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande23e, author = {Partha Pratim Pande}, title = {The 2023 Networks-on-Chip {(NOCS)} Symposium}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {4}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3316128}, doi = {10.1109/MDAT.2023.3316128}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pande23e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PandeyTSNNS23, author = {Amit Pandey and Brendan Tully and Abhijeet Samudra and Ajay Nagarandal and Karthikeyan Natarajan and Rahul Singhal}, title = {Novel Technique for Manufacturing, System-Level, and In-System Testing of Large SoC Using Functional Protocol-Based High-Speed {I/O}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {17--24}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3269389}, doi = {10.1109/MDAT.2023.3269389}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PandeyTSNNS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PaulSTD23, author = {Ankita Paul and Shihao Song and Twisha Titirsha and Anup Das}, title = {On the Mitigation of Read Disturbances in Neuromorphic Inference Hardware}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {100--108}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3148967}, doi = {10.1109/MDAT.2022.3148967}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PaulSTD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PhamTDN23, author = {Hoai Luan Pham and Thi Hong Tran and Vu Trung Duong Le and Yasuhiko Nakashima}, title = {Flexible and Scalable {BLAKE/BLAKE2} Coprocessor for Blockchain-Based IoT Applications}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {15--25}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3276936}, doi = {10.1109/MDAT.2023.3276936}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PhamTDN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RaveloDLRWCG23, author = {Blaise Ravelo and Alexandre Douy{\`{e}}re and Yang Liu and Wenceslas Rahajandraibe and Fayu Wan and George Chan and Mathieu Guerin}, title = {Fully Microstrip Three-Port Circuit Bandpass {NGD} Design and Test}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {96--104}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3164337}, doi = {10.1109/MDAT.2022.3164337}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RaveloDLRWCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RenKFZ23, author = {Haoxing Ren and Brucek Khailany and Matthew Fojtik and Yanqing Zhang}, title = {Machine Learning and Algorithms: Let Us Team Up for {EDA}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {70--76}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3143427}, doi = {10.1109/MDAT.2022.3143427}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RenKFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RomaZ23, author = {Nuno Roma and Bruno Zatt}, title = {{SBCCI} 2022}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {5--6}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3291688}, doi = {10.1109/MDAT.2023.3291688}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RomaZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SamiKFRT23, author = {Md Sami Ul Islam Sami and Hadi Mardani Kamali and Farimah Farahmandi and Fahim Rahman and Mark M. Tehranipoor}, title = {Enabling Security of Heterogeneous Integration: From Supply Chain to In-Field Operations}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {86--95}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3270234}, doi = {10.1109/MDAT.2023.3270234}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SamiKFRT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SchlichtmannLYG23, author = {Ulf Schlichtmann and Bing Li and Bei Yu and Raviv Gal}, title = {Guest Editors' Introduction: Special Issue on Machine Learning for {CAD/EDA}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {5--7}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3229967}, doi = {10.1109/MDAT.2022.3229967}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SchlichtmannLYG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ServadeiLAWHEW23, author = {Lorenzo Servadei and Jin Hwa Lee and Jos{\'{e}} Antonio Arjona{-}Medina and Michael Werner and Sepp Hochreiter and Wolfgang Ecker and Robert Wille}, title = {Deep Reinforcement Learning for Optimization at Early Design Stages}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {43--51}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3145344}, doi = {10.1109/MDAT.2022.3145344}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ServadeiLAWHEW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShrivastavaH23, author = {Aviral Shrivastava and Xiaobo Sharon Hu}, title = {Report on the 2022 Embedded Systems Week {(ESWEEK)}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {108--111}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3222451}, doi = {10.1109/MDAT.2022.3222451}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShrivastavaH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SilverbergM23, author = {Peter M. Silverberg and Kathleen M. McDevitt}, title = {Philadelphia Section Honors Grace Hopper}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {68--69}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3257260}, doi = {10.1109/MDAT.2023.3257260}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SilverbergM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SuLS23, author = {Fei Su and Chunsheng Liu and Haralampos{-}G. Stratigopoulos}, title = {Special Issue on Testability and Dependability of Artificial Intelligence Hardware}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {5--7}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3241114}, doi = {10.1109/MDAT.2023.3241114}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SuLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SuLS23a, author = {Fei Su and Chunsheng Liu and Haralampos{-}G. Stratigopoulos}, title = {Testability and Dependability of {AI} Hardware: Survey, Trends, Challenges, and Perspectives}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {8--58}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3241116}, doi = {10.1109/MDAT.2023.3241116}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SuLS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SubramaniyanFAKWCSFP23, author = {Srinivasan Subramaniyan and Oscar Ferraz and M. R. Ashuthosh and Santosh Krishna and Guohui Wang and Joseph R. Cavallaro and V{\'{\i}}tor Silva and Gabriel Falc{\~{a}}o and Madhura Purnaprajna}, title = {Enabling High-Level Design Strategies for High-Throughput and Low-Power {NB-LDPC} Decoders}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {85--95}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3202852}, doi = {10.1109/MDAT.2022.3202852}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SubramaniyanFAKWCSFP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Takahashi23, author = {Atsushi Takahashi}, title = {Report on the 28th Asia and South Pacific Design Automation Conference}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {62--63}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3252470}, doi = {10.1109/MDAT.2023.3252470}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Takahashi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TombesiZMGSJBWC23, author = {Gabriele Tombesi and Joseph Zuckerman and Paolo Mantovani and Davide Giri and Maico Cassel dos Santos and Tianyu Jia and David Brooks and Gu{-}Yeon Wei and Luca P. Carloni}, title = {SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {64--75}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3310355}, doi = {10.1109/MDAT.2023.3310355}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TombesiZMGSJBWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TompaziTRK23, author = {Styliani Tompazi and Ioannis Tsiokanos and Jes{\'{u}}s Mart{\'{\i}}nez del Rinc{\'{o}}n and Georgios Karakonstantis}, title = {Estimating Code Vulnerability to Timing Errors Via Microarchitecture-Aware Machine Learning}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {34--42}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2021.3135318}, doi = {10.1109/MDAT.2021.3135318}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TompaziTRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YanHNCNHGW23, author = {Aibin Yan and Yuting He and Xiaoxiao Niu and Jie Cui and Tianming Ni and Zhengfeng Huang and Patrick Girard and Xiaoqing Wen}, title = {A Highly Robust and Low-Power Flip-Flop Cell With Complete Double-Node-Upset Tolerance for Aerospace Applications}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {34--41}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3267747}, doi = {10.1109/MDAT.2023.3267747}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YanHNCNHGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YangY23, author = {Junhuan Yang and Lei Yang}, title = {Hardware/Software Coexploration for Hyperdimensional Computing on Network-on-Chip Architecture}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {163--174}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3309733}, doi = {10.1109/MDAT.2023.3309733}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YangY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YehC23, author = {Chung{-}Huang Yeh and Jwu E. Chen}, title = {Recycling Test Methods to Improve Test Capacity and Increase Chip Shipments}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {45--52}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3221703}, doi = {10.1109/MDAT.2022.3221703}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YehC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YilmazJNSSS23, author = {Mahmut Yilmaz and Pavan Kumar Datla Jagannadha and Kaushik Narayanun and Shantanu Sarangi and Francisco Da Silva and Joe Sarmiento}, title = {{NVIDIA} {MATHS:} Mechanism to Access Test-Data Over High-Speed Links}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {25--33}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3269391}, doi = {10.1109/MDAT.2023.3269391}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YilmazJNSSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangRTF23, author = {Tao Zhang and Fahim Rahman and Mark M. Tehranipoor and Farimah Farahmandi}, title = {FPGA-Chain: Enabling Holistic Protection of {FPGA} Supply Chain With Blockchain Technology}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {127--136}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3213998}, doi = {10.1109/MDAT.2022.3213998}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhangRTF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhuFDZXLLF23, author = {Lingxiao Zhu and Wenjie Fan and Chenyang Dai and Shize Zhou and Yongqi Xue and Zhonghai Lu and Li Li and Yuxiang Fu}, title = {A NoC-Based Spatial {DNN} Inference Accelerator With Memory-Friendly Dataflow}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {39--50}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3310199}, doi = {10.1109/MDAT.2023.3310199}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhuFDZXLLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhuoGCSZZY23, author = {Cheng Zhuo and Di Gao and Yuan Cao and Tianhao Shen and Li Zhang and Jinfang Zhou and Xunzhao Yin}, title = {A {DVFS} Design and Simulation Framework Using Machine Learning Models}, journal = {{IEEE} Des. Test}, volume = {40}, number = {1}, pages = {52--61}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2021.3119279}, doi = {10.1109/MDAT.2021.3119279}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ZhuoGCSZZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BatinaBJP22, author = {Lejla Batina and Shivam Bhasin and Dirmanto Jap and Stjepan Picek}, title = {{SCA} Strikes Back: Reverse-Engineering Neural Network Architectures Using Side Channels}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {7--14}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3128436}, doi = {10.1109/MDAT.2021.3128436}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BatinaBJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BavikadiDGHHMRS22, author = {Sathwika Bavikadi and Abhijitt Dhavlle and Amlan Ganguly and Anand Haridass and Hagar Hendy and Cory E. Merkel and Vijay Janapa Reddi and Purab Ranjan Sutradhar and Arun Joseph and Sai Manoj Pudukotai Dinakarrao}, title = {A Survey on Machine Learning Accelerators and Evolutionary Hardware Platforms}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {91--116}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3161126}, doi = {10.1109/MDAT.2022.3161126}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BavikadiDGHHMRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BishtD22, author = {Bharat Bisht and Shirshendu Das}, title = {BHT-NoC: Blaming Hardware Trojans in NoC Routers}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {39--47}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3202998}, doi = {10.1109/MDAT.2022.3202998}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BishtD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BiswasLRTA22, author = {Liton Kumar Biswas and Leonidas Lavdas and M. Tanjidur Rahman and Mark M. Tehranipoor and Navid Asadizanjani}, title = {On Backside Probing Techniques and Their Emerging Security Threats}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {172--179}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3185797}, doi = {10.1109/MDAT.2022.3185797}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BiswasLRTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BitencourtRB22, author = {Tulio Pereira Bitencourt and F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and Sergio Bampi}, title = {Power-Saving 8K Real-Time {AV1} Arithmetic Encoder Architecture}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {128--137}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3184625}, doi = {10.1109/MDAT.2022.3184625}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BitencourtRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BlottVLD22, author = {Michaela Blott and Alina Vasilciuc and Miriam Leeser and Linda Doyle}, title = {Evaluating Theoretical Baselines for {ML} Benchmarking Across Different Accelerators}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {28--36}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063340}, doi = {10.1109/MDAT.2021.3063340}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BlottVLD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BolchiniV22, author = {Cristiana Bolchini and Ingrid Verbauwhede}, title = {{DATE} 2022: Aiming for an Online/ Onsite Format and Finally Moving to Online Only}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {90--93}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3173251}, doi = {10.1109/MDAT.2022.3173251}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BolchiniV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BorgesRMS22, author = {Talita Alves Borges and Vagner Santos Da Rosa and Cristina Meinhardt and Leonardo Bandeira Soares}, title = {Accuracy-Configurable 2-D Gaussian Filter Architecture for Energy-Efficient Image Processing}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {31--37}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3102895}, doi = {10.1109/MDAT.2021.3102895}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BorgesRMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BruantHMGP22, author = {Jean Bruant and Pierre{-}Henri Horrein and Olivier Muller and Tristan Grol{\'{e}}at and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, title = {Toward Agile Hardware Designs With Chisel: {A} Network Use Case}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {77--84}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063339}, doi = {10.1109/MDAT.2021.3063339}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BruantHMGP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BurrLMVV22, author = {Geoffrey W. Burr and Sukhwan Lim and Boris Murmann and Rangharajan Venkatesan and Marian Verhelst}, title = {Fair and Comprehensive Benchmarking of Machine Learning Processing Chips}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {18--27}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063366}, doi = {10.1109/MDAT.2021.3063366}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BurrLMVV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CapodieciBCOSB22, author = {Nicola Capodieci and Paolo Burgio and Roberto Cavicchioli and Ignacio Sa{\~{n}}udo Olmedo and Marco Solieri and Marko Bertogna}, title = {Real-Time Requirements for {ADAS} Platforms Featuring Shared Memory Hierarchies}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {35--41}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2020.3013828}, doi = {10.1109/MDAT.2020.3013828}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CapodieciBCOSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Carlo22, author = {Stefano Di Carlo}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {134--136}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3163648}, doi = {10.1109/MDAT.2022.3163648}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Carlo22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chandra22, author = {Mahesh Chandra}, title = {A Novel Method for Scalable {VLSI} Implementation of Hyperbolic Tangent Function}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {85--91}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063308}, doi = {10.1109/MDAT.2021.3063308}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Chandra22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chandra22a, author = {Mahesh Chandra}, title = {On the Implementation of Fixed-Point Exponential Function for Machine Learning and Signal- Processing Accelerators}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {64--70}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3133373}, doi = {10.1109/MDAT.2021.3133373}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Chandra22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenDZNZ22, author = {Zhiqiang Chen and Rangyu Deng and Kun Zeng and Xiaoqiang Ni and Hongwei Zhou}, title = {Traversal Packets: Opportunistic Bypass Packets for Deadlock Recovery}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {48--57}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3204201}, doi = {10.1109/MDAT.2022.3204201}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenDZNZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenH22, author = {Wei{-}Hao Chen and Shi{-}Yu Huang}, title = {On-Chip Jitter Learning for {PLL}}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {58--63}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3133378}, doi = {10.1109/MDAT.2021.3133378}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenR22, author = {Yiran Chen and Sherief Reda}, title = {{ISLPED} 2021: The 25th Anniversary!}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {92--93}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3128437}, doi = {10.1109/MDAT.2021.3128437}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenYPPCH0Z022, author = {Chixiao Chen and Jieming Yin and Yarui Peng and Maurizio Palesi and Wenxu Cao and Letian Huang and Amit Kumar Singh and Haocong Zhi and Xiaohang Wang}, title = {Design Challenges of Intrachiplet and Interchiplet Interconnection}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {99--109}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3203005}, doi = {10.1109/MDAT.2022.3203005}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenYPPCH0Z022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CherupallyMRYSF22, author = {Sai Kiran Cherupally and Jian Meng and Adnan Siraj Rakin and Shihui Yin and Mingoo Seok and Deliang Fan and Jae{-}Sun Seo}, title = {Improving {DNN} Hardware Accuracy by In-Memory Computing Noise Injection}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {71--80}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3139047}, doi = {10.1109/MDAT.2021.3139047}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CherupallyMRYSF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CostaGVCO22, author = {Victor Oliveira Costa and Carlos Gabriel de Araujo Gewehr and Julio Costella Vicenzi and Everton Alceu Carara and Leonardo Londero de Oliveira}, title = {Dedicated Shapelet Distance Engine for Time-Series Classification}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {7--14}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3102884}, doi = {10.1109/MDAT.2021.3102884}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CostaGVCO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CoteFSDLGYKGRPM22, author = {Jean{-}Fran{\c{c}}ois C{\^{o}}t{\'{e}} and Jeff Fan and Sean Shen and Givargis Danialy and Marcin Lipinski and Michael Garbers and Wu Yang and Martin Keim and Andreas Glowatz and Joe Reynick and Ayush Patel and Joanna Michna}, title = {Affordable and Comprehensive Testing of 3-D Stacked Die Devices}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {17--25}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3191016}, doi = {10.1109/MDAT.2022.3191016}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CoteFSDLGYKGRPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CronJM22, author = {Adam Cron and Hailong Jiao and Erik Jan Marinissen}, title = {Guest Editors' Introduction: Special Issue on Design and Test of Multidie Packages}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {5--6}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3192358}, doi = {10.1109/MDAT.2022.3192358}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CronJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DarweeshRL22, author = {Hala Youssef Darweesh and C{\`{a}}ndid Reig and Gildas L{\'{e}}ger}, title = {Self-Healing of Redundant {FLASH} ADCs}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {125--133}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3119271}, doi = {10.1109/MDAT.2021.3119271}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DarweeshRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson22, author = {Scott Davidson}, title = {25 Years (and a Bit More) of The Last Byte}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {102}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3131634}, doi = {10.1109/MDAT.2021.3131634}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson22a, author = {Scott Davidson}, title = {The Memory Shuffle}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {131}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3146077}, doi = {10.1109/MDAT.2022.3146077}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson22b, author = {Scott Davidson}, title = {Benchmarking Benchmarking}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {137}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3161886}, doi = {10.1109/MDAT.2022.3161886}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson22c, author = {Scott Davidson}, title = {Security Arms Race}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {94}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3178347}, doi = {10.1109/MDAT.2022.3178347}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson22c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson22d, author = {Scott Davidson}, title = {Standing on the Shoulders of ..}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {98}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3193654}, doi = {10.1109/MDAT.2022.3193654}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson22d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson22e, author = {Scott Davidson}, title = {Small Is Good}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {180}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3207455}, doi = {10.1109/MDAT.2022.3207455}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson22e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DevadasR22, author = {Srini Devadas and Jeyavijayan Rajendran}, title = {Guest Editors' Introduction: Special Issue on 2021 Top Picks in Hardware and Embedded Security}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {5--6}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3179452}, doi = {10.1109/MDAT.2022.3179452}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DevadasR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DinakarraoJGHR22, author = {Sai Manoj Pudukotai Dinakarrao and Arun Joseph and Amlan Ganguly and Anand Haridass and Vijay Janappa Reddi}, title = {Guest Editors' Introduction: Special Issue on Benchmarking Machine Learning Systems and Applications}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {5--7}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3100547}, doi = {10.1109/MDAT.2021.3100547}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DinakarraoJGHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DinizZ22, author = {Cl{\'{a}}udio Machado Diniz and Bruno Zatt}, title = {Guest Editors' Introduction: {SBCCI} 2021}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {110}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3195987}, doi = {10.1109/MDAT.2022.3195987}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DinizZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FosterOHD22, author = {Harry Foster and Rob Oshana and J{\"{o}}rg Henkel and Vivek De}, title = {Report on the Design Automation Conference {(DAC} 2021)}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {97--99}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3138609}, doi = {10.1109/MDAT.2021.3138609}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FosterOHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GabbayMSG22, author = {Freddy Gabbay and Avi Mendelson and Basel Salameh and Majd Ganaiem}, title = {A Design Flow and Tool for Avoiding Asymmetric Aging}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {111--118}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3183552}, doi = {10.1109/MDAT.2022.3183552}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GabbayMSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GanesanK22, author = {Dinesh Ganesan and Binsu J. Kailath}, title = {Graph-Based Circuit Simulator for Switched Capacitor Circuits}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {81--89}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3053225}, doi = {10.1109/MDAT.2021.3053225}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GanesanK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GerstlauerS22, author = {Andreas Gerstlauer and Aviral Shrivastava}, title = {Report on the 2021 Embedded Systems Week {(ESWEEK)}}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {94--96}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3124759}, doi = {10.1109/MDAT.2021.3124759}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GerstlauerS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GhanaatianWB22, author = {Reza Ghanaatian and Marco Widmer and Andreas Burg}, title = {Design for Test With Unreliable Memories by Restoring the Beauty of Randomness}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {112--120}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3081687}, doi = {10.1109/MDAT.2021.3081687}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GhanaatianWB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GiechaskielRE22, author = {Ilias Giechaskiel and Kasper Rasmussen and Ken Eguro}, title = {Long-Wire Leakage: The Threat of Crosstalk}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {41--48}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3142199}, doi = {10.1109/MDAT.2022.3142199}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GiechaskielRE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GomesBBR22, author = {Jiovana Sousa Gomes and Tulio Pereira Bitencourt and Sergio Bampi and F{\'{a}}bio Lu{\'{\i}}s Livi Ramos}, title = {Low-Power High-Throughput Architecture for {AV1} Arithmetic Decoder}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {119--127}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3186521}, doi = {10.1109/MDAT.2022.3186521}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GomesBBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuesmiABFA22, author = {Amira Guesmi and Ihsen Alouani and Mouna Baklouti and Tarek Frikha and Mohamed Abid}, title = {{SIT:} Stochastic Input Transformation to Defend Against Adversarial Attacks on Deep Neural Networks}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {63--72}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3077542}, doi = {10.1109/MDAT.2021.3077542}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GuesmiABFA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HarelMS22, author = {David Harel and Assaf Marron and Joseph Sifakis}, title = {Creating a Foundation for Next-Generation Autonomous Systems}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {49--56}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3069959}, doi = {10.1109/MDAT.2021.3069959}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HarelMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HeGTVJ22, author = {Jiaji He and Xiaolong Guo and Mark M. Tehranipoor and Apostol Vassilev and Yier Jin}, title = {{EM} Side Channels in Hardware Security: Attacks and Defenses}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {100--111}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3135324}, doi = {10.1109/MDAT.2021.3135324}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HeGTVJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel22, author = {J{\"{o}}rg Henkel}, title = {Designing Autonomous Systems}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {4}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3137538}, doi = {10.1109/MDAT.2021.3137538}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuaZS22, author = {Weizhe Hua and Zhiru Zhang and G. Edward Suh}, title = {Reverse-Engineering {CNN} Models Using Side-Channel Attacks}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {15--22}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3151019}, doi = {10.1109/MDAT.2022.3151019}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuaZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangRLGNHIYZ22, author = {Qingrong Huang and Dayane Reis and Chao Li and Di Gao and Michael T. Niemier and Xiaobo Sharon Hu and Mohsen Imani and Xunzhao Yin and Cheng Zhuo}, title = {Computing-In-Memory Using Ferroelectrics: From Single- to Multi-Input Logic}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {56--64}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063336}, doi = {10.1109/MDAT.2021.3063336}, timestamp = {Tue, 30 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuangRLGNHIYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JiangLHCCY22, author = {Hongwu Jiang and Wantong Li and Shanshi Huang and Stefan Cosemans and Francky Catthoor and Shimeng Yu}, title = {Analog-to-Digital Converter Design Exploration for Compute-in-Memory Accelerators}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {48--55}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3050715}, doi = {10.1109/MDAT.2021.3050715}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JiangLHCCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JordanKKRB22, author = {Michael Guilherme Jordan and Guilherme Korol and Tiago Knorst and Mateus Beck Rutzig and Antonio Carlos Schneider Beck}, title = {{ERIN:} Energy-Aware Resource-Provisioning Framework for {CPU-FPGA} Multitenant Environment}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {138--146}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3184634}, doi = {10.1109/MDAT.2022.3184634}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JordanKKRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JuniorRM22, author = {Julio Sara{\c{c}}ol Domingues J{\'{u}}nior and Leomar Soares da Rosa Jr. and Felipe de Souza Marques}, title = {Migortho: {A} Design Automation Flow for {QCA} Circuits}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {23--30}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3108072}, doi = {10.1109/MDAT.2021.3108072}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JuniorRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KahngKKW22, author = {Andrew B. Kahng and Minsoo Kim and Seungwon Kim and Mingyu Woo}, title = {RosettaStone: Connecting the Past, Present, and Future of Physical Design Research}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {70--78}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3179247}, doi = {10.1109/MDAT.2022.3179247}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KahngKKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KangH22, author = {Donghyun Kang and Soonhoi Ha}, title = {Datapath Extension of NPUs to Support Nonconvolutional Layers Efficiently}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {54--61}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3157661}, doi = {10.1109/MDAT.2022.3157661}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KangH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhanXKRTA22, author = {M. Shafkat M. Khan and Chengjie Xi and Aslam A. Khan and M. Tanjidur Rahman and Mark M. Tehranipoor and Navid Asadizanjani}, title = {Secure Interposer-Based Heterogeneous Integration}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {156--164}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3151020}, doi = {10.1109/MDAT.2022.3151020}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KhanXKRTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KongHLZCZLLRSAL22, author = {Hao Kong and Shuo Huai and Di Liu and Lei Zhang and Hui Chen and Shien Zhu and Shiqing Li and Weichen Liu and Manu Rastogi and Ravi Subramaniam and Madhu Athreya and M. Anthony Lewis}, title = {{EDLAB:} {A} Benchmark for Edge Deep Learning Accelerators}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {8--17}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3095215}, doi = {10.1109/MDAT.2021.3095215}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KongHLZCZLLRSAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KonstantinouWKK22, author = {Charalambos Konstantinou and Xueyang Wang and Prashanth Krishnamurthy and Farshad Khorrami and Michail Maniatakos and Ramesh Karri}, title = {HPC-Based Malware Detectors Actually Work: Transition to Practice After a Decade of Research}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {23--32}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3143438}, doi = {10.1109/MDAT.2022.3143438}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KonstantinouWKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrautterGT22, author = {Jonas Krautter and Dennis R. E. Gnad and Mehdi B. Tahoori}, title = {Remote Fault Attacks in Multitenant Cloud FPGAs}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {33--40}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3151022}, doi = {10.1109/MDAT.2022.3151022}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KrautterGT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeyvaM022, author = {Neiel Leyva and Alireza Monemi and Enrique Vallejo}, title = {SynFull-RTL: Evaluation Methodology for {RTL} NoC Designs}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {58--69}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3202996}, doi = {10.1109/MDAT.2022.3202996}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LeyvaM022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiAM22, author = {Hai Li and Alaa R. Alameldeen and Onur Mutlu}, title = {Guest Editors' Introduction: Near-Memory and In-Memory Processing}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {46--47}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3124742}, doi = {10.1109/MDAT.2021.3124742}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiMDWSZ22, author = {Zhenmin Li and Yuqing Ma and Gaoming Du and Xiaolei Wang and Yukun Song and Duoli Zhang}, title = {{RB-OLITS:} {A} Worst Case Reorder Buffer Size Reduction Approach for 3-D-NoC}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {79--89}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3202993}, doi = {10.1109/MDAT.2022.3202993}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiMDWSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LohanaRRK22, author = {Aditya Lohana and Ansh Rupani and Shubham Rai and Akash Kumar}, title = {Efficient Privacy-Aware Federated Learning by Elimination of Downstream Redundancy}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {73--81}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063373}, doi = {10.1109/MDAT.2021.3063373}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LohanaRRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LuanYH22, author = {Hao Luan and Yu Yao and Chang Huang}, title = {A Many-Ported and Shared Memory Architecture for High-Performance {ADAS} SoCs}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {5--15}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3202997}, doi = {10.1109/MDAT.2022.3202997}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LuanYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LyuAZMY22, author = {Haoran Lyu and Fengwei An and Shirui Zhao and Wei Mao and Hao Yu}, title = {A 703.4-GOPs/W Binary SegNet Processor With Computing-Near-Memory Architecture for Road Detection}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {74--83}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2020.3034041}, doi = {10.1109/MDAT.2020.3034041}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LyuAZMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MallappaC022, author = {Uday Mallappa and Chung{-}Kuan Cheng and Bill Lin}, title = {{JARVA:} Joint Application-Aware Oblivious Routing and Static Virtual Channel Allocation}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {16--27}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3202994}, doi = {10.1109/MDAT.2022.3202994}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MallappaC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MazumderRRHCHM22, author = {Arnab Neelim Mazumder and Haoran Ren and Hasib{-}Al Rashid and Morteza Hosseini and Vandana Chandrareddy and Houman Homayoun and Tinoosh Mohsenin}, title = {Automatic Detection of Respiratory Symptoms Using a Low-Power Multi-Input {CNN} Processor}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {82--90}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3079318}, doi = {10.1109/MDAT.2021.3079318}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MazumderRRHCHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/McLaurinC22, author = {Teresa McLaurin and Adam Cron}, title = {Applying {IEEE} Test Standards to Multidie Designs}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {7--16}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3188919}, doi = {10.1109/MDAT.2022.3188919}, timestamp = {Wed, 14 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/McLaurinC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MirsalariNSSD22, author = {Seyed Ahmad Mirsalari and Najmeh Nazari and Sima Sinaei and Mostafa E. Salehi and Masoud Daneshtalab}, title = {FaCT-LSTM: Fast and Compact Ternary Architecture for {LSTM} Recurrent Neural Networks}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {45--53}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3070245}, doi = {10.1109/MDAT.2021.3070245}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MirsalariNSSD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MontaKFMHNM22, author = {Kazuki Monta and Leonidas Katselas and Ferenc Fodor and Takuji Miki and Alkis A. Hatzopoulos and Makoto Nagata and Erik Jan Marinissen}, title = {Testing Embedded Toggle Generation Through On-Chip IR-Drop Measurements}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {79--87}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3178050}, doi = {10.1109/MDAT.2022.3178050}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MontaKFMHNM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MoraesT22, author = {Fernando Gehm Moraes and Frank Sill Torres}, title = {Guest Editors' Introduction: {SBCCI} 2020}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {5--6}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3140270}, doi = {10.1109/MDAT.2022.3140270}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MoraesT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MozelliTJ22, author = {Amid Mozelli and Nima Taherinejad and Axel Jantsch}, title = {A Study on Confidence: An Unsupervised Multiagent Machine Learning Experiment}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {54--62}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3078341}, doi = {10.1109/MDAT.2021.3078341}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MozelliTJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NetoCPAC22, author = {Luiz Neto and Marcel Moscarelli Corr{\^{e}}a and Daniel Palomino and Luciano Agostini and Guilherme Corr{\^{e}}a}, title = {Power-Quality Configurable Hardware Design for {AV1} Directional Intraframe Prediction}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {38--45}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3146083}, doi = {10.1109/MDAT.2022.3146083}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NetoCPAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NguyenVPS22, author = {Nhat{-}An Nguyen and Hien Vu and Massoud Pedram and Donghwa Shin}, title = {An Attachable Battery-Supercapacitor Hybrid for Large Pulsed Load}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {62--69}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3177709}, doi = {10.1109/MDAT.2022.3177709}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NguyenVPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NiBYNYHYW22, author = {Tianming Ni and Jingchang Bian and Zhao Yang and Mu Nie and Liang Yao and Zhengfeng Huang and Aibin Yan and Xiaoqing Wen}, title = {Broadcast-TDMA: {A} Cost-Effective Fault-Tolerance Method for {TSV} Lifetime Reliability Enhancement}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {34--42}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3189827}, doi = {10.1109/MDAT.2022.3189827}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NiBYNYHYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande22, author = {Partha Pratim Pande}, title = {Special Issue on Near-Memory and In-Memory Processing}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {4}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3147071}, doi = {10.1109/MDAT.2022.3147071}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande22a, author = {Partha Pratim Pande}, title = {Special Issue on Benchmarking Machine Learning Systems and Applications}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {4}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3163348}, doi = {10.1109/MDAT.2022.3163348}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande22b, author = {Partha Pratim Pande}, title = {Special Issue on 2021 Top Picks in Hardware and Embedded Security}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {4}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3180211}, doi = {10.1109/MDAT.2022.3180211}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande22c, author = {Partha Pratim Pande}, title = {Special Issue on Design and Test of Multidie Packages}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {4}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3193629}, doi = {10.1109/MDAT.2022.3193629}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande22c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande22d, author = {Partha Pratim Pande}, title = {Special Issue on {NOCS} 2022}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {4}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3208504}, doi = {10.1109/MDAT.2022.3208504}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pande22d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasrichaJD22, author = {Sudeep Pasricha and John Jose and Sujay Deb}, title = {Electronic, Wireless, and Photonic Network-on-Chip Security: Challenges and Countermeasures}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {90--98}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3203017}, doi = {10.1109/MDAT.2022.3203017}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PasrichaJD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PawelczykG22, author = {Roman Pawelczyk and Damian Grzechca}, title = {Improvement of Functional Safety of the Level-Crossing Barrier Machine by a Noninvasive Angle-Detection Method}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {43--53}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3156010}, doi = {10.1109/MDAT.2022.3156010}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PawelczykG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PortolanVMN22, author = {Michele Portolan and Emanuele Valea and Paolo Maistri and Giorgio Di Natale}, title = {Flexible and Portable Management of Secure Scan Implementations Exploiting {P1687.1} Extensions}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {117--124}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3117875}, doi = {10.1109/MDAT.2021.3117875}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PortolanVMN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RajKL22, author = {Marshal Raj and Raja Sekar Kumaresan and G. Lakshminarayanan}, title = {Majority-Logic-Based Self-Checking Adder in Quantum-Dot Cellular Automata}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {88--97}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3180976}, doi = {10.1109/MDAT.2022.3180976}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RajKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RaveloWLFR22, author = {Blaise Ravelo and Fayu Wan and S{\'{e}}bastien Lall{\'{e}}ch{\`{e}}re and Glauco Fontgalland and Wenceslas Rahajandraibe}, title = {Design and Test of Crab-Shaped Negative Group Delay Circuit}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {67--76}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3053556}, doi = {10.1109/MDAT.2021.3053556}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RaveloWLFR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SadeghiE22, author = {Parham Sadeghi and Alireza Ejlali}, title = {A Case for {PIM} Support in General-Purpose Compilers}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {84--89}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3095225}, doi = {10.1109/MDAT.2021.3095225}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SadeghiE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SaidiZDE22, author = {Selma Saidi and Dirk Ziegenbein and Jyotirmoy V. Deshmukh and Rolf Ernst}, title = {Guest Editors' Introduction: Special Issue on Autonomous Systems Design}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {5--7}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3104818}, doi = {10.1109/MDAT.2021.3104818}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SaidiZDE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SaidiZDE22a, author = {Selma Saidi and Dirk Ziegenbein and Jyotirmoy V. Deshmukh and Rolf Ernst}, title = {Autonomous Systems Design: Charting a New Discipline}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {8--23}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3128434}, doi = {10.1109/MDAT.2021.3128434}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SaidiZDE22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShiriMPHWM22, author = {Aidin Shiri and Arnab Neelim Mazumder and Bharat Prakash and Houman Homayoun and Nicholas R. Waytowich and Tinoosh Mohsenin}, title = {A Hardware Accelerator for Language-Guided Reinforcement Learning}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {37--44}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063363}, doi = {10.1109/MDAT.2021.3063363}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShiriMPHWM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SilvaMSSM22, author = {Felipe G. A. e Silva and Adahil Muniz and Marco P. Stefani and Jarbas Silveira and C{\'{e}}sar A. M. Marcon}, title = {Expanding Column Line Code Adaptive {(CLC-A)} for Protecting 32-and 64-Bit Data}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {15--22}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3142193}, doi = {10.1109/MDAT.2022.3142193}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SilvaMSSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SobhaniKSG22, author = {Vida Sobhani and Kevin Kauth and Tim Stadtmann and Tobias Gemmeke}, title = {Deadlock-Freedom in Computational Neuroscience Simulators}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {70--78}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3204199}, doi = {10.1109/MDAT.2022.3204199}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SobhaniKSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StaudiglML22, author = {Felix Staudigl and Farhad Merchant and Rainer Leupers}, title = {A Survey of Neuromorphic Computing-in-Memory: Architectures, Simulators, and Security}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {90--99}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3102013}, doi = {10.1109/MDAT.2021.3102013}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/StaudiglML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SudusingheCA022, author = {Chamika Sudusinghe and Subodha Charles and Sapumal Ahangama and Prabhat Mishra}, title = {Eavesdropping Attack Detection Using Machine Learning in Network-on-Chip Architectures}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {28--38}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3202995}, doi = {10.1109/MDAT.2022.3202995}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SudusingheCA022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TaherinejadHJ22, author = {Nima Taherinejad and Andreas Herkersdorf and Axel Jantsch}, title = {Autonomous Systems, Trust, and Guarantees}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {42--48}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2020.3024145}, doi = {10.1109/MDAT.2020.3024145}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TaherinejadHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TaramVT22, author = {Mohammadkazem Taram and Ashish Venkat and Dean M. Tullsen}, title = {Mitigating Speculative Execution Attacks via Context-Sensitive Fencing}, journal = {{IEEE} Des. Test}, volume = {39}, number = {4}, pages = {49--57}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3152633}, doi = {10.1109/MDAT.2022.3152633}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TaramVT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides22, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {100--101}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3129738}, doi = {10.1109/MDAT.2021.3129738}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides22a, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {129--130}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3145746}, doi = {10.1109/MDAT.2022.3145746}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TranXJ22, author = {Hoang{-}Dung Tran and Weiming Xiang and Taylor T. Johnson}, title = {Verification Approaches for Learning-Enabled Autonomous Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {24--34}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2020.3015712}, doi = {10.1109/MDAT.2020.3015712}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TranXJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VaucheMHRWLFTTR22, author = {R{\'{e}}my Vauch{\'{e}} and Rym Assila Belhadj Mefteh and Fayrouz Haddad and Wenceslas Rahajandraibe and Fayu Wan and S{\'{e}}bastien Lall{\'{e}}ch{\`{e}}re and Glauco Fontgalland and Preeti Thakur and Atul Thakur and Blaise Ravelo}, title = {Bandpass {NGD} Time- Domain Experimental Test of Double-Li Microstrip Circuit}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {121--128}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3103457}, doi = {10.1109/MDAT.2021.3103457}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/VaucheMHRWLFTTR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VieiraNWWW0NN22, author = {Maria D. Vieira and Samuel S. H. Ng and Marcel Walter and Robert Wille and Konrad Walus and Ricardo S. Ferreira and Omar P. Vilela Neto and Jos{\'{e}} Augusto Miranda Nacif}, title = {Three-Input {NPN} Class Gate Library for Atomic Silicon Quantum Dots}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {147--155}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3189814}, doi = {10.1109/MDAT.2022.3189814}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/VieiraNWWW0NN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WanGLTTRR22, author = {Fayu Wan and Taochen Gu and S{\'{e}}bastien Lall{\'{e}}ch{\`{e}}re and Preeti Thakur and Atul Thakur and Wenceslas Rahajandraibe and Blaise Ravelo}, title = {Design and Test of Innovative Three-Couplers-Based Bandpass Negative Group Delay Active Circuit}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {57--66}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3079178}, doi = {10.1109/MDAT.2021.3079178}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WanGLTTRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangZZ0FF22, author = {Zhongbao Wang and Zihui Zhu and Shipeng Zhao and Hongmei Liu and Shiqiang Fu and Shaojun Fang}, title = {Large Power Division Ratio Branch-Line Coupler With Differential Through and Differential to Single-Ended Coupling}, journal = {{IEEE} Des. Test}, volume = {39}, number = {6}, pages = {165--171}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3160001}, doi = {10.1109/MDAT.2022.3160001}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WangZZ0FF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WeiCC22, author = {Chen Wei and Xiaole Cui and Xiaoxin Cui}, title = {A Global Self-Repair Method for {TSV} Arrays With Adaptive {FNS-CAC} Codec}, journal = {{IEEE} Des. Test}, volume = {39}, number = {5}, pages = {26--33}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2022.3183565}, doi = {10.1109/MDAT.2022.3183565}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WeiCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhaoZC22, author = {Chenfeng Zhao and Xuan Zhang and Roger D. Chamberlain}, title = {Executing Data Integration Effectively and Efficiently Near the Memory}, journal = {{IEEE} Des. Test}, volume = {39}, number = {2}, pages = {65--73}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3069957}, doi = {10.1109/MDAT.2021.3069957}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhaoZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AbdelattyGS21, author = {Manar Abdelatty and Mohamed Gaber and Mohamed Shalan}, title = {Fault: Open-Source EDA's Missing {DFT} Toolchain}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {45--52}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3051850}, doi = {10.1109/MDAT.2021.3051850}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AbdelattyGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AgrawalRSR21, author = {Amogh Agrawal and Deboleena Roy and Utkarsh Saxena and Kaushik Roy}, title = {Embracing Stochasticity to Enable Neuromorphic Computing at the Edge}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {28--35}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3051399}, doi = {10.1109/MDAT.2021.3051399}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AgrawalRSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AlamNT21, author = {Mohsen Riahi Alam and M. Hassan Najafi and Nima Taherinejad}, title = {Exact Stochastic Computing Multiplication in Memristive Memory}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {36--43}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3051296}, doi = {10.1109/MDAT.2021.3051296}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AlamNT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Alioto21, author = {Massimo Alioto}, title = {From Less Batteries to Battery-Less Alert Systems with Wide Power Adaptation down to nWs - Toward a Smarter, Greener World}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {90--133}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3069087}, doi = {10.1109/MDAT.2021.3069087}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Alioto21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AlmeidaD21, author = {Alexandre A. A. de Almeida and Gerhard W. Dueck}, title = {Adaptive Integer Linear Programming Model for Optimal Qubit Permutation}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {78--84}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3069138}, doi = {10.1109/MDAT.2021.3069138}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AlmeidaD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AndersonAE21, author = {Jeff Anderson and Yousra Alkabani and Tarek A. El{-}Ghazawi}, title = {Toward Energy-Quality Scaling in Deep Neural Networks}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {27--36}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2019.2952328}, doi = {10.1109/MDAT.2019.2952328}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AndersonAE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ArdakaniAG21, author = {Amir Ardakani and Arash Ardakani and Warren J. Gross}, title = {Training Binarized Neural Networks Using Ternary Multipliers}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {44--52}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063356}, doi = {10.1109/MDAT.2021.3063356}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ArdakaniAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AtaeiHYMLHMP21, author = {Samira Ataei and Wenmian Hua and Yihang Yang and Rajit Manohar and Yi{-}Shan Lu and Jiayuan He and Sepideh Maleki and Keshav Pingali}, title = {An Open-Source {EDA} Flow for Asynchronous Logic}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {27--37}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3051334}, doi = {10.1109/MDAT.2021.3051334}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AtaeiHYMLHMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BelotCLF21, author = {J{\'{e}}r{\'{e}}my Belot and Abdelkarim Cherkaoui and Rapha{\"{e}}l Laurent and Laurent Fesquet}, title = {An Area- and Power-Efficient Stochastic Number Generator for Bayesian Sensor Fusion Circuits}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {69--77}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3050694}, doi = {10.1109/MDAT.2021.3050694}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BelotCLF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BeniniCXZ21, author = {Luca Benini and Deming Chen and Jinjun Xiong and Zhiru Zhang}, title = {Guest Editors' Introduction: Machine Intelligence at the Edge}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {5--6}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3016589}, doi = {10.1109/MDAT.2020.3016589}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BeniniCXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BhardwajSM21, author = {Kartikeya Bhardwaj and Naveen Suda and Radu Marculescu}, title = {EdgeAl: {A} Vision for Deep Learning in the IoT Era}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {37--43}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2019.2952350}, doi = {10.1109/MDAT.2019.2952350}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BhardwajSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BidmeshkiZZZM21, author = {Mohammad{-}Mahdi Bidmeshki and Yunjie Zhang and Monir Zaman and Liwei Zhou and Yiorgos Makris}, title = {Hunting Security Bugs in SoC Designs: Lessons Learned}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {22--29}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3013727}, doi = {10.1109/MDAT.2020.3013727}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BidmeshkiZZZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CammarotaR21, author = {Rosario Cammarota and Francesco Regazzoni}, title = {Guest Editors' Introduction: Special Issue on Top Picks in Hardware and Embedded Security}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {5--6}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3068938}, doi = {10.1109/MDAT.2021.3068938}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CammarotaR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChakrabortyCAR21, author = {Samarjit Chakraborty and Jian{-}Jia Chen and Anuradha Annaswamy and Devendra Rai}, title = {Guest Editors' Introduction: Cross-Layer Design of Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {5--7}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3047734}, doi = {10.1109/MDAT.2020.3047734}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChakrabortyCAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChamissoCSPA21, author = {Fabrice Mayran de Chamisso and Daniela Cancila and Laurent Soulier and Roberto Passerone and Micha{\"{e}}l Aupetit}, title = {Lifelong Exploratory Navigation: An Architecture for Safer Mobile Robots}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {57--64}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2019.2952347}, doi = {10.1109/MDAT.2019.2952347}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChamissoCSPA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenLXZTLLSP21, author = {Hao Chen and Mingjie Liu and Biying Xu and Keren Zhu and Xiyuan Tang and Shaolan Li and Yibo Lin and Nan Sun and David Z. Pan}, title = {{MAGICAL:} An Open- Source Fully Automated Analog {IC} Layout System from Netlist to {GDSII}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {19--26}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3024153}, doi = {10.1109/MDAT.2020.3024153}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenLXZTLLSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CorteggianiCMPF21, author = {Nassim Corteggiani and Giovanni Camurati and Marius Muench and Sebastian Poeplau and Aur{\'{e}}lien Francillon}, title = {SoC Security Evaluation: Reflections on Methodology and Tooling}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {7--13}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3013827}, doi = {10.1109/MDAT.2020.3013827}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CorteggianiCMPF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CraftonSFR21, author = {Brian Crafton and Samuel Spetalnick and Yan Fang and Arijit Raychowdhury}, title = {Merged Logic and Memory Fabrics for Accelerating Machine Learning Workloads}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {39--68}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3016587}, doi = {10.1109/MDAT.2020.3016587}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CraftonSFR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DasGRS21, author = {Debayan Das and Santosh Ghosh and Arijit Raychowdhury and Shreyas Sen}, title = {EM/Power Side-Channel Attack: White-Box Modeling and Signature Attenuation Countermeasures}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {67--75}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3065189}, doi = {10.1109/MDAT.2021.3065189}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DasGRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson21, author = {Scott Davidson}, title = {Hacking in the Dark}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {84}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3045853}, doi = {10.1109/MDAT.2020.3045853}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson21a, author = {Scott Davidson}, title = {The Road to Open-Source {EDA}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {104}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3053219}, doi = {10.1109/MDAT.2021.3053219}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson21b, author = {Scott Davidson}, title = {Security Begins at Home}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {128}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3068237}, doi = {10.1109/MDAT.2021.3068237}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson21b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson21c, author = {Scott Davidson}, title = {Being Learned}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {136}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3077546}, doi = {10.1109/MDAT.2021.3077546}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson21c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson21d, author = {Scott Davidson}, title = {Bad Design Inside of You}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {136}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3087655}, doi = {10.1109/MDAT.2021.3087655}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson21d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson21e, author = {Scott Davidson}, title = {Computing in the Real World}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {100}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3098765}, doi = {10.1109/MDAT.2021.3098765}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson21e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DharKLMPSXBHHKM21, author = {Tonmoy Dhar and Kishor Kunal and Yaguang Li and Meghna Madhusudan and Jitesh Poojary and Arvind K. Sharma and Wenbin Xu and Steven M. Burns and Ramesh Harjani and Jiang Hu and Desmond A. Kirkpatrick and Parijat Mukherjee and Soner Yaldiz and Sachin S. Sapatnekar}, title = {{ALIGN:} {A} System for Automating Analog Layout}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {8--18}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3042177}, doi = {10.1109/MDAT.2020.3042177}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DharKLMPSXBHHKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DinakarraoHY21, author = {Sai Manoj Pudukotai Dinakarrao and Hantao Huang and Hao Yu}, title = {Energy-Efficient and Error-Resilient Cognitive {I/O} for 3-D-Integrated Manycore Microprocessors}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {88--95}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3043232}, doi = {10.1109/MDAT.2020.3043232}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DinakarraoHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/EdwardsSK21, author = {R. Timothy Edwards and Mohamed Shalan and Mohamed Kassem}, title = {Real Silicon Using Open-Source {EDA}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {38--44}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3050000}, doi = {10.1109/MDAT.2021.3050000}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/EdwardsSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FangDYDV21, author = {Hongyu Fang and Sai Santosh Dayapule and Fan Yao and Milos Doroslovacki and Guru Venkataramani}, title = {Defeating Cache Timing Channels with Hardware Prefetchers}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {7--14}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063313}, doi = {10.1109/MDAT.2021.3063313}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FangDYDV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FilguerasVMJAMA21, author = {Antonio Filgueras and Miquel Vidal and Marc Mateu and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Carlos {\'{A}}lvarez and Xavier Martorell and Eduard Ayguad{\'{e}} and Dimitrios Theodoropoulos and Dionisios N. Pnevmatikatos and Paolo Gai and Stefano Garzarella and David Oro and Javier Hernando and Nicola Bettin and Alberto Pomella and Marco Procaccini and Roberto Giorgi}, title = {The {AXIOM} Project: IoT on Heterogeneous Embedded Platforms}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {74--81}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2019.2952335}, doi = {10.1109/MDAT.2019.2952335}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FilguerasVMJAMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FischerLMNA21, author = {Mark Fischer and Fabian Langer and Johannes Mono and Clemens Nasenberg and Nils Albartus}, title = {Hardware Penetration Testing Knocks Your SoCs Off}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {14--21}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3013730}, doi = {10.1109/MDAT.2020.3013730}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FischerLMNA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FreitasRN21, author = {Lucas A. Lascasas Freitas and Jo{\~{a}}o G. Nizer Rahmeier and Omar P. Vilela Neto}, title = {Shape Engineering for Custom Nanomagnetic Logic Circuits in NMLSim 2.0}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {85--93}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3043381}, doi = {10.1109/MDAT.2020.3043381}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FreitasRN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FummiO21, author = {Franco Fummi and Ian O'Connor}, title = {Holding Conferences Online in Pandemic Times: The {DATE} Experience}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {128--130}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3078673}, doi = {10.1109/MDAT.2021.3078673}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FummiO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Gaines21, author = {Brian R. Gaines}, title = {A Conceptual Framework for Stochastic Neuromorphic Computing}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {16--27}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3031857}, doi = {10.1109/MDAT.2020.3031857}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Gaines21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GargHRS21, author = {Siddharth Garg and Daniel E. Holcomb and Jeyavijayan (JV) Rajendran and Ahmad{-}Reza Sadeghi}, title = {Guest Editors' Introduction: Competing to Secure SoCs}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {5--6}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3045103}, doi = {10.1109/MDAT.2020.3045103}, timestamp = {Wed, 15 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GargHRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GaurSMFP21, author = {Hari Mohan Gaur and Ashutosh Kumar Singh and Anand Mohan and Masahiro Fujita and Dhiraj K. Pradhan}, title = {Design of Single-Bit Fault-Tolerant Reversible Circuits}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {89--96}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3006808}, doi = {10.1109/MDAT.2020.3006808}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GaurSMFP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GivakiHGKGRN21, author = {Kamyar Givaki and Reza Hojabr and MohammadHosein Gholamrezaei and Ahmad Khonsari and Saeid Gorgin and Dara Rahmati and M. Hassan Najafi}, title = {High-Performance Deterministic Stochastic Computing Using Residue Number System}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {60--68}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3051848}, doi = {10.1109/MDAT.2021.3051848}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GivakiHGKGRN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GogriJVFQV21, author = {Saumil Gogri and Priya Joshi and Prashant Vurikiti and Nicole Fern and Michael Quinn and Jonathan Valamehr}, title = {Texas A{\&}M Hackin' Aggies' Security Verification Strategies for the 2019 Hack@DAC Competition}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {30--38}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3013824}, doi = {10.1109/MDAT.2020.3013824}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GogriJVFQV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HaoDXBZC21, author = {Cong Hao and Jordan Dotzel and Jinjun Xiong and Luca Benini and Zhiru Zhang and Deming Chen}, title = {Enabling Design Methodologies and Future Trends for Edge {AI:} Specialization and Codesign}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {7--26}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3069952}, doi = {10.1109/MDAT.2021.3069952}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HaoDXBZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HarelMMS21, author = {David Harel and Rami Marelly and Assaf Marron and Smadar Szekely}, title = {Integrating Interobject Scenarios with Intraobject Statecharts for Developing Reactive Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {35--47}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3006805}, doi = {10.1109/MDAT.2020.3006805}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HarelMMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel21, author = {J{\"{o}}rg Henkel}, title = {From-the-EiC{\_}38{\_}1}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {4}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3060957}, doi = {10.1109/MDAT.2021.3060957}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel21a, author = {J{\"{o}}rg Henkel}, title = {Open-Source Electronic Design Automation {(EDA)} Tools}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {4}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3066119}, doi = {10.1109/MDAT.2021.3066119}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel21b, author = {J{\"{o}}rg Henkel}, title = {Top Picks in Hardware and Embedded Security}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {4}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3077859}, doi = {10.1109/MDAT.2021.3077859}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel21b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel21c, author = {J{\"{o}}rg Henkel}, title = {Machine Intelligence at the Edge}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {4}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3094136}, doi = {10.1109/MDAT.2021.3094136}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel21c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel21d, author = {J{\"{o}}rg Henkel}, title = {Cross-Layer Design of Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {4}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3112401}, doi = {10.1109/MDAT.2021.3112401}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel21d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel21e, author = {J{\"{o}}rg Henkel}, title = {Stochastic Computing for Neuromorphic Applications}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {4}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3126288}, doi = {10.1109/MDAT.2021.3126288}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel21e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HoseinghorbanAP21, author = {Ali Hoseinghorban and Mohammad Abbasinia and Ali Paridari and Alireza Ejlali}, title = {CATNAP-Sim: {A} Comprehensive Exploration and a Nonvolatile Processor Simulator for Energy Harvesting Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {69--77}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3049176}, doi = {10.1109/MDAT.2021.3049176}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HoseinghorbanAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangLW21, author = {Tsung{-}Wei Huang and Chun{-}Xun Lin and Martin D. F. Wong}, title = {OpenTimer v2: {A} Parallel Incremental Timing Analysis Engine}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {62--68}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3049177}, doi = {10.1109/MDAT.2021.3049177}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuangLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JavaheripiSRJK21, author = {Mojan Javaheripi and Mohammad Samragh and Bita Darvish Rouhani and Tara Javidi and Farinaz Koushanfar}, title = {Hardware/Algorithm Codesign for Adversarially Robust Deep Learning}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {31--38}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063344}, doi = {10.1109/MDAT.2021.3063344}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JavaheripiSRJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JianFWM21, author = {Yu{-}Rong Jian and Ferenc Fodor and Cheng{-}Wen Wu and Erik Jan Marinissen}, title = {Automated Probe-Mark Analysis for Advanced Probe Technology Characterization}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {82--89}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3034043}, doi = {10.1109/MDAT.2020.3034043}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JianFWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JiangOPCZB21, author = {Shunning Jiang and Yanghui Ou and Peitian Pan and Kaishuo Cheng and Yixiao Zhang and Christopher Batten}, title = {PyH2: Using PyMTL3 to Create Productive and Open-Source Hardware Testing Methodologies}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {53--61}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3024144}, doi = {10.1109/MDAT.2020.3024144}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JiangOPCZB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhaledZ21, author = {Mahmoud Khaled and Majid Zamani}, title = {Cloud-Ready Acceleration of Formal Method Techniques for Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {25--34}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3034048}, doi = {10.1109/MDAT.2020.3034048}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KhaledZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Koushanfar21, author = {Farinaz Koushanfar}, title = {Provably Secure Sequential Obfuscation for {IC} Metering and Piracy Avoidance}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {51--57}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3065324}, doi = {10.1109/MDAT.2021.3065324}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Koushanfar21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LinKS21, author = {Chung{-}Wei Lin and BaekGyu Kim and Shinichi Shiraishi}, title = {Hardware Virtualization and Task Allocation for Plug-and-Play Automotive Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {65--73}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2019.2932936}, doi = {10.1109/MDAT.2019.2932936}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LinKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LubaszewkiR21, author = {Marcelo Lubaszewki and Matteo Sonza Reorda}, title = {Guest Editors' Introduction: {SBCCI} 2019}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {60--61}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3074606}, doi = {10.1109/MDAT.2021.3074606}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LubaszewkiR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MahfouziASREP21, author = {Rouhollah Mahfouzi and Amir Aminifar and Soheil Samii and Ahmed Rezine and Petru Eles and Zebo Peng}, title = {Breaking Silos to Guarantee Control Stability with Communication over Ethernet {TSN}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {48--56}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.2968281}, doi = {10.1109/MDAT.2020.2968281}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MahfouziASREP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ManimuthuD21, author = {Arunmozhi Manimuthu and Venugopal Dharshini}, title = {Framework for Load Power Consumption in HANs Using Machine Learning and IoT Assistance}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {102--108}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3021029}, doi = {10.1109/MDAT.2020.3021029}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ManimuthuD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarksVGOBN21, author = {Renan A. Marks and Daniel K. S. Vieira and Marcos V. Guterres and Poliana A. C. Oliveira and Maria C. O. Fonte Boa and Omar P. Vilela Neto}, title = {Design and Test of Digital Logic {DNA} Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {94--101}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3069369}, doi = {10.1109/MDAT.2021.3069369}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MarksVGOBN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Micheli21, author = {Giovanni De Micheli}, title = {The Emerging Majority: Technology and Design for Superconducting Electronics}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {79--87}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3095046}, doi = {10.1109/MDAT.2021.3095046}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Micheli21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MitraG21, author = {Tulika Mitra and Andreas Gerstlauer}, title = {Report on the 2020 Embedded Systems Week {(ESWEEK):} {A} Virtual Event during a Pandemic, September 20-25}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {79--80}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3036595}, doi = {10.1109/MDAT.2020.3036595}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MitraG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Naghibijouybari21, author = {Hoda Naghibijouybari and Ajaya Neupane and Zhiyun Qian and Nael B. Abu{-}Ghazaleh}, title = {Beyond the {CPU:} Side-Channel Attacks on GPUs}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {15--21}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063359}, doi = {10.1109/MDAT.2021.3063359}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Naghibijouybari21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PagliariniSMBPM21, author = {Samuel Pagliarini and Joseph Sweeney and Ken Mai and R. D. Shawn Blanton and Larry T. Pileggi and Subhasish Mitra}, title = {Split-Chip Design to Prevent {IP} Reverse Engineering}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {109--118}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3033255}, doi = {10.1109/MDAT.2020.3033255}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PagliariniSMBPM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PolianHLQ21, author = {Ilia Polian and John P. Hayes and Vincent T. Lee and Weikang Qian}, title = {Guest Editors' Introduction: Stochastic Computing for Neuromorphic Applications}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {5--15}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3080989}, doi = {10.1109/MDAT.2021.3080989}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PolianHLQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PuYY21, author = {Yi{-}Fei Pu and Bo Yu and Xiao Yuan}, title = {Ladder Scaling Fracmemristor: {A} Second Emerging Circuit Structure of Fractional-Order Memristor}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {104--111}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3013826}, doi = {10.1109/MDAT.2020.3013826}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PuYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RedaSG21, author = {Sherief Reda and Leon Stok and Pierre{-}Emmanuel Gaillardon}, title = {Guest Editors' Introduction: The Resurgence of Open- Source {EDA} Technology}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {5--7}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3038851}, doi = {10.1109/MDAT.2020.3038851}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RedaSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SanchezNGHSB21, author = {R{\'{o}}binson Medina S{\'{a}}nchez and Shayan Tabatabaei Nikkhah and Dip Goswami and Maurice Heemels and Sander Stuijk and Twan Basten}, title = {Reconfigurable Pipelined Control Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {17--24}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3006803}, doi = {10.1109/MDAT.2020.3006803}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SanchezNGHSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SantAnaMM21, author = {Anderson Camargo Sant'Ana and Henrique Martins Medina and Fernando Gehm Moraes}, title = {Security Vulnerabilities and Countermeasures in MPSoCs}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {70--77}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3049710}, doi = {10.1109/MDAT.2021.3049710}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SantAnaMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SchellenbergGMT21, author = {Falk Schellenberg and Dennis R. E. Gnad and Amir Moradi and Mehdi B. Tahoori}, title = {An Inside Job: Remote Power Analysis Attacks on FPGAs}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {58--66}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063306}, doi = {10.1109/MDAT.2021.3063306}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SchellenbergGMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SilvaWMM21, author = {Alzemiro Lucas da Silva and Iacana Ianiski Weber and Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and Fernando Gehm Moraes}, title = {Hardware Accelerator for Runtime Temperature Estimation in Many-Cores}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {62--69}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3068914}, doi = {10.1109/MDAT.2021.3068914}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SilvaWMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SongK21, author = {Hyun Min Song and Huy Kang Kim}, title = {Discovering {CAN} Specification Using On-Board Diagnostics}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {93--103}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3011036}, doi = {10.1109/MDAT.2020.3011036}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SongK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StankovicACLFFG21, author = {John A. Stankovic and Homa Alemzadeh and Brad Campbell and John C. Lach and Lu Feng and Cody H. Fleming and Jonathan L. Goodall and Toluwalogo Odumosu and Daniel Quinn and Yuan Tian and Kelley Tobler}, title = {A Graduate Curriculum in Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {112--120}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3043376}, doi = {10.1109/MDAT.2020.3043376}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/StankovicACLFFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TanH21, author = {Sheldon X.{-}D. Tan and Toshihiro Hattori}, title = {The 2021 Asia and South Pacific Design Automation Conference {(ASPDAC)}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {121--122}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3065318}, doi = {10.1109/MDAT.2021.3065318}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TanH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides21, author = {Theo Theocharides}, title = {{TTTC} Newsletter Jan Feb 2021}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {81--82}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3040100}, doi = {10.1109/MDAT.2020.3040100}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides21a, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {102--103}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3052369}, doi = {10.1109/MDAT.2021.3052369}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides21b, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {125--126}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3064989}, doi = {10.1109/MDAT.2021.3064989}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides21b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides21c, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {133--134}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3078666}, doi = {10.1109/MDAT.2021.3078666}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides21c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides21d, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {134--135}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3094416}, doi = {10.1109/MDAT.2021.3094416}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides21d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides21e, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {97--98}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3112119}, doi = {10.1109/MDAT.2021.3112119}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides21e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/UenoHMA21, author = {Rei Ueno and Naofumi Homma and Sumio Morioka and Takafumi Aoki}, title = {A Systematic Design Methodology of Formally Proven Side-Channel-Resistant Cryptographic Hardware}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {84--92}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063337}, doi = {10.1109/MDAT.2021.3063337}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/UenoHMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VenutoM21, author = {Daniela De Venuto and Giovanni Mezzina}, title = {Multisensing System for Parkinson's Disease Stage Assessment Based on FPGA-Embedded Serial {SVM} Classifier}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {44--51}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2019.2951117}, doi = {10.1109/MDAT.2019.2951117}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/VenutoM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WanLRRL21, author = {Fayu Wan and Ningdong Li and Blaise Ravelo and Wenceslas Rahajandraibe and S{\'{e}}bastien Lall{\'{e}}ch{\`{e}}re}, title = {Design of {\unicode{8332}}{\unicode{1472}}{\unicode{8332}} Shape Stub-Based Negative Group Delay Circuit}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {78--88}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3002149}, doi = {10.1109/MDAT.2020.3002149}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WanLRRL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangLHZ21, author = {Zhilu Wang and Hengyi Liang and Chao Huang and Qi Zhu}, title = {Cross-Layer Design of Automotive Systems}, journal = {{IEEE} Des. Test}, volume = {38}, number = {5}, pages = {8--16}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3037561}, doi = {10.1109/MDAT.2020.3037561}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WangLHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WolfHGS21, author = {Marilyn Wolf and J{\"{o}}rg Henkel and Raviv Gal and Ulf Schlichtmann}, title = {Report on First and Second {ACM/IEEE} Workshop on Machine Learning for {CAD} {(MLCAD)}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {97--99}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3066137}, doi = {10.1109/MDAT.2021.3066137}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WolfHGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WuHYTYW21, author = {Yi{-}Hsin Wu and Jui{-}Yu Huang and Yi{-}Chun Yao and Yin{-}Jing Tien and Cheng{-}Juei Yu and Sheng{-}De Wang}, title = {Detecting and Scoring Equipment Faults in Real Time During Semiconductor Test Processes}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {119--126}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3036591}, doi = {10.1109/MDAT.2020.3036591}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WuHYTYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WuYM21, author = {Di Wu and Ruokai Yin and Joshua San Miguel}, title = {In-Stream Correlation-Based Division and Bit-Inserting Square Root in Stochastic Computing}, journal = {{IEEE} Des. Test}, volume = {38}, number = {6}, pages = {53--59}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3050716}, doi = {10.1109/MDAT.2021.3050716}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WuYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Xie21, author = {Yuan Xie}, title = {Recap of the 39th Edition of the International Conference on Computer-Aided Design {(ICCAD} 2020)}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {100--101}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3051483}, doi = {10.1109/MDAT.2021.3051483}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Xie21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XiongSASGKS21, author = {Wenjie Xiong and Andr{\'{e}} Schaller and Nikolaos Athanasios Anagnostopoulos and Muhammad Umair Saleem and Sebastian Gabmeyer and Stefan Katzenbeisser and Jakub Szefer}, title = {{DRAM} PUFs in Commodity Devices}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {76--83}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063370}, doi = {10.1109/MDAT.2021.3063370}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/XiongSASGKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZeinolabedinPM21, author = {Seyed Mohammad Ali Zeinolabedin and Johannes Partzsch and Christian Mayr}, title = {Real-time Hardware Implementation of {ARM} CoreSight Trace Decoder}, journal = {{IEEE} Des. Test}, volume = {38}, number = {1}, pages = {69--77}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3002145}, doi = {10.1109/MDAT.2020.3002145}, timestamp = {Thu, 06 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ZeinolabedinPM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangDH21, author = {Boyu Zhang and Azadeh Davoodi and Yu Hen Hu}, title = {A Mixture of Experts Approach for Low-Cost {DNN} Customization}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {52--59}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.2977065}, doi = {10.1109/MDAT.2020.2977065}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhangDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangDHS21, author = {Rui Zhang and Calvin Deutschbein and Peng Huang and Cynthia Sturton}, title = {End-to-End Automated Exploit Generation for Processor Security Validation}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {22--30}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063314}, doi = {10.1109/MDAT.2021.3063314}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhangDHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhouGJEJ21, author = {Boyou Zhou and Anmol Gupta and Rasoul Jahanshahi and Manuel Egele and Ajay Joshi}, title = {A Cautionary Tale About Detecting Malware Using Hardware Performance Counters and Machine Learning}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {39--50}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3063338}, doi = {10.1109/MDAT.2021.3063338}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhouGJEJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/0002DMRMA20, author = {Amit Kumar Singh and Somdip Dey and Klaus D. McDonald{-}Maier and Basireddy Karunakar Reddy and Geoff V. Merrett and Bashir M. Al{-}Hashimi}, title = {Dynamic Energy and Thermal Management of Multi-core Mobile Platforms: {A} Survey}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {25--33}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2982629}, doi = {10.1109/MDAT.2020.2982629}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/0002DMRMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AliKTHAR20, author = {Hassan Ali and Faiq Khalid and Hammad Tariq and Muhammad Abdullah Hanif and Rehan Ahmed and Semeen Rehman}, title = {SSCNets: Robustifying DNNs using Secure Selective Convolutional Filters}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {58--65}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2961325}, doi = {10.1109/MDAT.2019.2961325}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AliKTHAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Anacona-Mosquera20, author = {Oscar Anacona{-}Mosquera and Carlos Eduardo dos Santos and Felipe R. G. Cabral and Renato Coral Sampaio and George Teodoro and Ricardo Pezzuol Jacobi and Carlos Humberto Llanos Quintero}, title = {Hardware-Based Fast Hybrid Morphological Reconstruction}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {30--39}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952339}, doi = {10.1109/MDAT.2019.2952339}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Anacona-Mosquera20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AndersonCSN20, author = {Skyler Anderson and Nagadastagiri Challapalle and John Sampson and Vijaykrishnan Narayanan}, title = {Adaptive Neural Network Architectures for Power Aware Inference}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {66--75}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2947258}, doi = {10.1109/MDAT.2019.2947258}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AndersonCSN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BhatGMOO20, author = {Ganapati Bhat and Hang Gao and Sumit K. Mandal and {\"{U}}mit Y. Ogras and Sule Ozev}, title = {Determining Mechanical Stress Testing Parameters for {FHE} Designs with Low Computational Overhead}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {35--41}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968263}, doi = {10.1109/MDAT.2020.2968263}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BhatGMOO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CarloSC20, author = {Stefano Di Carlo and Peilin Song and Vivek Chickermane}, title = {Guest Editors' Introduction: Selected Papers from {IEEE} {VLSI} Test Symposium}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {5--6}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2998440}, doi = {10.1109/MDAT.2020.2998440}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CarloSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChaudhuriBPKMLK20, author = {Arjun Chaudhuri and Sanmitra Banerjee and Heechun Park and Jinwoo Kim and Gauthaman Murali and Edward Lee and Daehyun Kim and Sung Kyu Lim and Saibal Mukhopadhyay and Krishnendu Chakrabarty}, title = {Advances in Design and Test of Monolithic 3-D ICs}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {92--100}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2988657}, doi = {10.1109/MDAT.2020.2988657}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChaudhuriBPKMLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenNNRSWDHYJYL20, author = {Xiaoming Chen and Kai Ni and Michael T. Niemier and Dayane Reis and Xiaoyu Sun and Panni Wang and Suman Datta and Xiaobo Sharon Hu and Xunzhao Yin and Matthew Jerry and Shimeng Yu and Ann Franchesca Laguna}, title = {The Impact of Ferroelectric FETs on Digital and Analog Circuits and Architectures}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {79--99}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2944094}, doi = {10.1109/MDAT.2019.2944094}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenNNRSWDHYJYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChengMRTT20, author = {Wu{-}Tung Cheng and Grzegorz Mrugalski and Janusz Rajski and Maciej Trawka and Jerzy Tyszer}, title = {Scan Integrity Tests for {EDT} Compression}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {21--26}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968271}, doi = {10.1109/MDAT.2020.2968271}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChengMRTT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChetouiR20, author = {Sofiane Chetoui and Sherief Reda}, title = {Coordinated Self-Tuning Thermal Management Controller for Mobile Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {34--41}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2977075}, doi = {10.1109/MDAT.2020.2977075}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChetouiR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DasSC20, author = {Sourav Das and Fei Su and Sreejit Chakravarty}, title = {Testing of Prebond Through Silicon Vias}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {27--34}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968255}, doi = {10.1109/MDAT.2020.2968255}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DasSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson20, author = {Scott Davidson}, title = {The Last Byte: Big Data, Big Faults}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {104}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2957362}, doi = {10.1109/MDAT.2019.2957362}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson20a, author = {Scott Davidson}, title = {The Last Byte: Are You Sure You Love That Store?}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {128}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2971651}, doi = {10.1109/MDAT.2020.2971651}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson20b, author = {Scott Davidson}, title = {The Last Byte: 3-D TV? We Got 6-D TV!}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {128}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2984501}, doi = {10.1109/MDAT.2020.2984501}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson20c, author = {Scott Davidson}, title = {My Friendly Orange Glow}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {112}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3000692}, doi = {10.1109/MDAT.2020.3000692}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson20c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson20d, author = {Scott Davidson}, title = {Transitional Phones}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {96}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3010775}, doi = {10.1109/MDAT.2020.3010775}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson20e, author = {Scott Davidson}, title = {Too Many Cooks Make the Product}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {104}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3025980}, doi = {10.1109/MDAT.2020.3025980}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson20e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DenkingerPBBBRQ20, author = {Beno{\^{\i}}t W. Denkinger and Flavio Ponzina and Soumya Basu and Andrea Bonetti and Szabolcs Bal{\'{a}}si and Martino Ruggiero and Miguel Pe{\'{o}}n Quir{\'{o}}s and Davide Rossi and Andreas Burg and David Atienza}, title = {Impact of Memory Voltage Scaling on Accuracy and Resilience of Deep Learning Based Edge Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {84--92}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2947282}, doi = {10.1109/MDAT.2019.2947282}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DenkingerPBBBRQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DickSWY20, author = {Robert P. Dick and Li Shang and Marilyn Wolf and Shao{-}Wen Yang}, title = {Guest Editors' Introduction: Embedded Intelligence in the Internet-of-Things}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {5--6}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2957370}, doi = {10.1109/MDAT.2019.2957370}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DickSWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DickSWY20a, author = {Robert P. Dick and Li Shang and Marilyn Wolf and Shao{-}Wen Yang}, title = {Embedded Intelligence in the Internet-of-Things}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {7--27}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2957352}, doi = {10.1109/MDAT.2019.2957352}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DickSWY20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ElesM20, author = {Petru Eles and Tulika Mitra}, title = {{ESWEEK} 2019 Conference Report}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {100--101}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952345}, doi = {10.1109/MDAT.2019.2952345}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ElesM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GhoshMKSADDC20, author = {Sumana Ghosh and Arnab Mondal and Philipp H. Kindt and Prateek Sharma and Yash Agarwal and Soumyajit Dey and Alok Kanti Deb and Samarjit Chakraborty}, title = {A Programmable Open Architecture Testbed for {CPS} Education}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {31--38}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3006798}, doi = {10.1109/MDAT.2020.3006798}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GhoshMKSADDC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GnadKTSM20, author = {Dennis R. E. Gnad and Jonas Krautter and Mehdi Baradaran Tahoori and Falk Schellenberg and Amir Moradi}, title = {Remote Electrical-level Security Threats to Multi-Tenant FPGAs}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {111--119}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968248}, doi = {10.1109/MDAT.2020.2968248}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GnadKTSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuptaBSAD20, author = {Saurabh Gupta and Bonita Bhaskaran and Shantanu Sarangi and Ayub Abdollahian and Jennifer Dworak}, title = {A Novel Graph-Coloring-Based Solution for Low-Power Scan Shift}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {14--20}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968264}, doi = {10.1109/MDAT.2020.2968264}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GuptaBSAD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HeYLZL20, author = {Xin He and Guihai Yan and Wenyan Lu and Xuan Zhang and Liu Ke}, title = {A Quantitative Exploration of Collaborative Pruning and Approximation Computing Towards Energy Efficient Neural Networks}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {36--45}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2943575}, doi = {10.1109/MDAT.2019.2943575}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HeYLZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel20, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Embedded Intelligence in the Internet-of-Things}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {4}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2967000}, doi = {10.1109/MDAT.2020.2967000}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel20a, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Robust Machine Learning}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {4}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2984228}, doi = {10.1109/MDAT.2020.2984228}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel20b, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Special Issue on Image Processing, Correspondsing Hardware Architectures, and {EDA} Tools}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {4}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3000447}, doi = {10.1109/MDAT.2020.3000447}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel20c, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Special Issue on {VTS}}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {4}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3016763}, doi = {10.1109/MDAT.2020.3016763}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel20c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel20d, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} From Smartphones to Wearable Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {4}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3016585}, doi = {10.1109/MDAT.2020.3016585}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel20e, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Education for Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {4}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3037270}, doi = {10.1109/MDAT.2020.3037270}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Henkel20e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HoqueCB20, author = {Tamzidul Hoque and Rajat Subhra Chakraborty and Swarup Bhunia}, title = {Hardware Obfuscation and Logic Locking: {A} Tutorial Introduction}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {59--77}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2984224}, doi = {10.1109/MDAT.2020.2984224}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HoqueCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HubnerG20, author = {Michael H{\"{u}}bner and Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel}, title = {Guest Editors' Introduction: {SBCCI} 2018}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {5--6}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2989094}, doi = {10.1109/MDAT.2020.2989094}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HubnerG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ImaniMSLR20, author = {Mohsen Imani and Justin Morris and Helen Shu and Shou Li and Tajana Rosing}, title = {Efficient Associative Search in Brain-Inspired Hyperdimensional Computing}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {28--35}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2919954}, doi = {10.1109/MDAT.2019.2919954}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ImaniMSLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhanPSS20, author = {Muhammad Taimoor Khan and Martin Pinzger and Dimitrios Serpanos and Howard E. Shrobe}, title = {Runtime Protection of Real-time Critical Control Applications against Known Threats}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {88--95}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3007729}, doi = {10.1109/MDAT.2020.3007729}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KhanPSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Konstantinou20, author = {Charalambos Konstantinou}, title = {Cyber-Physical Systems Security Education Through Hands-on Lab Exercises}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {47--55}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3005365}, doi = {10.1109/MDAT.2020.3005365}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Konstantinou20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KonstantinouM20, author = {Charalambos Konstantinou and Michail Maniatakos}, title = {A Data-Based Detection Method Against False Data Injection Attacks}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {67--74}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952357}, doi = {10.1109/MDAT.2019.2952357}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KonstantinouM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrishnanMCSOC20, author = {Gokul Krishnan and Sumit K. Mandal and Chaitali Chakrabarti and Jae{-}sun Seo and {\"{U}}mit Y. Ogras and Yu Cao}, title = {Interconnect-Aware Area and Energy Optimization for In-Memory Acceleration of DNNs}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {79--87}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3001559}, doi = {10.1109/MDAT.2020.3001559}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KrishnanMCSOC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LarusCS20, author = {James R. Larus and Luis Ceze and Karin Strauss}, title = {{ASPLOS} Report}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {119--123}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2990121}, doi = {10.1109/MDAT.2020.2990121}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LarusCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Li20, author = {Zhuo Li}, title = {Conference Report From The 57th Design Automation Conference}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {99--101}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3024151}, doi = {10.1109/MDAT.2020.3024151}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Li20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LinJLT020, author = {Jeng{-}Hau Lin and Xun Jiao and Mulong Luo and Zhuowen Tu and Rajesh K. Gupta}, title = {Vulnerability of Hardware Neural Networks to Dynamic Operation Point Variations}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {75--84}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2986742}, doi = {10.1109/MDAT.2020.2986742}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LinJLT020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarwedelMGA20, author = {Peter Marwedel and Tulika Mitra and Martin Edin Grimheden and Hugo A. Andrade}, title = {Guest Editors' Introduction: Selected Papers from {IEEE} {VLSI} Test Symposium}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {5--7}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3009638}, doi = {10.1109/MDAT.2020.3009638}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MarwedelMGA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarwedelMGA20a, author = {Peter Marwedel and Tulika Mitra and Martin Edin Grimheden and Hugo A. Andrade}, title = {Survey on Education for Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {56--70}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3009613}, doi = {10.1109/MDAT.2020.3009613}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MarwedelMGA20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MasinelliFAAA20, author = {Giulio Masinelli and Farnaz Forooghifar and Adriana Arza and David Atienza and Amir Aminifar}, title = {Self-Aware Machine Learning for Multimodal Workload Monitoring during Manual Labor on Edge Wearable Sensors}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {58--66}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2977070}, doi = {10.1109/MDAT.2020.2977070}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MasinelliFAAA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MicheliDVRC20, author = {Giovanni De Micheli and Antun Domic and Massimiliano Di Ventra and Martin Roettler and Jason Cong}, title = {2019 {DAC} Roundtable}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {100--114}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968279}, doi = {10.1109/MDAT.2020.2968279}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MicheliDVRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MoonGH20, author = {Thomas Moon and Junfeng Guan and Haitham Hassanieh}, title = {Know Your Channel First, then Calibrate Your mmWave Phased Array}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {42--51}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968286}, doi = {10.1109/MDAT.2020.2968286}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MoonGH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NairRPBKM20, author = {Binoy B. Nair and D. S. Harish Ram and Manoj Kumar Panda and A. Jayanth Balaji and T. Gireesh Kumar and Vivek Mohan}, title = {Future Engineering Curricula: Balancing Domain Competence with {CPS} Readiness}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {16--23}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3012110}, doi = {10.1109/MDAT.2020.3012110}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NairRPBKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NataleB20, author = {Giorgio Di Natale and Cristiana Bolchini}, title = {Holding Conferences Online due to {COVID-19:} The {DATE} Experience}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {116--118}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2995140}, doi = {10.1109/MDAT.2020.2995140}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NataleB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NeggazANK20, author = {Mohamed A. Neggaz and Ihsen Alouani and Sma{\"{\i}}l Niar and Fadi J. Kurdahi}, title = {Are CNNs Reliable Enough for Critical Applications? An Exploratory Study}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {76--83}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952336}, doi = {10.1109/MDAT.2019.2952336}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NeggazANK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NelkeW20, author = {Sofia Amador Nelke and Michael Winokur}, title = {Introducing IoT Subjects to an Existing Curriculum}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {24--30}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3005358}, doi = {10.1109/MDAT.2020.3005358}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NelkeW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NetoPMMGRR20, author = {Walter Lau Neto and Vinicius N. Possani and Felipe S. Marranghello and Jody Maick Matos and Pierre{-}Emmanuel Gaillardon and Andr{\'{e}} In{\'{a}}cio Reis and Renato Perez Ribas}, title = {Exact Benchmark Circuits for Logic Synthesis}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {51--58}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952348}, doi = {10.1109/MDAT.2019.2952348}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NetoPMMGRR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OgrasPKK20, author = {{\"{U}}mit Y. Ogras and Sudeep Pasricha and Michael Kishinevsky and Raid Ayoub}, title = {Guest Editors' Introduction: Design and Management of Mobile Platforms: From Smartphones to Wearable Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {5--6}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3000750}, doi = {10.1109/MDAT.2020.3000750}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/OgrasPKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pan20, author = {David Z. Pan}, title = {Report on the 38th {ACM/IEEE} International Conference on Computer-Aided Design {(ICCAD} 2019)}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {121--122}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2964756}, doi = {10.1109/MDAT.2020.2964756}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pan20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasrichaAKMO20, author = {Sudeep Pasricha and Raid Ayoub and Michael Kishinevsky and Sumit K. Mandal and {\"{U}}mit Y. Ogras}, title = {A Survey on Energy Management for Mobile and IoT Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {7--24}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2976669}, doi = {10.1109/MDAT.2020.2976669}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PasrichaAKMO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasrichaN20, author = {Sudeep Pasricha and Mahdi Nikdast}, title = {A Survey of Silicon Photonics for Energy-Efficient Manycore Computing}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {60--81}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2982628}, doi = {10.1109/MDAT.2020.2982628}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PasrichaN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PedramW20, author = {Massoud Pedram and Luhao Wang}, title = {Energy Efficiency in 5G Cellular Network Systems}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {64--78}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2960342}, doi = {10.1109/MDAT.2019.2960342}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PedramW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PengT20, author = {Shaoyi Peng and Sheldon X.{-}D. Tan}, title = {{GLU3.0:} Fast GPU-based Parallel Sparse {LU} Factorization for Circuit Simulation}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {78--90}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2974910}, doi = {10.1109/MDAT.2020.2974910}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PengT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PerlebergSAAZPC20, author = {Murilo R. Perleberg and Altamiro Amadeu Susin and Vladimir Afonso and Luciano Agostini and Bruno Zatt and Marcelo Schiavon Porto and Ruhan A. Concei{\c{c}}{\~{a}}o}, title = {High-Throughput Hardware Design for 3D-HEVC Disparity Estimation}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {22--29}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2932938}, doi = {10.1109/MDAT.2019.2932938}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PerlebergSAAZPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ProbstlINCC20, author = {Alma Pr{\"{o}}bstl and Bashima Islam and Shahriar Nirjon and Naehyuck Chang and Samarjit Chakraborty}, title = {Intelligent Chargers Will Make Mobile Devices Live Longer}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {42--49}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3006799}, doi = {10.1109/MDAT.2020.3006799}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ProbstlINCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/QiuA20, author = {Qinru Qiu and David Atienza}, title = {{ISLPED} 2020: An Experience of Virtual Conference during {COVID-19} Time}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {96--98}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3021993}, doi = {10.1109/MDAT.2020.3021993}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/QiuA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RaghunathanS20, author = {Shesha Raghunathan and Leon Stok}, title = {{EDA} and Quantum Computing: a symbiotic relationship?}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {71--78}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3016586}, doi = {10.1109/MDAT.2020.3016586}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RaghunathanS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RaschGH20, author = {Malte J. Rasch and Tayfun Gokmen and Wilfried Haensch}, title = {Training Large-scale Artificial Neural Networks on Simulated Resistive Crossbar Arrays}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {19--29}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952341}, doi = {10.1109/MDAT.2019.2952341}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RaschGH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RibeiroCNC20, author = {Marco A. Ribeiro and Iago A. Carvalho and Omar P. Vilela Neto and Jeferson F. Chaves}, title = {Optimal Energy Efficiency and Throughput on Partially Reversible Pipelined {QCA} Circuits}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {40--50}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952355}, doi = {10.1109/MDAT.2019.2952355}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RibeiroCNC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SanchezFCASM20, author = {Gustavo Sanchez and Ramon Fernandes and Rodrigo Cataldo and Luciano Agostini and Leonel Sousa and C{\'{e}}sar A. M. Marcon}, title = {Multicore Parallelism Exploration Targeting 3D-HEVC Intra-Frame Prediction}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {15--21}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952342}, doi = {10.1109/MDAT.2019.2952342}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SanchezFCASM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SarkarAM20, author = {Esha Sarkar and Yousif Alkindi and Michail Maniatakos}, title = {Backdoor Suppression in Neural Networks using Input Fuzzing and Majority Voting}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {103--110}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968275}, doi = {10.1109/MDAT.2020.2968275}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SarkarAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SeshiaJD20, author = {Sanjit A. Seshia and Somesh Jha and Tommaso Dreossi}, title = {Semantic Adversarial Deep Learning}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {8--18}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968274}, doi = {10.1109/MDAT.2020.2968274}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SeshiaJD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShafiqueNTKMOC20, author = {Muhammad Shafique and Mahum Naseer and Theocharis Theocharides and Christos Kyrkou and Onur Mutlu and Lois Orosa and Jungwook Choi}, title = {Robust Machine Learning Systems: Challenges, Current Trends, Perspectives, and the Road Ahead}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {30--57}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2971217}, doi = {10.1109/MDAT.2020.2971217}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShafiqueNTKMOC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShahNS20, author = {Ankit Shah and Raman Nayyar and Arani Sinha}, title = {Silicon-Proven Timing Signoff Methodology Using Hazard-Free Robust Path Delay Tests}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {7--13}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968253}, doi = {10.1109/MDAT.2020.2968253}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShahNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SurrelTAAC20, author = {Gr{\'{e}}goire Surrel and Tom{\'{a}}s Teijeiro and Amir Aminifar and David Atienza and Matthieu Chevrier}, title = {Event-Triggered Sensing for High-Quality and Low-Power Cardiovascular Monitoring Systems}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {85--93}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2951126}, doi = {10.1109/MDAT.2019.2951126}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SurrelTAAC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides20, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {102--103}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952356}, doi = {10.1109/MDAT.2019.2952356}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides20a, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {124--126}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2969443}, doi = {10.1109/MDAT.2020.2969443}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides20b, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {125--126}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2984506}, doi = {10.1109/MDAT.2020.2984506}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides20c, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {109--110}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3001555}, doi = {10.1109/MDAT.2020.3001555}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides20c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides20d, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {94--95}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3009642}, doi = {10.1109/MDAT.2020.3009642}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides20e, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {102--103}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3026002}, doi = {10.1109/MDAT.2020.3026002}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides20e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TheocharidesSCM20, author = {Theocharis Theocharides and Muhammad Shafique and Jungwook Choi and Onur Mutlu}, title = {Guest Editorial: Robust Resource-Constrained Systems for Machine Learning}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {5--7}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2971201}, doi = {10.1109/MDAT.2020.2971201}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TheocharidesSCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TorngrenAM20, author = {Martin T{\"{o}}rngren and Fredrik Asplund and Mats Magnusson}, title = {The Role of Competence Networks in the Era of Cyber-Physical Systems - Promoting Knowledge Sharing and Knowledge Exchange}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {8--15}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3012087}, doi = {10.1109/MDAT.2020.3012087}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TorngrenAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TrouliK20, author = {Georgia{-}Irene Trouli and George Kornaros}, title = {Automotive Virtual In-sensor Analytics for Securing Vehicular Communication}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {91--98}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2974914}, doi = {10.1109/MDAT.2020.2974914}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TrouliK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/UckerASACSPZA20, author = {Mariana Ucker and Vladimir Afonso and M{\'{a}}rio Saldanha and Luan Audibert and Ruhan A. Concei{\c{c}}{\~{a}}o and Altamiro Amadeu Susin and Marcelo Schiavon Porto and Bruno Zatt and Luciano Agostini}, title = {High-Throughput Hardware for 3D-HEVC Depth-Map Intra Prediction}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {7--14}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952344}, doi = {10.1109/MDAT.2019.2952344}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/UckerASACSPZA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/UeterCC20, author = {Niklas Ueter and Kuan{-}Hsun Chen and Jian{-}Jia Chen}, title = {Project-Based {CPS} Education: {A} Case Study of an Autonomous Driving Student Project}, journal = {{IEEE} Des. Test}, volume = {37}, number = {6}, pages = {39--46}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3012085}, doi = {10.1109/MDAT.2020.3012085}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/UeterCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangG20, author = {Jun Wang and Jiaquan Gao}, title = {Parallelizing GPGPU-Sim for Faster Simulation with High Fidelity}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {83--91}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2986738}, doi = {10.1109/MDAT.2020.2986738}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WangG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangPM20, author = {Siqi Wang and Anuj Pathania and Tulika Mitra}, title = {Neural Network Inference on Mobile SoCs}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {50--57}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968258}, doi = {10.1109/MDAT.2020.2968258}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WangPM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WuHCK20, author = {Chia{-}Hua Wu and Shi{-}Yu Huang and Yung{-}Fa Chou and Ding{-}Ming Kwai}, title = {Time-to-Digital Converter Compiler for On-Chip Instrumentation}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {101--107}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2991676}, doi = {10.1109/MDAT.2020.2991676}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WuHCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XiaoL20, author = {Qingcheng Xiao and Yun Liang}, title = {Fune: An {FPGA} Tuning Framework for {CNN} Acceleration}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {46--55}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2908549}, doi = {10.1109/MDAT.2019.2908549}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/XiaoL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangGGR20, author = {Jeff Zhang and Zahra Ghodsi and Siddharth Garg and Kartheek Rangineni}, title = {Enabling Timing Error Resilience for Low-Power Systolic-Array Based Deep Learning Accelerators}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {93--102}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2947271}, doi = {10.1109/MDAT.2019.2947271}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhangGGR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangZM20, author = {Yunjie Zhang and Liwei Zhou and Yiorgos Makris}, title = {Hardware-Based Real-Time Workload Forensics}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {52--58}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2968260}, doi = {10.1109/MDAT.2020.2968260}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhangZM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhuWS20, author = {Haozhe Zhu and Yu Wang and Chuanjin Richard Shi}, title = {Tanji: a General-purpose Neural Network Accelerator with Unified Crossbar Architecture}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {56--63}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952329}, doi = {10.1109/MDAT.2019.2952329}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhuWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Aitken19, author = {Robert C. Aitken}, title = {56th Design Automation Conference Report}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {80--81}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2942327}, doi = {10.1109/MDAT.2019.2942327}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Aitken19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BaekCK19, author = {Donkyu Baek and Naehyuck Chang and Jaemin Kim}, title = {Build Your Own {EV:} {A} Rapid Energy-Aware Synthesis of Electric Vehicles}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {40--47}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2873452}, doi = {10.1109/MDAT.2018.2873452}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BaekCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BaekLC19, author = {Donkyu Baek and Hyung Gyu Lee and Naehyuck Chang}, title = {SmartPatch: {A} Self-Powered and Patchable Cumulative {UV} Irradiance Meter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {57--64}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2883717}, doi = {10.1109/MDAT.2018.2883717}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BaekLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Bahar19, author = {R. Iris Bahar}, title = {Conference Reports: Recap of the 37th Edition of the International Conference on Computer-Aided Design {(ICCAD} 2018)}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {98--99}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2891761}, doi = {10.1109/MDAT.2019.2891761}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Bahar19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BhatDO19, author = {Ganapati Bhat and Ranadeep Deb and {\"{U}}mit Y. Ogras}, title = {OpenHealth: Open-Source Platform for Wearable Health Monitoring}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {27--34}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2906110}, doi = {10.1109/MDAT.2019.2906110}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BhatDO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BoteroTF19, author = {Ulbert J. Botero and Mark M. Tehranipoor and Domenic Forte}, title = {Upgrade/Downgrade: Efficient and Secure Legacy Electronic System Replacement}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {14--22}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2873446}, doi = {10.1109/MDAT.2018.2873446}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BoteroTF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BurgZM19, author = {Andreas Burg and Matthew M. Ziegler and Saibal Mukhopdhyay}, title = {Conference Report from the 2019 International Symposium on Low Power Electronics and Design {(ISLPED)}}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {82--83}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2941713}, doi = {10.1109/MDAT.2019.2941713}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BurgZM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChatterjeeSCR19, author = {Baibhab Chatterjee and Shreyas Sen and Ningyuan Cao and Arijit Raychowdhury}, title = {Context-Aware Intelligence in Resource-Constrained IoT Nodes: Opportunities and Challenges}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {7--40}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899334}, doi = {10.1109/MDAT.2019.2899334}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChatterjeeSCR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenB19, author = {Wen Chen and Jayanta Bhadra}, title = {Practices and Challenges for Achieving Functional Safety of Modern Automotive SoCs}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {31--47}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2908643}, doi = {10.1109/MDAT.2019.2908643}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenDHN19, author = {An Chen and Vivek De and Xiaobo Sharon Hu and Michael T. Niemier}, title = {Guest Editors' Introduction: Special Issue on Architecture Advances Enabled by Emerging Technologies}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {5--6}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2900315}, doi = {10.1109/MDAT.2019.2900315}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenDHN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenDHNRY19, author = {An Chen and Supriyo Datta and Xiaobo Sharon Hu and Michael T. Niemier and Tajana Simunic Rosing and J. Joshua Yang}, title = {A Survey on Architecture Advances Enabled by Emerging Beyond-CMOS Technologies}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {46--68}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2902359}, doi = {10.1109/MDAT.2019.2902359}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenDHNRY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenY19, author = {Pai{-}Yu Chen and Shimeng Yu}, title = {Technological Benchmark of Analog Synaptic Devices for Neuroinspired Architectures}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {31--38}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2890229}, doi = {10.1109/MDAT.2018.2890229}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChengHWC19, author = {Shu{-}Feng Cheng and Po{-}Tsang Huang and Li{-}Chun Wang and Mau{-}Chung Frank Chang}, title = {Built-In Self-Test/Repair Methodology for Multiband RF-Interconnected {TSV} 3D Integration}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {63--71}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2932935}, doi = {10.1109/MDAT.2019.2932935}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChengHWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson19, author = {Scott Davidson}, title = {Is This a System?}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {72}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2886331}, doi = {10.1109/MDAT.2018.2886331}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson19a, author = {Scott Davidson}, title = {The Last Byte: {I} Have Met the IoT Security Enemy and He Is Us}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {104}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899050}, doi = {10.1109/MDAT.2019.2899050}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson19b, author = {Scott Davidson}, title = {The Last Byte: System Testing Ourselves}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {128}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2901251}, doi = {10.1109/MDAT.2019.2901251}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson19b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson19c, author = {Scott Davidson}, title = {The Last Byte: IoT and That Nagging Feeling}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {64}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2918521}, doi = {10.1109/MDAT.2019.2918521}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson19c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson19d, author = {Scott Davidson}, title = {The Last Byte: The Internet of People}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {64}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2932232}, doi = {10.1109/MDAT.2019.2932232}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson19d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DoppaRB19, author = {Janardhan Rao Doppa and Justinian Rosca and Paul Bogdan}, title = {Guest Editors' Introduction: Special Issue on Smart and Autonomous Systems for Sustainability}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {5--6}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2932186}, doi = {10.1109/MDAT.2019.2932186}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DoppaRB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DoppaRB19a, author = {Janardhan Rao Doppa and Justinian Rosca and Paul Bogdan}, title = {Autonomous Design Space Exploration of Computing Systems for Sustainability: Opportunities and Challenges}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {35--43}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2932894}, doi = {10.1109/MDAT.2019.2932894}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DoppaRB19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FaruqueL19, author = {Mohammad Abdullah Al Faruque and Chung{-}Wei Lin}, title = {Report of the Fourth International Workshop on Design Automation for Cyber-Physical Systems {(DACPS)} 2019}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {84--85}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2934361}, doi = {10.1109/MDAT.2019.2934361}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FaruqueL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FournarisAAKK19, author = {Apostolos P. Fournaris and Christos Alexakos and Christos Anagnostopoulos and Christos Koulamas and Athanasios P. Kalogeras}, title = {Introducing Hardware-Based Intelligence and Reconfigurability on Industrial IoT Edge Nodes}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {15--23}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2908547}, doi = {10.1109/MDAT.2019.2908547}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FournarisAAKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GaurSG19, author = {Hari Mohan Gaur and Ashutosh Kumar Singh and Umesh Ghanekar}, title = {Design of Reversible Arithmetic Logic Unit with Built-In Testability}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {54--61}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2919017}, doi = {10.1109/MDAT.2019.2919017}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GaurSG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GhoshMS19, author = {Santosh Ghosh and Rafael Misoczki and Manoj R. Sastry}, title = {Intelligent IoT Motes: Preventing Their Abuse at the Weakest Entry Point}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {73--80}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2898203}, doi = {10.1109/MDAT.2019.2898203}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GhoshMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuptaMG19, author = {Rajesh K. Gupta and Subhasish Mitra and Puneet Gupta}, title = {Variability Expeditions: {A} Retrospective}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {65--67}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2889103}, doi = {10.1109/MDAT.2018.2889103}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GuptaMG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HaE19, author = {Soonhoi Ha and Petru Eles}, title = {2018 Embedded Systems Week {(ESWEEK)} in Torino}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {68--69}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2879925}, doi = {10.1109/MDAT.2018.2879925}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HaE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HanLLL19, author = {Kyuseung Han and Jae{-}Jin Lee and Woojoo Lee and Jinho Lee}, title = {A Diagnosable Network-on-Chip for {FPGA} Verification of Intellectual Properties}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {81--87}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2890238}, doi = {10.1109/MDAT.2018.2890238}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HanLLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HassanCD19, author = {Orchi Hassan and Kerem Yunus Camsari and Supriyo Datta}, title = {Voltage-Driven Building Block for Hardware Belief Networks}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {15--21}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2897964}, doi = {10.1109/MDAT.2019.2897964}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HassanCD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel19, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Special Section on Test}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {4}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2887028}, doi = {10.1109/MDAT.2018.2887028}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel19a, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Intelligent Resource-Constrained Sensor Nodes}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {4}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2904915}, doi = {10.1109/MDAT.2019.2904915}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel19b, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Architecture Advances Enabled by Emerging Technologies}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {4}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2915423}, doi = {10.1109/MDAT.2019.2915423}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel19b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel19c, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Circuits and Systems for {VLSI} IoT Devices}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {4}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2924097}, doi = {10.1109/MDAT.2019.2924097}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel19c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel19d, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Smart and Autonomous Systems}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {4}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2934857}, doi = {10.1109/MDAT.2019.2934857}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel19d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel19e, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Secure Automotive Systems}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {4}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2947405}, doi = {10.1109/MDAT.2019.2947405}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel19e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HumbleTMMB19, author = {Travis S. Humble and Himanshu Thapliyal and Edgard Mu{\~{n}}oz{-}Coreas and Fahd A. Mohiyaddin and Ryan S. Bennink}, title = {Quantum Computing Circuits and Devices}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {69--94}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2907130}, doi = {10.1109/MDAT.2019.2907130}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HumbleTMMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JeongKO19, author = {Jae Woong Jeong and Jennifer Kitchen and Sule Ozev}, title = {On-Chip {RF} Phased Array Characterization with DC-Only Measurements for In-Field Calibration}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {117--125}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899054}, doi = {10.1109/MDAT.2019.2899054}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JeongKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JinZCG19, author = {Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Anomaly Detection and Health-Status Analysis in a Core Router System}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {7--17}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2906108}, doi = {10.1109/MDAT.2019.2906108}, timestamp = {Fri, 17 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JinZCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kanerva19, author = {Pentti Kanerva}, title = {Computing with High-Dimensional Vectors}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {7--14}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2890221}, doi = {10.1109/MDAT.2018.2890221}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Kanerva19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KeshavarziH19, author = {Ali Keshavarzi and Wilbert van den Hoek}, title = {Edge Intelligence - On the Challenging Road to a Trillion Smart Connected IoT Devices}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {41--64}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899075}, doi = {10.1109/MDAT.2019.2899075}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KeshavarziH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiWNGMSGLYDN19, author = {Xueqing Li and Juejian Wu and Kai Ni and Sumitha George and Kaisheng Ma and John Sampson and Sumeet Kumar Gupta and Yongpan Liu and Huazhong Yang and Suman Datta and Vijaykrishnan Narayanan}, title = {Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {39--45}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2902094}, doi = {10.1109/MDAT.2019.2902094}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiWNGMSGLYDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LopezMFBR19, author = {Anthony Bahadir Lopez and Arnav Vaibhav Malawade and Mohammad Abdullah Al Faruque and Srivalli Boddupalli and Sandip Ray}, title = {Security of Emergent Automotive Systems: {A} Tutorial Introduction and Perspectives on Practice}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {10--38}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2944086}, doi = {10.1109/MDAT.2019.2944086}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LopezMFBR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LuGLQD19, author = {Zhaojun Lu and Mingze Gao and Zhenglin Liu and Gang Qu and Carson Dunbar}, title = {Pass and Run: {A} Privacy Preserving Delay Tolerant Network Communication Protocol for CyberVehicles}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {56--62}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2927388}, doi = {10.1109/MDAT.2019.2927388}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LuGLQD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ManimuthuR19, author = {Arunmozhi Manimuthu and Ramadoss Ramesh}, title = {Absolute Energy Routing and Real-Time Power Monitoring for Grid-Connected Distribution Networks}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {88--96}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2897925}, doi = {10.1109/MDAT.2019.2897925}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ManimuthuR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Miller19, author = {Charlie Miller}, title = {Lessons learned from hacking a car}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {7--9}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2863106}, doi = {10.1109/MDAT.2018.2863106}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Miller19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OhBS19, author = {Sechang Oh and David T. Blaauw and Dennis Sylvester}, title = {The Internet of Tiny Things: Recent Advances of Millimeter-Scale Computing}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {65--72}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2898187}, doi = {10.1109/MDAT.2019.2898187}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/OhBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PandeyGRS19, author = {Maneesh Kumar Pandey and Tuhina Gupta and Pallavi Raj and Rohit Sharma}, title = {Implications of On-Chip Single-Source Clocking on High-Speed Serial Interfaces in Network SoC}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {48--56}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2910138}, doi = {10.1109/MDAT.2019.2910138}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PandeyGRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pizano-Escalante19, author = {Luis Pizano{-}Escalante and Omar Longoria{-}Gandara and Ram{\'{o}}n Parra{-}Michel and Fernando Pe{\~{n}}a{-}Campos}, title = {Simulation Model to Predict {BER} Based on S-Parameters of High-Speed Interconnects}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {31--39}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2865455}, doi = {10.1109/MDAT.2018.2865455}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pizano-Escalante19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PotluriPM19, author = {Seetal Potluri and Paul Pop and Jan Madsen}, title = {Design-for-Testability of On-Chip Control in mVLSI Biochips}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {48--56}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2873448}, doi = {10.1109/MDAT.2018.2873448}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PotluriPM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RangarajanPKSR19, author = {Nikhil Rangarajan and Satwik Patnaik and Johann Knechtel and Ozgur Sinanoglu and Shaloo Rakheja}, title = {Spin-Based Reconfigurable Logic for Power- and Area-Efficient Applications}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {22--30}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2895021}, doi = {10.1109/MDAT.2019.2895021}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RangarajanPKSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RaySF19, author = {Sandip Ray and Ahmad{-}Reza Sadeghi and Mohammad Abdullah Al Faruque}, title = {Guest Editors' Introduction: Secure Automotive Systems}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {5--6}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2944085}, doi = {10.1109/MDAT.2019.2944085}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RaySF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SenRL19, author = {Shreyas Sen and Arijit Raychowdhury and Shih{-}Lien Lu}, title = {Guest Editors' Introduction: Intelligent Resource-Constrained Sensor Nodes}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {5--6}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899331}, doi = {10.1109/MDAT.2019.2899331}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SenRL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SepranosW19, author = {Dimitrios Serpanos and Marilyn Wolf}, title = {Challenges and Opportunities in {VLSI} IoT Devices and Systems}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {24--30}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2917178}, doi = {10.1109/MDAT.2019.2917178}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SepranosW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShaoLHLCWBBC19, author = {Leilai Shao and Ting Lei and Tsung{-}Ching Huang and Sicheng Li and Ta{-}Ya Chu and Man Wong and Raymond G. Beausoleil and Zhenan Bao and Kwang{-}Ting Cheng}, title = {Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {6--14}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899058}, doi = {10.1109/MDAT.2019.2899058}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShaoLHLCWBBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShayanBK19, author = {Mohammed Shayan and Kanad Basu and Ramesh Karri}, title = {Hardware Trojans Inspired {IP} Watermarks}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {72--79}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2929116}, doi = {10.1109/MDAT.2019.2929116}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShayanBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Shibuya19, author = {Toshiyuki Shibuya}, title = {Conference Reports: Recap of the 24th Asia and South Pacific Design Automation Conference}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {57--58}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2913624}, doi = {10.1109/MDAT.2019.2913624}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Shibuya19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TangIC19, author = {Jack Tang and Mohamed Ibrahim and Krishnendu Chakrabarty}, title = {Randomized Checkpoints: {A} Practical Defense for Cyber-Physical Microfluidic Systems}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {5--13}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2863118}, doi = {10.1109/MDAT.2018.2863118}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TangIC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TeichF19, author = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Conference Reports: Recap of {DATE} 2019 in Florence, Italy}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {59--61}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2915112}, doi = {10.1109/MDAT.2019.2915112}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TeichF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides19, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {70--71}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2885325}, doi = {10.1109/MDAT.2018.2885325}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides19a, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {2}, pages = {101--102}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2898160}, doi = {10.1109/MDAT.2019.2898160}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides19b, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {126--127}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2906111}, doi = {10.1109/MDAT.2019.2906111}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides19b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides19c, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {62--63}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2917777}, doi = {10.1109/MDAT.2019.2917777}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides19c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides19d, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {62--63}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2927361}, doi = {10.1109/MDAT.2019.2927361}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides19d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides19e, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {86--87}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2938919}, doi = {10.1109/MDAT.2019.2938919}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides19e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TikuP19, author = {Saideep Tiku and Sudeep Pasricha}, title = {PortLoc: {A} Portable Data-Driven Indoor Localization Framework for Smartphones}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {18--26}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2906105}, doi = {10.1109/MDAT.2019.2906105}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TikuP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TrillaCHA19, author = {David Trilla and Francisco J. Cazorla and Carles Hern{\'{a}}ndez and Jaume Abella}, title = {Randomization for Safer, more Reliable and Secure, High-Performance Automotive Processors}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {39--47}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2927373}, doi = {10.1109/MDAT.2019.2927373}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TrillaCHA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ValeaSNFR19, author = {Emanuele Valea and Mathieu Da Silva and Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {A Survey on Security Threats and Countermeasures in {IEEE} Test Standards}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {95--116}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899064}, doi = {10.1109/MDAT.2019.2899064}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ValeaSNFR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WolfRS19, author = {Marilyn Wolf and S. Raj Rajagopalan and Dimitrios Serpanos}, title = {Guest Editors' Introduction: Circuits and Systems for {VLSI} IoT Devices}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {5}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2917129}, doi = {10.1109/MDAT.2019.2917129}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WolfRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Wu19, author = {Cheng{-}Wen Wu}, title = {The Last Byte: Baseball and Testing}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {88}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2942354}, doi = {10.1109/MDAT.2019.2942354}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Wu19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YangY19, author = {Shufan Yang and Zheqi Yu}, title = {A Highly Integrated Hardware/Software Co-Design and Co-Verification Platform}, journal = {{IEEE} Des. Test}, volume = {36}, number = {1}, pages = {23--30}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2018.2841029}, doi = {10.1109/MDAT.2018.2841029}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YangY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YoungZOB19, author = {Clinton Young and Joseph Zambreno and Habeeb Olufowobi and Gedare Bloom}, title = {Survey of Automotive Controller Area Network Intrusion Detection Systems}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {48--55}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899062}, doi = {10.1109/MDAT.2019.2899062}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YoungZOB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangBG19, author = {Jeff Jun Zhang and Kanad Basu and Siddharth Garg}, title = {Fault-Tolerant Systolic Array Based Accelerators for Deep Neural Network Execution}, journal = {{IEEE} Des. Test}, volume = {36}, number = {5}, pages = {44--53}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2915656}, doi = {10.1109/MDAT.2019.2915656}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ZhangBG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AhrendtsEQ18, author = {Leonie Ahrendts and Rolf Ernst and Sophie Quinton}, title = {Exploiting Execution Dynamics in Timing Analysis Using Job Sequences}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {16--22}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2746638}, doi = {10.1109/MDAT.2017.2746638}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AhrendtsEQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AlongiVVC18, author = {Alfonso Alongi and Giuseppe Vitello and Salvatore Vitabile and Vincenzo Conti}, title = {An Empirical Set of Metrics for Embedded Systems Testing}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {45--53}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2787678}, doi = {10.1109/MDAT.2017.2787678}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AlongiVVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AminiMWKX18, author = {M. Hadi Amini and Paul McNamara and Paul Weng and Orkun Karabasoglu and Yinliang Xu}, title = {Hierarchical Electric Vehicle Charging Aggregator Strategy Using Dantzig-Wolfe Decomposition}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {25--36}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2759505}, doi = {10.1109/MDAT.2017.2759505}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AminiMWKX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AminifarEPCA18, author = {Amir Aminifar and Petru Eles and Zebo Peng and Anton Cervin and Karl{-}Erik {\AA}rz{\'{e}}n}, title = {Control-Quality-Driven Design of Embedded Control Systems with Stability Guarantees}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {38--46}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766564}, doi = {10.1109/MDAT.2017.2766564}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AminifarEPCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AppelloBPBCRSV18, author = {Davide Appello and Conrad Bugeja and Giorgio Pollaccia and Paolo Bernardi and Riccardo Cantoro and Marco Restifo and Ernesto S{\'{a}}nchez and Federico Venini}, title = {An Optimized Test During Burn-In for Automotive SoC}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {46--53}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799807}, doi = {10.1109/MDAT.2018.2799807}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AppelloBPBCRSV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ayala18, author = {Jos{\'{e}} L. Ayala}, title = {{CEDA} Currents}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {99--101}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2762904}, doi = {10.1109/MDAT.2017.2762904}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ayala18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BartoliniDCB18, author = {Andrea Bartolini and Roberto Diversi and Daniele Cesarini and Francesco Beneventi}, title = {Self-Aware Thermal Management for High-Performance Computing Processors}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {28--35}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2774774}, doi = {10.1109/MDAT.2017.2774774}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BartoliniDCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Baruah18, author = {Sanjoy K. Baruah}, title = {Mixed-Criticality Scheduling Theory: Scope, Promise, and Limitations}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {31--37}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766571}, doi = {10.1109/MDAT.2017.2766571}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Baruah18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BechennecFRBG18, author = {Jean{-}Luc B{\'{e}}chennec and S{\'{e}}bastien Faucou and Olivier H. Roux and Matthias Brun and Louis{-}Marie Givel}, title = {Testing Real-Time Systems With Runtime Enforcement}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {31--37}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2791801}, doi = {10.1109/MDAT.2018.2791801}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BechennecFRBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BoccaCMMP18, author = {Alberto Bocca and Yukai Chen and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Aging and Cost Optimal Residential Charging for Plug-In EVs}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {16--24}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2753701}, doi = {10.1109/MDAT.2017.2753701}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BoccaCMMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BoccaMMP18, author = {Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Composable Battery Model Templates Based on Manufacturers' Data}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {66--72}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2755642}, doi = {10.1109/MDAT.2017.2755642}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BoccaMMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BruggerGJSWWZ18, author = {Christian Brugger and Valentin Grigorovici and Matthias Jung and Christian de Schryver and Christian Weis and Norbert Wehn and Katharina Anna Zweig}, title = {A Memory Centric Architecture of the Link Assessment Algorithm in Large Graphs}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {7--15}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2750900}, doi = {10.1109/MDAT.2017.2750900}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BruggerGJSWWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CarvajalSBF18, author = {Gonzalo Carvajal and Mahmoud Salem and Nirmal Benann and Sebastian Fischmeister}, title = {Enabling Rapid Construction of Arrival Curves From Execution Traces}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {23--30}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2771210}, doi = {10.1109/MDAT.2017.2771210}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CarvajalSBF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CazorlaAMHVB18, author = {Francisco J. Cazorla and Jaume Abella and Enrico Mezzetti and Carles Hern{\'{a}}ndez and Tullio Vardanega and Guillem Bernat}, title = {Reconciling Time Predictability and Performance in Future Computing Systems}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {48--56}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766558}, doi = {10.1109/MDAT.2017.2766558}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CazorlaAMHVB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChangFSXCB18, author = {Naehyuck Chang and Mohammad Abdullah Al Faruque and Zili Shao and Chun Jason Xue and Yiran Chen and Donkyu Baek}, title = {Survey of Low-Power Electric Vehicles: {A} Design Automation Perspective}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {44--70}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2873475}, doi = {10.1109/MDAT.2018.2873475}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChangFSXCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChangSLKZ18, author = {Naehyuck Chang and Zili Shao and Xin Li and Orkun Karabasoglu and Wende Zhang}, title = {Guest Editors' Introduction: Special Issue on Energy and Power Management for Electric Vehicles}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {5--7}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2863702}, doi = {10.1109/MDAT.2018.2863702}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChangSLKZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CongFHWW18, author = {Jason Cong and Zhenman Fang and Muhuan Huang and Libo Wang and Di Wu}, title = {{CPU-FPGA} Coscheduling for Big Data Applications}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {16--22}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2741459}, doi = {10.1109/MDAT.2017.2741459}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CongFHWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CoyetteEXGDV18, author = {Anthony Coyette and Baris Esen and Nektar Xama and Georges G. E. Gielen and Wim Dobbelaere and Ronny Vanhooren}, title = {{ADAGE:} Automatic DfT-Assisted Generation of Test Stimuli for Mixed- Signal Integrated Circuits}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {24--30}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799800}, doi = {10.1109/MDAT.2018.2799800}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CoyetteEXGDV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18, author = {Scott Davidson}, title = {Technobabble}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {104}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2760622}, doi = {10.1109/MDAT.2017.2760622}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18a, author = {Scott Davidson}, title = {The Last Byte: Real Time, Real People}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {109}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2794402}, doi = {10.1109/MDAT.2018.2794402}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18b, author = {Scott Davidson}, title = {Electronic Design Automation for {IC} Implementation, Circuit Design, and Process Technology and Electronic Design Automation for {IC} System Design, Verification, and Testing}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {98--99}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2814988}, doi = {10.1109/MDAT.2018.2814988}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18c, author = {Scott Davidson}, title = {Computers with Tailfins?}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {104}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2816922}, doi = {10.1109/MDAT.2018.2816922}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18d, author = {Scott Davidson}, title = {The Joy of Scheduling}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {80}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2841770}, doi = {10.1109/MDAT.2018.2841770}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18e, author = {Scott Davidson}, title = {Self-Test and Self-Aware}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {80}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2859998}, doi = {10.1109/MDAT.2018.2859998}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson18f, author = {Scott Davidson}, title = {Running on Empty}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {100}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2873983}, doi = {10.1109/MDAT.2018.2873983}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson18f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DingLZWL18, author = {Caiwen Ding and Hongjia Li and Weiwei Zheng and Yanzhi Wang and Xue Lin}, title = {Reconfigurable Photovoltaic Systems for Electric Vehicles}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {37--43}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2841344}, doi = {10.1109/MDAT.2018.2841344}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DingLZWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DupuisFNR18, author = {Sophie Dupuis and Marie{-}Lise Flottes and Giorgio Di Natale and Bruno Rouzeyre}, title = {Protection Against Hardware Trojans With Logic Testing: Proposed Solutions and Challenges Ahead}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {73--90}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766170}, doi = {10.1109/MDAT.2017.2766170}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DupuisFNR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DuttJ18, author = {Nikil D. Dutt and Axel Jantsch}, title = {Guest Editorial: Special Issue on Self-Aware Systems on Chip}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {5--6}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766604}, doi = {10.1109/MDAT.2017.2766604}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DuttJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ElfadelU18, author = {Ibrahim Abe M. Elfadel and H. Fatih Ugurdag}, title = {25th {IFIP/IEEE} Conference on Very Large Scale Integration (VLSI-SoC 2017)}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {97--98}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2783194}, doi = {10.1109/MDAT.2017.2783194}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ElfadelU18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/EsenCXGDV18, author = {Baris Esen and Anthony Coyette and Nektar Xama and Georges G. E. Gielen and Wim Dobbelaere and Ronny Vanhooren}, title = {An Automated Low-Cost Analog and Mixed-Signal DfT Method Using Testing Diodes}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {15--23}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799803}, doi = {10.1109/MDAT.2018.2799803}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/EsenCXGDV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FanQM18, author = {Chuchu Fan and Bolun Qi and Sayan Mitra}, title = {Data-Driven Formal Reasoning and Their Applications in Safety Analysis of Vehicle Autonomy Features}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {31--38}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799804}, doi = {10.1109/MDAT.2018.2799804}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FanQM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FezzardiFP18, author = {Pietro Fezzardi and Fabrizio Ferrandi and Christian Pilato}, title = {Enabling Automated Bug Detection for IP-Based Designs Using High-Level Synthesis}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {54--62}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2824121}, doi = {10.1109/MDAT.2018.2824121}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FezzardiFP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FreilingGLMP18, author = {Felix C. Freiling and Tobias Gro{\ss} and Tobias Latzo and Tilo M{\"{u}}ller and Ralph Palutke}, title = {Advances in Forensic Data Acquisition}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {63--74}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2862366}, doi = {10.1109/MDAT.2018.2862366}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FreilingGLMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GaurSG18, author = {Hari Mohan Gaur and Ashutosh Kumar Singh and Umesh Ghanekar}, title = {Testable Design of Reversible Circuits Using Parity Preserving Gates}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {56--64}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2771202}, doi = {10.1109/MDAT.2017.2771202}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GaurSG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GongYC18, author = {Young{-}Ho Gong and Jae Jeong Yoo and Sung Woo Chung}, title = {Thermal Modeling and Validation of a Real-World Mobile {AP}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {55--62}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2695958}, doi = {10.1109/MDAT.2017.2695958}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GongYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Hassan18, author = {Mohamed Hassan}, title = {Heterogeneous MPSoCs for Mixed-Criticality Systems: Challenges and Opportunities}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {47--55}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2771447}, doi = {10.1109/MDAT.2017.2771447}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Hassan18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Heiser18, author = {Gernot Heiser}, title = {For Safety's Sake: We Need a New Hardware-Software Contract!}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {27--30}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766559}, doi = {10.1109/MDAT.2017.2766559}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Heiser18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel18, author = {J{\"{o}}rg Henkel}, title = {Design and Test of Energy-Efficient, High-Performance, and Secure Computing Technologies via Accelerators}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {4}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2785268}, doi = {10.1109/MDAT.2017.2785268}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel18a, author = {J{\"{o}}rg Henkel}, title = {From the {EIC:} Time-Critical Systems Design}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {4}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2806341}, doi = {10.1109/MDAT.2018.2806341}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel18b, author = {J{\"{o}}rg Henkel}, title = {Test for Automotive}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {4}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2827260}, doi = {10.1109/MDAT.2018.2827260}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel18c, author = {J{\"{o}}rg Henkel}, title = {Time-Critical Systems Design, Part {II}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {4}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2847901}, doi = {10.1109/MDAT.2018.2847901}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel18c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel18d, author = {J{\"{o}}rg Henkel}, title = {Self-Awareness in Systems on Chip, Part {II}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {4}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2866768}, doi = {10.1109/MDAT.2018.2866768}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel18d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel18e, author = {J{\"{o}}rg Henkel}, title = {Managing Electric Vehicles}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {4}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2874224}, doi = {10.1109/MDAT.2018.2874224}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel18e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HongKKSXS18, author = {Boeui Hong and Han{-}Yee Kim and Minsu Kim and Taeweon Suh and Lei Xu and Weidong Shi}, title = {{FASTEN:} An FPGA-Based Secure System for Big Data Processing}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {30--38}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2741464}, doi = {10.1109/MDAT.2017.2741464}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HongKKSXS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Hu18, author = {Xiaobo Sharon Hu}, title = {The 55th Design Automation Conference}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {75--77}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2862894}, doi = {10.1109/MDAT.2018.2862894}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Hu18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuEEHKKT18, author = {Xiaobo Sharon Hu and Rolf Ernst and Petru Eles and Gernot Heiser and Kurt Keutzer and Daehyun Kim and Tetsuya Tohdo}, title = {Roundtable: Machine Learning for Embedded Systems: Hype or Lasting Impact?}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {86--93}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2869988}, doi = {10.1109/MDAT.2018.2869988}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuEEHKKT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/IturbeVJOHTP18, author = {Xabier Iturbe and Balaji Venu and Juergen Jagst and Emre Ozer and Peter Harrod and Chris Turner and John Penton}, title = {Addressing Functional Safety Challenges in Autonomous Vehicles with the Arm {TCL} {S} Architecture}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {7--14}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799799}, doi = {10.1109/MDAT.2018.2799799}, timestamp = {Wed, 11 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/IturbeVJOHTP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KimAY18, author = {Dongyoung Kim and Junwhan Ahn and Sungjoo Yoo}, title = {ZeNA: Zero-Aware Neural Network Accelerator}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {39--46}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2741463}, doi = {10.1109/MDAT.2017.2741463}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KimAY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KochteW18, author = {Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Self-Test and Diagnosis for Self-Aware Systems}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {7--18}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2762903}, doi = {10.1109/MDAT.2017.2762903}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KochteW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KostrzewaTE18, author = {Adam Kostrzewa and Sebastian Tobuschat and Rolf Ernst}, title = {Self-Aware Network-on-Chip Control in Real-Time Systems}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {19--27}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2763598}, doi = {10.1109/MDAT.2017.2763598}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KostrzewaTE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KulkarniW18, author = {Jaydeep Kulkarni and Thomas F. Wenisch}, title = {Report on the 2018 {IEEE/ACM} International Symposium on Low Power Electronics and Design}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {94--95}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2873454}, doi = {10.1109/MDAT.2018.2873454}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KulkarniW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Lee18, author = {Edward A. Lee}, title = {What Is Real Time Computing? {A} Personal View}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {64--72}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766560}, doi = {10.1109/MDAT.2017.2766560}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Lee18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeCCT18, author = {Yen{-}Long Lee and Yu{-}Po Cheng and Soon{-}Jyh Chang and Hsin{-}Wen Ting}, title = {A Fast and Jitter-Modulation Free Jitter Tolerance Estimation Technique for Bang- Bang CDRs}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {63--73}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2753700}, doi = {10.1109/MDAT.2017.2753700}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LeeCCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiH18, author = {Jin{-}Fu Li and Jiun{-}Lang Huang}, title = {Conference Reports: Report on 2017 {IEEE} Asian Test Symposium}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {103--104}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2800286}, doi = {10.1109/MDAT.2018.2800286}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuYHJC18, author = {Weifeng Liu and Yiyong Yang and Chuankun Han and Linhong Ji and Jia Cheng}, title = {Measuring System Design and Experimental Research on Electrostatic Attractive Force}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {71--77}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2863113}, doi = {10.1109/MDAT.2018.2863113}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiuYHJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MadsenC18, author = {Jan Madsen and Ayse K. Coskun}, title = {Report on {DATE} 2018 in Dresden, Germany}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {75--77}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2833440}, doi = {10.1109/MDAT.2018.2833440}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MadsenC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MazahirHS18, author = {Sana Mazahir and Osman Hasan and Muhammad Shafique}, title = {Adaptive Approximate Computing in Arithmetic Datapaths}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {65--74}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2772874}, doi = {10.1109/MDAT.2017.2772874}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MazahirHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MitraTT18, author = {Tulika Mitra and J{\"{u}}rgen Teich and Lothar Thiele}, title = {Guest Editors' Introduction: Special Issue on Time-Critical Systems Design}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {5--7}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2796037}, doi = {10.1109/MDAT.2018.2796037}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MitraTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MitraTT18a, author = {Tulika Mitra and J{\"{u}}rgen Teich and Lothar Thiele}, title = {Time-Critical Systems Design: {A} Survey}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {8--26}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2794204}, doi = {10.1109/MDAT.2018.2794204}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MitraTT18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MitraTT18b, author = {Tulika Mitra and J{\"{u}}rgen Teich and Lothar Thiele}, title = {Guest Editors' Introduction: Special Issue on Time-Critical Systems Design Part {II}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {5--6}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2841769}, doi = {10.1109/MDAT.2018.2841769}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MitraTT18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MulderES18, author = {Elke De Mulder and Thomas Eisenbarth and Patrick Schaumont}, title = {Identifying and Eliminating Side-Channel Leaks in Programmable Systems}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {74--89}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766166}, doi = {10.1109/MDAT.2017.2766166}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MulderES18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ozdal18, author = {Muhammet Mustafa Ozdal}, title = {Emerging Accelerator Platforms for Data Centers}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {47--54}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2779742}, doi = {10.1109/MDAT.2017.2779742}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ozdal18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OzdalNM18, author = {Mustafa Ozdal and Gi{-}Joon Nam and Debbie Marr}, title = {Guest Editors' Introduction: Hardware Accelerators for Data Centers}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {5--6}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2779981}, doi = {10.1109/MDAT.2017.2779981}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/OzdalNM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ParameswaranBP18, author = {Sri Parameswaran and R. Iris Bahar and David Z. Pan}, title = {Conference Reports: Report on the 2017 International Conference on Computer-Aided Design {(ICCAD)}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {101--102}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799991}, doi = {10.1109/MDAT.2018.2799991}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ParameswaranBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SabetGR18, author = {M. Amin Sabet and Behnam Ghavami and Mohsen Raji}, title = {GPU-Accelerated Soft Error Rate Analysis of Large-Scale Integrated Circuits}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {78--85}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2863703}, doi = {10.1109/MDAT.2018.2863703}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SabetGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SalehiES18, author = {Mohammad Salehi and Alireza Ejlali and Muhammad Shafique}, title = {Run-Time Adaptive Power-Aware Reliability Management for Manycores}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {36--44}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2775738}, doi = {10.1109/MDAT.2017.2775738}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SalehiES18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SchoeberlPS18, author = {Martin Schoeberl and Luca Pezzarossa and Jens Spars{\o}}, title = {A Multicore Processor for Time-Critical Applications}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {38--47}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2791809}, doi = {10.1109/MDAT.2018.2791809}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SchoeberlPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Serpanos18, author = {Dimitrios N. Serpanos}, title = {Secure and Resilient Industrial Control Systems}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {90--94}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2781703}, doi = {10.1109/MDAT.2017.2781703}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Serpanos18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SerpanosKS18, author = {Dimitrios N. Serpanos and Muhammad Taimoor Khan and Howard E. Shrobe}, title = {Designing Safe and Secure Industrial Control Systems: {A} Tutorial Review}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {73--88}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2816943}, doi = {10.1109/MDAT.2018.2816943}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SerpanosKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Shin18, author = {Youngsoo Shin}, title = {Recap of the 23rd Asia and South Pacific Design Automation Conference {(ASP-DAC)}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {100--101}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2817565}, doi = {10.1109/MDAT.2018.2817565}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Shin18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SkalistisAMS18, author = {Stefanos Skalistis and Federico Angiolini and Giovanni De Micheli and Alena Simalatsar}, title = {Safe and Efficient Deployment of Data-Parallelizable Applications on Many-Core Platforms: Theory and Practice}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {7--15}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2783326}, doi = {10.1109/MDAT.2017.2783326}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SkalistisAMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Strnadel18, author = {Josef Strnadel}, title = {Predictability Analysis of Interruptible Systems by Statistical Model Checking}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {57--63}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766568}, doi = {10.1109/MDAT.2017.2766568}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Strnadel18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Suh18, author = {Taeweon Suh}, title = {Correction}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {108}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2808503}, doi = {10.1109/MDAT.2018.2808503}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Suh18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TarafdarELC18, author = {Naif Tarafdar and Nariman Eskandari and Thomas Lin and Paul Chow}, title = {Designing for FPGAs in the Cloud}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {23--29}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2748393}, doi = {10.1109/MDAT.2017.2748393}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TarafdarELC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides18, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {102--103}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2785038}, doi = {10.1109/MDAT.2017.2785038}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides18a, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {106--107}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2805266}, doi = {10.1109/MDAT.2018.2805266}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides18b, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {102--103}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2822265}, doi = {10.1109/MDAT.2018.2822265}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides18c, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {35}, number = {4}, pages = {78--79}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2841034}, doi = {10.1109/MDAT.2018.2841034}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides18c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides18d, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {78--79}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2860930}, doi = {10.1109/MDAT.2018.2860930}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides18d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides18e, author = {Theo Theocharides}, title = {{TTTC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {98--99}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2873451}, doi = {10.1109/MDAT.2018.2873451}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides18e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ThieleH18, author = {Lothar Thiele and Soonhoi Ha}, title = {The 2017 Embedded Systems Week {(ESWEEK)}}, journal = {{IEEE} Des. Test}, volume = {35}, number = {1}, pages = {95--96}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2771421}, doi = {10.1109/MDAT.2017.2771421}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ThieleH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VatanparvarF18, author = {Korosh Vatanparvar and Mohammad Abdullah Al Faruque}, title = {Path to Eco-Driving: Electric Vehicle {HVAC} and Route Joint Optimization}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {8--15}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2754258}, doi = {10.1109/MDAT.2017.2754258}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/VatanparvarF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangHTIM18, author = {Senling Wang and Yoshinobu Higami and Hiroshi Takahashi and Hiroyuki Iwata and Jun Matsushima}, title = {Automotive Functional Safety Assurance by {POST} with Sequential Observation}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {39--45}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799801}, doi = {10.1109/MDAT.2018.2799801}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WangHTIM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangLWYX18, author = {Guoxing Wang and Xiyan Li and Miaorong Wang and Hang Yuan and Wei Xu}, title = {Optimization of a Dual-Band Wireless Power and Data Telemetry System Using Genetic Algorithm}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {54--65}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2016.2582828}, doi = {10.1109/MDAT.2016.2582828}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WangLWYX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WunderlichZ18, author = {Hans{-}Joachim Wunderlich and Yervant Zorian}, title = {Guest Editor's Introduction}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {5--6}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2799806}, doi = {10.1109/MDAT.2018.2799806}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WunderlichZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XuYHDY18, author = {Dongjun Xu and Ningmei Yu and Hantao Huang and Sai Manoj Pudukotai Dinakarrao and Hao Yu}, title = {Q-Learning-Based Voltage-Swing Tuning and Compensation for 2.5-D Memory-Logic Integration}, journal = {{IEEE} Des. Test}, volume = {35}, number = {2}, pages = {91--99}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2764075}, doi = {10.1109/MDAT.2017.2764075}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/XuYHDY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Yeh18, author = {David Yeh}, title = {Autonomous Systems and the Challenges in Verification, Validation, and Test}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {89--97}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2816940}, doi = {10.1109/MDAT.2018.2816940}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Yeh18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Zorian18, author = {Yervant Zorian}, title = {The 10th China Test Conference}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {96--97}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2874260}, doi = {10.1109/MDAT.2018.2874260}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Zorian18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Abadir17, author = {Magdy Abadir}, title = {An Interview With Semiconductor Pioneer and {EDA} Visionary Leader Wally Rhines}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {95--105}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2623665}, doi = {10.1109/MDAT.2016.2623665}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Abadir17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AbadirBCW17, author = {Magdy S. Abadir and Jayanta Bhadra and Wen Chen and Li{-}C. Wang}, title = {Guest Editors' Introduction: Emerging Challenges and Solutions in SoC Verification}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {5--6}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2729938}, doi = {10.1109/MDAT.2017.2729938}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AbadirBCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AdlerV17, author = {John Adler and Andreas G. Veneris}, title = {Leveraging Software Configuration Management in Automated {RTL} Design Debug}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {47--53}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2713391}, doi = {10.1109/MDAT.2017.2713391}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AdlerV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AlayanVSPPL17, author = {Mouhamad Alayan and Elisa Vianello and Barbara De Salvo and Luca Perniola and Andrea Padovani and Luca Larcher}, title = {Correlated Effects on Forming and Retention of Al Doping in HfO\({}_{\mbox{2}}\)-Based {RRAM}}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {23--30}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682246}, doi = {10.1109/MDAT.2017.2682246}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AlayanVSPPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AlfanoBRSSD17, author = {Michael Alfano and Bryan Black and Jeff Rearick and Joseph Siegel and Michael Su and Julius Din}, title = {Unleashing Fury: {A} New Paradigm for 3-D Design and Test}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {8--15}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2624284}, doi = {10.1109/MDAT.2016.2624284}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AlfanoBRSSD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AmrouchSH17, author = {Hussam Amrouch and Victor M. van Santen and J{\"{o}}rg Henkel}, title = {Interdependencies of Degradation Effects and Their Impact on Computing}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {59--67}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2594180}, doi = {10.1109/MDAT.2016.2594180}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AmrouchSH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AntonopoulosKM17, author = {Angelos Antonopoulos and Christiana Kapatsori and Yiorgos Makris}, title = {Trusted Analog/Mixed- Signal/RF ICs: {A} Survey and a Perspective}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {63--76}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2728366}, doi = {10.1109/MDAT.2017.2728366}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AntonopoulosKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AtienzaN17, author = {David Atienza and Giorgio Di Natale}, title = {Report on {DATE} 2017 in Lausanne}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {76--77}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2693266}, doi = {10.1109/MDAT.2017.2693266}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AtienzaN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ayala17, author = {Jos{\'{e}} L. Ayala}, title = {Code Ocean Is Live: Upload Your Algorithms}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {108--109}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2692207}, doi = {10.1109/MDAT.2017.2692207}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ayala17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ayala17a, author = {Jos{\'{e}} Luis Ayala}, title = {{IEEE} Rebooting Computing Week}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {99--100}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2720728}, doi = {10.1109/MDAT.2017.2720728}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ayala17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ayala17b, author = {Jos{\'{e}} Luis Ayala}, title = {{CEDA} Currents}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {123--124}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2740899}, doi = {10.1109/MDAT.2017.2740899}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ayala17b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BolchiniC17, author = {Cristiana Bolchini and Luca Cassano}, title = {A Fully Automated and Configurable Cost-Aware Framework for Adaptive Functional Diagnosis}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {79--86}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2550584}, doi = {10.1109/MDAT.2016.2550584}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BolchiniC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CabodiCFSV17, author = {Gianpiero Cabodi and Paolo Camurati and Sebastiano F. Finocchiaro and Francesco Savarese and Danilo Vendraminetto}, title = {Embedded Systems Secure Path Verification at the Hardware/Software Interface}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {38--46}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2713393}, doi = {10.1109/MDAT.2017.2713393}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CabodiCFSV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Cardenas17, author = {Alvaro A. C{\'{a}}rdenas}, title = {Corrections}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {103}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2729958}, doi = {10.1109/MDAT.2017.2729958}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Cardenas17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CatthoorG17, author = {Francky Catthoor and Guido Groeseneken}, title = {Will Chips of the Future Learn How to Feel Pain and Cure Themselves?}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {80--87}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2730841}, doi = {10.1109/MDAT.2017.2730841}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CatthoorG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakrabarty17, author = {Krishnendu Chakrabarty}, title = {Quo Vadis Test? The Past, the Present, and the Future: No Longer a Necessary Evil}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {93--95}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2686584}, doi = {10.1109/MDAT.2017.2686584}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakrabarty17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chang17, author = {Yao{-}Wen Chang}, title = {An Interview With Professor Chenming Hu, Father of 3D Transistors}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {90--96}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2729511}, doi = {10.1109/MDAT.2017.2729511}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chang17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Charides17, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {101--102}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2730838}, doi = {10.1109/MDAT.2017.2730838}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Charides17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenHWB17, author = {Wen Chen and Kuo{-}Kai Hsieh and Li{-}Chung Wang and Jayanta Bhadra}, title = {Data-Driven Test Plan Augmentation for Platform Verification}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {23--29}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2713390}, doi = {10.1109/MDAT.2017.2713390}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenHWB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenKS17, author = {Yiran Chen and Tei{-}Wei Kuo and Barbara De Salvo}, title = {Guest Editors' Introduction: Critical and Enabling Techniques for Emerging Memories}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {6--7}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682253}, doi = {10.1109/MDAT.2017.2682253}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenLBE17, author = {Yiran Chen and Hai Helen Li and Ismail Bayram and Enes Eken}, title = {Recent Technology Advances of Emerging Memories}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {8--22}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2685381}, doi = {10.1109/MDAT.2017.2685381}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenLBE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenRBAW17, author = {Wen Chen and Sandip Ray and Jayanta Bhadra and Magdy S. Abadir and Li{-}C. Wang}, title = {Challenges and Trends in Modern SoC Design Verification}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {7--22}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2735383}, doi = {10.1109/MDAT.2017.2735383}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenRBAW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChhetriF17, author = {Sujit Rokka Chhetri and Mohammad Abdullah Al Faruque}, title = {Side Channels of Cyber-Physical Systems: Case Study in Additive Manufacturing}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {18--25}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682225}, doi = {10.1109/MDAT.2017.2682225}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChhetriF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17, author = {Scott Davidson}, title = {Research Is Its Own Reward}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {120}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2626201}, doi = {10.1109/MDAT.2016.2626201}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17a, author = {Scott Davidson}, title = {Dark Silicon, Antiparallelism, and Too Much Work}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {104}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2657581}, doi = {10.1109/MDAT.2017.2657581}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17b, author = {Scott Davidson}, title = {Cyber-Physical System Design With Sensor Networking Technologies}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {105--107}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2655504}, doi = {10.1109/MDAT.2017.2655504}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17c, author = {Scott Davidson}, title = {Being Connected}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {112}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2680419}, doi = {10.1109/MDAT.2017.2680419}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17d, author = {Scott Davidson}, title = {Practice Makes Perfect}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {80}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2710060}, doi = {10.1109/MDAT.2017.2710060}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17e, author = {Scott Davidson}, title = {Engineering Secure Internet of Things Systems}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {97--98}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2720720}, doi = {10.1109/MDAT.2017.2720720}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17f, author = {Scott Davidson}, title = {To Verification Infinity and Beyond}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {104}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2728042}, doi = {10.1109/MDAT.2017.2728042}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson17g, author = {Scott Davidson}, title = {Chips Thinking About Chips}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {128}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2759243}, doi = {10.1109/MDAT.2017.2759243}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson17g.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DavisLSHCC17, author = {James J. Davis and Joshua M. Levine and Edward A. Stott and Eddie Hung and Peter Y. K. Cheung and George A. Constantinides}, title = {{KOCL:} Power Self- Awareness for Arbitrary FPGA-SoC-Accelerated OpenCL Applications}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {36--45}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2750909}, doi = {10.1109/MDAT.2017.2750909}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DavisLSHCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DeVK17, author = {Vivek De and Sriram R. Vangal and Ram Krishnamurthy}, title = {Near Threshold Voltage {(NTV)} Computing: Computing in the Dark Silicon Era}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {24--30}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2573593}, doi = {10.1109/MDAT.2016.2573593}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DeVK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DingLWLHHL17, author = {Caiwen Ding and Ning Liu and Yanzhi Wang and Ji Li and Soroush Heidari and Jingtong Hu and Yongpan Liu}, title = {Multisource Indoor Energy Harvesting for Nonvolatile Processors}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {42--49}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682242}, doi = {10.1109/MDAT.2017.2682242}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DingLWLHHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FlynnM17, author = {Michael J. Flynn and Subhasish Mitra}, title = {Edward J. McCluskey 1929-2016}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {94--98}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2660483}, doi = {10.1109/MDAT.2017.2660483}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FlynnM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GarrettY17, author = {David Garrett and Chia{-}Lin Yang}, title = {Recap of the 2017 International Symposium on Low Power Electronics and Design {(ISLPED)}}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {121--122}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2746561}, doi = {10.1109/MDAT.2017.2746561}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GarrettY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GiesenRGD17, author = {Hans Giesen and Raphael Rubin and Benjamin Gojman and Andr{\'{e}} DeHon}, title = {Self-Adaptive Timing Repair}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {54--62}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2750912}, doi = {10.1109/MDAT.2017.2750912}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GiesenRGD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GiraldoSCMK17, author = {Jairo Giraldo and Esha Sarkar and Alvaro A. C{\'{a}}rdenas and Michail Maniatakos and Murat Kantarcioglu}, title = {Security and Privacy in Cyber-Physical Systems: {A} Survey of Surveys}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {7--17}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2709310}, doi = {10.1109/MDAT.2017.2709310}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GiraldoSCMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HaghbayanRLJMBT17, author = {Mohammad Hashem Haghbayan and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Antonio Miele and Cristiana Bolchini and Hannu Tenhunen}, title = {Can Dark Silicon Be Exploited to Prolong System Lifetime?}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {51--59}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2630317}, doi = {10.1109/MDAT.2016.2630317}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HaghbayanRLJMBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel17, author = {J{\"{o}}rg Henkel}, title = {3D Test}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {4--5}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2642161}, doi = {10.1109/MDAT.2016.2642161}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel17a, author = {J{\"{o}}rg Henkel}, title = {Power Density}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {4}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2667640}, doi = {10.1109/MDAT.2017.2667640}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel17b, author = {J{\"{o}}rg Henkel}, title = {Emerging Memory Technologies}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {4--5}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2695879}, doi = {10.1109/MDAT.2017.2695879}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel17b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel17c, author = {J{\"{o}}rg Henkel}, title = {Cyber-Physical Systems Security and Privacy}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {4}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2713356}, doi = {10.1109/MDAT.2017.2713356}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel17c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel17d, author = {J{\"{o}}rg Henkel}, title = {Verification and Test}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {4}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2737530}, doi = {10.1109/MDAT.2017.2737530}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel17d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel17e, author = {J{\"{o}}rg Henkel}, title = {Self-Aware On-Chip Systems}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {4--5}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2763125}, doi = {10.1109/MDAT.2017.2763125}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel17e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ImaniHRRR17, author = {Mohsen Imani and John Hwang and Tajana Rosing and Abbas Rahimi and Jan M. Rabaey}, title = {Low-Power Sparse Hyperdimensional Encoder for Language Recognition}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {94--101}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2740839}, doi = {10.1109/MDAT.2017.2740839}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ImaniHRRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JalasR17, author = {Panu Jalas and Timo Rahkonen}, title = {Estimating the Impact of Methodology on Analog Integrated Circuit Design Time}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {35--46}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2015.2497331}, doi = {10.1109/MDAT.2015.2497331}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JalasR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschD17, author = {Axel Jantsch and Nikil D. Dutt}, title = {Guest Editorial: Special Issue on Self-Aware Systems on Chip}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {6--7}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757445}, doi = {10.1109/MDAT.2017.2757445}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JantschD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschDR17, author = {Axel Jantsch and Nikil D. Dutt and Amir M. Rahmani}, title = {Self-Awareness in Systems on Chip - {A} Survey}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {8--26}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757143}, doi = {10.1109/MDAT.2017.2757143}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JantschDR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JunNJLPL17, author = {Hongshin Jun and Sang Kyun Nam and Han Ho Jin and Jong{-}Chern Lee and Yong Jae Park and Jaejin Lee}, title = {High-Bandwidth Memory {(HBM)} Test Challenges and Solutions}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {16--25}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2624283}, doi = {10.1109/MDAT.2016.2624283}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JunNJLPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JungMRWW17, author = {Matthias Jung and Deepak M. Mathew and Carl Christian Rheinl{\"{a}}nder and Christian Weis and Norbert Wehn}, title = {A Platform to Analyze {DDR3} DRAM's Power and Retention Time}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {52--59}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2705144}, doi = {10.1109/MDAT.2017.2705144}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JungMRWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KadryKMNSPPJS17, author = {Wisam Kadry and Dmitry Krestyashyn and Arkadiy Morgenshtein and Amir Nahir and Vitali Sokhin and Jin Sung Park and Sung{-}Boem Park and Wookyeong Jeong and Jae{-}Cheol Son}, title = {Test Generation Methods for Utilization Improvement of Hardware-Accelerated Simulation Platforms}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {65--76}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2527998}, doi = {10.1109/MDAT.2016.2527998}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KadryKMNSPPJS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KoteshwaraD17, author = {Sandhya Koteshwara and Amitabh Das}, title = {Comparative Study of Authenticated Encryption Targeting Lightweight IoT Applications}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {26--33}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682234}, doi = {10.1109/MDAT.2017.2682234}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KoteshwaraD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiWGC17, author = {Katherine Shu{-}Min Li and Sying{-}Jyan Wang and Ruei{-}Ting Gu and Bo{-}Chuan Cheng}, title = {Layout-Aware Optimized Prebond Silicon Interposer Test Synthesis}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {77--83}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2015.2471296}, doi = {10.1109/MDAT.2015.2471296}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiWGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Liu17, author = {Frank Liu}, title = {Highlights of {ICCAD} 2016}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {92--93}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2653805}, doi = {10.1109/MDAT.2017.2653805}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Liu17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuSLW17, author = {Zhi{-}Yong Liu and Hsiu{-}Chuan Shih and Bing{-}Yang Lin and Cheng{-}Wen Wu}, title = {Controller Architecture for Low-Power, Low-Latency {DRAM} With Built-in Cache}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {69--78}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2524445}, doi = {10.1109/MDAT.2016.2524445}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiuSLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LorangerYO17, author = {Marc Loranger and Onnik Yaglioglu and John Oonk}, title = {High-Performance {HBM} Known-Good-Stack Testing}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {26--34}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2624282}, doi = {10.1109/MDAT.2016.2624282}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LorangerYO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ManiatakosCK17, author = {Michail Maniatakos and Alvaro A. C{\'{a}}rdenas and Ramesh Karri}, title = {Guest Editors' Introduction: Cyber-Physical Systems Security and Privacy}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {5--6}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2705028}, doi = {10.1109/MDAT.2017.2705028}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ManiatakosCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarinissenZ17, author = {Erik Jan Marinissen and Yervant Zorian}, title = {Guest Editors' Introduction: Design {\&} Test of a High-Volume 3-D Stacked Graphics Processor With High-Bandwidth Memory}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {6--7}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2624265}, doi = {10.1109/MDAT.2016.2624265}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MarinissenZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MayorRM17, author = {Patrick Mayor and Martin Rajman and Giovanni De Micheli}, title = {Nano-Tera.ch: Information Technology for Health, Environment, and Energy}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {109--118}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757926}, doi = {10.1109/MDAT.2017.2757926}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MayorRM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MeliopoulosCFS17, author = {A. P. Sakis Meliopoulos and George J. Cokkinides and Rui Fan and Liangyi Sun}, title = {Data Attack Detection and Command Authentication via Cyber-Physical Comodeling}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {34--43}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682233}, doi = {10.1109/MDAT.2017.2682233}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MeliopoulosCFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MichaelS17, author = {Maria K. Michael and Haralampos{-}G. D. Stratigopoulos}, title = {Recap of the European Test Symposium 2017 (ETS'17)}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {119--120}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2741460}, doi = {10.1109/MDAT.2017.2741460}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MichaelS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MiciolinoSBPPP17, author = {Estefan{\'{\i}}a Etchev{\'{e}}s Miciolino and Roberto Setola and Giuseppe Bernieri and Stefano Panzieri and Federica Pascucci and Marios M. Polycarpou}, title = {Fault Diagnosis and Network Anomaly Detection in Water Infrastructures}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {44--51}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682223}, doi = {10.1109/MDAT.2017.2682223}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MiciolinoSBPPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MishraMZR17, author = {Prabhat Mishra and Ronny Morad and Avi Ziv and Sandip Ray}, title = {Post-Silicon Validation in the SoC Era: {A} Tutorial Introduction}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {68--92}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2691348}, doi = {10.1109/MDAT.2017.2691348}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MishraMZR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Moretti17, author = {Gabe Moretti}, title = {Accellera's Support for {ESL} Verification and Stimulus Reuse}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {69--75}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2642898}, doi = {10.1109/MDAT.2016.2642898}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Moretti17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Papermaster17, author = {Mark Papermaster}, title = {Developing Great Products for the Immersive Computing Era}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {91--94}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2633406}, doi = {10.1109/MDAT.2016.2633406}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Papermaster17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PedramRHGK17, author = {Ardavan Pedram and Stephen Richardson and Mark Horowitz and Sameh Galal and Shahar Kvatinsky}, title = {Dark Memory and Accelerator-Rich System Optimization in the Dark Silicon Era}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {39--50}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2573586}, doi = {10.1109/MDAT.2016.2573586}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PedramRHGK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pimentel17, author = {Andy D. Pimentel}, title = {Exploring Exploration: {A} Tutorial Introduction to Embedded Systems Design Space Exploration}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {77--90}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2626445}, doi = {10.1109/MDAT.2016.2626445}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Pimentel17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PinckneyJDMSBSC17, author = {Nathaniel Ross Pinckney and Supreet Jeloka and Ronald G. Dreslinski and Trevor N. Mudge and Dennis Sylvester and David T. Blaauw and Lucian Shifren and Brian Cline and Saurabh Sinha}, title = {Impact of FinFET on Near-Threshold Voltage Scalability}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {31--38}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2630303}, doi = {10.1109/MDAT.2016.2630303}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PinckneyJDMSBSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RossiLPMBCBF17, author = {Davide Rossi and Igor Loi and Antonio Pullini and Thomas Christoph M{\"{u}}ller and Andreas Burg and Francesco Conti and Luca Benini and Philippe Flatresse}, title = {A Self-Aware Architecture for {PVT} Compensation and Power Nap in Near Threshold Processors}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {46--53}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2750907}, doi = {10.1109/MDAT.2017.2750907}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RossiLPMBCBF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SaeedS17, author = {Samah Mohamed Saeed and Ozgur Sinanoglu}, title = {A Comprehensive Design-for-Test Infrastructure in the Context of Security-Critical Applications}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {57--64}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2527708}, doi = {10.1109/MDAT.2016.2527708}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SaeedS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SayilSZI17, author = {Selahattin Sayil and Archit H. Shah and Md. Adnan Zaman and Mohammad A. Islam}, title = {Soft Error Mitigation Using Transmission Gate With Varying Gate and Body Bias}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {47--56}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2015.2499272}, doi = {10.1109/MDAT.2015.2499272}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SayilSZI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShafiqueG17, author = {Muhammad Shafique and Siddharth Garg}, title = {Computing in the Dark Silicon Era: Current Trends and Research Challenges}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {8--23}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2633408}, doi = {10.1109/MDAT.2016.2633408}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShafiqueG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShafiqueGC17, author = {Muhammad Shafique and Siddharth Garg and Vikas Chandra}, title = {Guest Editors' Introduction: Computing in the Dark Silicon Era}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {5--7}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2651065}, doi = {10.1109/MDAT.2017.2651065}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShafiqueGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShibinDJGP17, author = {Konstantin Shibin and Sergei Devadze and Artur Jutman and Martin Grabmann and Robin Pricken}, title = {Health Management for Self-Aware SoCs Based on {IEEE} 1687 Infrastructure}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {27--35}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2750902}, doi = {10.1109/MDAT.2017.2750902}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShibinDJGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShinPPPB17, author = {Dongyeob Shin and Jongsun Park and Jangwon Park and Somnath Paul and Swarup Bhunia}, title = {Adaptive {ECC} for Tailored Protection of Nanoscale Memory}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {84--93}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2615844}, doi = {10.1109/MDAT.2016.2615844}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShinPPPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SlimaneHR17, author = {Maroua Ben Slimane and Imene Ben Hafaiedh and Riadh Robbana}, title = {Formal-Based Design and Verification of SoC Arbitration Protocols: {A} Comparative Analysis of {TDMA} and Round-Robin}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {54--62}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2713352}, doi = {10.1109/MDAT.2017.2713352}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SlimaneHR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SwamiM17, author = {Shivam Swami and Kartik Mohanram}, title = {Reliable Nonvolatile Memories: Techniques and Measures}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {31--41}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682252}, doi = {10.1109/MDAT.2017.2682252}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SwamiM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Takagi17, author = {Naofumi Takagi}, title = {Recap of the 22nd Asia and South- Pacific Design Automation Conference}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {103--104}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2690384}, doi = {10.1109/MDAT.2017.2690384}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Takagi17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TaqdeesH17, author = {Syeda Hira Taqdees and Osman Hasan}, title = {Formally Verifying Transfer Functions of Linear Analog Circuits}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {30--37}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2713388}, doi = {10.1109/MDAT.2017.2713388}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TaqdeesH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides17, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {115--117}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2614394}, doi = {10.1109/MDAT.2016.2614394}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides17a, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {99--101}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2664818}, doi = {10.1109/MDAT.2017.2664818}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides17b, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {110--111}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2691340}, doi = {10.1109/MDAT.2017.2691340}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides17b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides17c, author = {Theo Theocharides}, title = {{TTTC} News}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {78--79}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2710282}, doi = {10.1109/MDAT.2017.2710282}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides17c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides17d, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {125--126}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757455}, doi = {10.1109/MDAT.2017.2757455}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides17d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Thiele17, author = {Lothar Thiele}, title = {Internet of Things - The Quest for Trust}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {102--108}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757146}, doi = {10.1109/MDAT.2017.2757146}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Thiele17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ThieleH17, author = {Lothar Thiele and J{\"{o}}rg Henkel}, title = {Report of the 2016 Embedded Systems Week {(ESWEEK)}}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {106--107}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2622718}, doi = {10.1109/MDAT.2016.2622718}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ThieleH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangC17, author = {Ran Wang and Krishnendu Chakrabarty}, title = {Tackling Test Challenges for Interposer-Based 2.5-D Integrated Circuits}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {72--79}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2705077}, doi = {10.1109/MDAT.2017.2705077}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WangC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangFTS17, author = {Huanyu Wang and Domenic Forte and Mark M. Tehranipoor and Qihang Shi}, title = {Probing Attacks on Integrated Circuits: Challenges and Research Opportunities}, journal = {{IEEE} Des. Test}, volume = {34}, number = {5}, pages = {63--71}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2729398}, doi = {10.1109/MDAT.2017.2729398}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WangFTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangLWLCLPW17, author = {Kai{-}Li Wang and Bing{-}Yang Lin and Cheng{-}Wen Wu and Mincent Lee and Hao Chen and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Test Cost Reduction Methodology for InFO Wafer-Level Chip-Scale Package}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {50--58}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2562060}, doi = {10.1109/MDAT.2016.2562060}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WangLWLCLPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Wolf17, author = {Marilyn Wolf}, title = {The Physics of Event-Driven IoT Systems}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {87--90}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2631082}, doi = {10.1109/MDAT.2016.2631082}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Wolf17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YazdanbakhshMEL17, author = {Amir Yazdanbakhsh and Divya Mahajan and Hadi Esmaeilzadeh and Pejman Lotfi{-}Kamran}, title = {AxBench: {A} Multiplatform Benchmark Suite for Approximate Computing}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {60--68}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2630270}, doi = {10.1109/MDAT.2016.2630270}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YazdanbakhshMEL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Yeh17, author = {David Yeh}, title = {Designing Secure Electronics: Challenges From a Hardware Perspective}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {96--102}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2623279}, doi = {10.1109/MDAT.2016.2623279}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Yeh17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhaoZX17, author = {Jishen Zhao and Qiaosha Zou and Yuan Xie}, title = {Overview of 3-D Architecture Design Opportunities and Techniques}, journal = {{IEEE} Des. Test}, volume = {34}, number = {4}, pages = {60--68}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2015.2463282}, doi = {10.1109/MDAT.2015.2463282}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ZhaoZX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Abadir16, author = {Magdy Abadir}, title = {Creating a Successful Partnership Between Industry, Academia, and Government}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {84--91}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2594157}, doi = {10.1109/MDAT.2016.2594157}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Abadir16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AceroFPHMMNRTZ16, author = {Cesar Acero and Derek Feltham and Marek Patyra and Friedrich Hapke and Elham K. Moghaddam and Nilanjan Mukherjee and Vidya Neerkundar and Janusz Rajski and Jerzy Tyszer and Justyna Zawada}, title = {On New Test Points for Compact Cell-Aware Tests}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {7--14}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590980}, doi = {10.1109/MDAT.2016.2590980}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AceroFPHMMNRTZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Alpert16, author = {Chuck Alpert}, title = {Recap of the 53rd Design Automation Conference {(DAC)}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {93--95}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2589222}, doi = {10.1109/MDAT.2016.2589222}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Alpert16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AltmannP16, author = {Frank Altmann and Matthias Petzold}, title = {Innovative Failure Analysis Techniques for 3-D Packaging Developments}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {46--55}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2521828}, doi = {10.1109/MDAT.2016.2521828}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AltmannP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ArasuNCR16, author = {Senthil Arasu and Mehrdad Nourani and John M. Carulli and Vijay Reddy}, title = {Controlling Aging in Timing-Critical Paths}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {82--91}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2501310}, doi = {10.1109/MDAT.2015.2501310}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ArasuNCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AsadJ16, author = {Hafiz ul Asad and Kevin D. Jones}, title = {Verifying Inevitability of Oscillation in Ring Oscillators Using the Deductive {SOS-QE} Approach}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {35--43}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2573588}, doi = {10.1109/MDAT.2016.2573588}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AsadJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BarraganSMGBB16, author = {Manuel J. Barrag{\'{a}}n and Haralampos{-}G. D. Stratigopoulos and Salvador Mir and Herv{\'{e}} Le Gall and Neha Bhargava and Ankur Bal}, title = {Practical Simulation Flow for Evaluating Analog/Mixed-Signal Test Techniques}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {46--54}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590985}, doi = {10.1109/MDAT.2016.2590985}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BarraganSMGBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Beyne16, author = {Eric Beyne}, title = {The 3-D Interconnect Technology Landscape}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {8--20}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2544837}, doi = {10.1109/MDAT.2016.2544837}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Beyne16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BlantonY16, author = {R. D. Shawn Blanton and David Yeh}, title = {Test: Wisdom From the Giants, Visions for the Future - Part 2}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {77--84}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2497602}, doi = {10.1109/MDAT.2015.2497602}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BlantonY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChakrabortyFCGW16, author = {Samarjit Chakraborty and Mohammad Abdullah Al Faruque and Wanli Chang and Dip Goswami and Marilyn Wolf and Qi Zhu}, title = {Automotive Cyber-Physical Systems: {A} Tutorial Introduction}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {92--108}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2573598}, doi = {10.1109/MDAT.2016.2573598}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChakrabortyFCGW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChangCYDK16, author = {Kai{-}Hui Chang and Hong{-}Zu Chou and Haiqian Yu and Dylan Dobbyn and Sy{-}Yen Kuo}, title = {Handling Nondeterminism in Logic Simulation so That Your Waveform Can Be Trusted Again}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {63--71}, year = {2016}, url = {https://doi.org/10.1109/MDT.2011.75}, doi = {10.1109/MDT.2011.75}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChangCYDK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChauhanOKCW16, author = {Hari Chauhan and Marvin Onabajo and Vladimir Kvartenko and Robin Coxe and Theophane Weber}, title = {An Optimization Platform for Digital Predistortion of Power Amplifiers}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {49--58}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2480702}, doi = {10.1109/MDAT.2015.2480702}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChauhanOKCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CoudrainSPFFLCS16, author = {Perceval Coudrain and Papa Momar Souare and Rafael Prieto and Vincent Fiori and Alexis Farcy and Laurent Le Pailleur and Jean{-}Philippe Colonna and Cristiano Santos and Pascal Vivet and M. Haykel Ben Jamaa and Denis Dutoit and Fran{\c{c}}ois de Crecy and Sylvain Dumas and Christian Chancel and Didier Lattard and S{\'{e}}verine Cheramy}, title = {Experimental Insights Into Thermal Dissipation in TSV-Based 3-D Integrated Circuits}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {21--36}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2506678}, doi = {10.1109/MDAT.2015.2506678}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CoudrainSPFFLCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CroesMLGPCSWB16, author = {Kristof Croes and Joke De Messemaeker and Yunlong Li and Wei Guo and Olalla Varela Pedreira and Vladimir Cherman and Michele Stucchi and Ingrid De Wolf and Eric Beyne}, title = {Reliability Challenges Related to {TSV} Integration and 3-D Stacking}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {37--45}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2501302}, doi = {10.1109/MDAT.2015.2501302}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CroesMLGPCSWB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CuboMA16, author = {Ruben Cubo and Alexander Medvedev and Mattias {\AA}str{\"{o}}m}, title = {Model-Based Optimization of Individualized Deep Brain Stimulation Therapy}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {74--81}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2480705}, doi = {10.1109/MDAT.2015.2480705}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CuboMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson16, author = {Scott Davidson}, title = {Good Enough Computing}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {96}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2506218}, doi = {10.1109/MDAT.2015.2506218}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson16a, author = {Scott Davidson}, title = {The Five Stages of Project Grief}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {104}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2520365}, doi = {10.1109/MDAT.2016.2520365}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson16b, author = {Scott Davidson}, title = {And He Built a Crooked Chip}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {144}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2546545}, doi = {10.1109/MDAT.2016.2546545}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson16b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson16c, author = {Scott Davidson}, title = {The Intestinal Superhighway}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {128}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2574698}, doi = {10.1109/MDAT.2016.2574698}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson16c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson16d, author = {Scott Davidson}, title = {Where Are We Going?}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {104}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2594788}, doi = {10.1109/MDAT.2016.2594788}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson16d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/De16, author = {Vivek De}, title = {Energy-Efficient Computing in Nanoscale {CMOS}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {68--75}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2513400}, doi = {10.1109/MDAT.2015.2513400}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/De16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DubikhinSYM16, author = {Vladimir Dubikhin and Danil Sokolov and Alex Yakovlev and Chris J. Myers}, title = {Design of Mixed-Signal Systems With Asynchronous Control}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {44--55}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2555916}, doi = {10.1109/MDAT.2016.2555916}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DubikhinSYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Eklow16, author = {Bill Eklow}, title = {Recap of the {ITC15} Test Conference}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {85--86}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2509078}, doi = {10.1109/MDAT.2015.2509078}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Eklow16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ernst16, author = {Rolf Ernst}, title = {Report of the 2015 Embedded Systems Week {(ESWEEK)}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {97--98}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2513668}, doi = {10.1109/MDAT.2015.2513668}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ernst16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ErnstN16, author = {Rolf Ernst and Marco Di Natale}, title = {Mixed Criticality Systems - {A} History of Misconceptions?}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {65--74}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2594790}, doi = {10.1109/MDAT.2016.2594790}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ErnstN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FanucciT16, author = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Recap of the 2016 {DATE} Conference {\&} Exhibition}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {114--117}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2570223}, doi = {10.1109/MDAT.2016.2570223}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/FanucciT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HankendiCH16, author = {Can Hankendi and Ayse Kivilcim Coskun and Henry Hoffmann}, title = {Adapt{\&}Cap: Coordinating System- and Application-Level Adaptation for Power-Constrained Systems}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {68--76}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2463275}, doi = {10.1109/MDAT.2015.2463275}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HankendiCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HeHT16, author = {Kai He and Xin Huang and Sheldon X.{-}D. Tan}, title = {EM-Based On-Chip Aging Sensor for Detection of Recycled ICs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {56--64}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2582830}, doi = {10.1109/MDAT.2016.2582830}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HeHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel16, author = {J{\"{o}}rg Henkel}, title = {Approximate Computing: Solving Computing's Inefficiency Problem?}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {4--5}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2509608}, doi = {10.1109/MDAT.2015.2509608}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel16a, author = {J{\"{o}}rg Henkel}, title = {Three-Dimensional Integrated Circuits}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {4--6}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2520243}, doi = {10.1109/MDAT.2016.2520243}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel16b, author = {J{\"{o}}rg Henkel}, title = {Robustness for 3-D Circuits - Industrial Perspectives}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {4--5}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2544849}, doi = {10.1109/MDAT.2016.2544849}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel16b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel16c, author = {J{\"{o}}rg Henkel}, title = {Designing and Testing Implantable Medical Devices}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {4--5}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2571698}, doi = {10.1109/MDAT.2016.2571698}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel16c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel16d, author = {J{\"{o}}rg Henkel}, title = {New Directions in Analog/Mixed-Signal Design and Test}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {4}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2597445}, doi = {10.1109/MDAT.2016.2597445}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel16d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Henkel16e, author = {J{\"{o}}rg Henkel}, title = {Best in Test}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {4}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2614632}, doi = {10.1109/MDAT.2016.2614632}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Henkel16e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangCTHTC16, author = {Shi{-}Yu Huang and Chih{-}Chieh Cheng and Meng{-}Ting Tsai and Kuan{-}Chen Huang and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Versatile Transition-Time Monitoring for Interconnects via Distributed {TDC}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {23--30}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590979}, doi = {10.1109/MDAT.2016.2590979}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuangCTHTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangTTC16, author = {Shi{-}Yu Huang and Meng{-}Ting Tsai and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Delay Characterization and Testing of Arbitrary Multiple-Pin Interconnects}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {9--16}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2455331}, doi = {10.1109/MDAT.2015.2455331}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuangTTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/IrwinH16, author = {Mary Jane Irwin and Soha Hassoun}, title = {Steven P. Levitan {(1950-2016)}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {142--143}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2544932}, doi = {10.1109/MDAT.2016.2544932}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/IrwinH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/IshidaI16, author = {Masahiro Ishida and Kiyotaka Ichiyama}, title = {An {ATE} System for Testing {RF} Digital Communication Devices With {QAM} Signal Interfaces}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {15--22}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590978}, doi = {10.1109/MDAT.2016.2590978}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/IshidaI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/IyengarGS16, author = {Anirudh Iyengar and Swaroop Ghosh and Srikant Srinivasan}, title = {Retention Testing Methodology for {STTRAM}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {7--15}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2591554}, doi = {10.1109/MDAT.2016.2591554}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/IyengarGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JeongOLP16, author = {Donghwan Jeong and Young H. Oh and Jae W. Lee and Yongjun Park}, title = {An eDRAM-Based Approximate Register File for GPUs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {23--31}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2500185}, doi = {10.1109/MDAT.2015.2500185}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JeongOLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhorramiKK16, author = {Farshad Khorrami and Prashanth Krishnamurthy and Ramesh Karri}, title = {Cybersecurity for Control Systems: {A} Process-Aware Perspective}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {75--83}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2594178}, doi = {10.1109/MDAT.2016.2594178}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KhorramiKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhudiaZSM16, author = {Daya Shanker Khudia and Babak Zamirai and Mehrzad Samadi and Scott A. Mahlke}, title = {Quality Control for Approximate Accelerators by Error Prediction}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {43--50}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2501306}, doi = {10.1109/MDAT.2015.2501306}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KhudiaZSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KhursheedVHM16, author = {S. Saqib Khursheed and Pascal Vivet and Fabian Hopsch and Erik Jan Marinissen}, title = {Guest Editors' Introduction: Robust 3-D Stacked ICs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {6--7}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2542210}, doi = {10.1109/MDAT.2016.2542210}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KhursheedVHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KimL16, author = {Daehyun Kim and Sung Kyu Lim}, title = {Guest Editors' Introduction: Advances in 3-D Integrated Circuits, Systems, and {CAD} Tools - Part 2}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {7--8}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2519718}, doi = {10.1109/MDAT.2016.2519718}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KimL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KriebelSRHG16, author = {Florian Kriebel and Muhammad Shafique and Semeen Rehman and J{\"{o}}rg Henkel and Siddharth Garg}, title = {Variability and Reliability Awareness in the Age of Dark Silicon}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {59--67}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2439640}, doi = {10.1109/MDAT.2015.2439640}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KriebelSRHG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeBLO16, author = {Paul Jung{-}Ho Lee and Amine Bermak and Man Kay Law and Jun Ohta}, title = {A Multichannel Power-Supply-Modulated Microstimulator With Energy Recycling}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {61--73}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2533359}, doi = {10.1109/MDAT.2016.2533359}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LeeBLO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeJKCK16, author = {Manho Lee and Daniel H. Jung and Heegon Kim and Jonghyun Cho and Joungho Kim}, title = {High-Frequency Temperature-Dependent Through-Silicon-Via {(TSV)} Model and High-Speed Channel Performance for 3-D ICs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {17--29}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2455336}, doi = {10.1109/MDAT.2015.2455336}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LeeJKCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeK16, author = {Jiho Lee and Jaeha Kim}, title = {Efficient Global Optimization of Analog Circuits Using Predictive Response Surface Models on Discretized Design Space}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {16--27}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2580667}, doi = {10.1109/MDAT.2016.2580667}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LeeK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiGWY16, author = {Boxun Li and Peng Gu and Yu Wang and Huazhong Yang}, title = {Exploring the Precision Limitation for RRAM-Based Analog Approximate Computing}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {51--58}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2487218}, doi = {10.1109/MDAT.2015.2487218}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiGWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiKM16, author = {Xin Li and Chandramouli V. Kashyap and Chris J. Myers}, title = {Guest Editors' Introduction Challenges and Opportunities in Analog/Mixed-Signal {CAD}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {5--6}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2594182}, doi = {10.1109/MDAT.2016.2594182}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LinCWLLPW16, author = {Bing{-}Yang Lin and Wan{-}Ting Chiang and Cheng{-}Wen Wu and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Configurable Cubical Redundancy Schemes for Channel-Based 3-D {DRAM} Yield Improvement}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {30--39}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2455347}, doi = {10.1109/MDAT.2015.2455347}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LinCWLLPW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Liu16, author = {Frank Liu}, title = {From the Heart of Silicon Valley to the Hill Country - Highlights of {ICCAD} 2015}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {87--88}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2509079}, doi = {10.1109/MDAT.2015.2509079}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Liu16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuZJBDD16, author = {Xiao Liu and Zhulin Zong and Dai Jiang and Bachir Bougaila and Nick Donaldson and Andreas Demosthenous}, title = {Advances in Scalable Implantable Systems for Neurostimulation Using Networked ASICs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {8--23}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2533358}, doi = {10.1109/MDAT.2016.2533358}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiuZJBDD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LuoHS16, author = {Qiwu Luo and Yigang He and Yichuang Sun}, title = {Real-Time Fault Detection and Diagnosis System for Analog and Mixed-Signal Circuits of Acousto-Magnetic {EAS} Devices}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {77--90}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2480714}, doi = {10.1109/MDAT.2015.2480714}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LuoHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Moretti16, author = {Gabe Moretti}, title = {Accellera's DVCon Conferences Focus on the Community of Practicing Engineers}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {125--132}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2542202}, doi = {10.1109/MDAT.2016.2542202}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Moretti16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MukherjeeL16, author = {Parijat Mukherjee and Peng Li}, title = {Using Presilicon Knowledge to Excite Nonlinear Failure Modes in Large Mixed-Signal Circuits}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {28--34}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2593399}, doi = {10.1109/MDAT.2016.2593399}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MukherjeeL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Mukhopadhyay16, author = {Debdeep Mukhopadhyay}, title = {PUFs as Promising Tools for Security in Internet of Things}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {103--115}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2544845}, doi = {10.1109/MDAT.2016.2544845}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Mukhopadhyay16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NicoliciS16, author = {Nicola Nicolici and Haralampos{-}G. D. Stratigopoulos}, title = {Guest Editors' Introduction: Top Papers from the 2015 International Test Conference}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {5--6}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2594440}, doi = {10.1109/MDAT.2016.2594440}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NicoliciS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OckunzziOG16, author = {Kelly A. Ockunzzi and Michael R. Ouellette and Kevin W. Gorman}, title = {Practical Application of {RAM} Sequential Test}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {31--37}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590981}, doi = {10.1109/MDAT.2016.2590981}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/OckunzziOG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Onodera16, author = {Hidetoshi Onodera}, title = {2016 {ASP-DAC}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {133--134}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2536654}, doi = {10.1109/MDAT.2016.2536654}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Onodera16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PressW16, author = {Ron Press and Li{-}C. Wang}, title = {{ITC} and the Future of Test - We've Won}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {96}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2612626}, doi = {10.1109/MDAT.2016.2612626}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PressW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/QuerbachKPBCC16, author = {Bruce Querbach and Rahul Khanna and Sudeep Puligundla and David Blankenbeckler and Joseph Crop and Patrick Yin Chiang}, title = {Architecture of a Reusable {BIST} Engine for Detection and Autocorrection of Memory Failures and for {IO} Debug, Validation, Link Training, and Power Optimization on 14-nm SoC}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {59--67}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2445053}, doi = {10.1109/MDAT.2015.2445053}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/QuerbachKPBCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RaghunathanK16, author = {Vijay Raghunathan and Muhammad M. Khellah}, title = {Recap of the 2016 {IEEE/ACM} International Symposium on Low Power Electronics and Design {(ISLPED} 2016)}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {93--94}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2611493}, doi = {10.1109/MDAT.2016.2611493}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RaghunathanK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RahimiBG16, author = {Abbas Rahimi and Luca Benini and Rajesh K. Gupta}, title = {CIRCA-GPUs: Increasing Instruction Reuse Through Inexact Computing in GP-GPUs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {85--92}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2497334}, doi = {10.1109/MDAT.2015.2497334}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RahimiBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RayJR16, author = {Sandip Ray and Yier Jin and Arijit Raychowdhury}, title = {The Changing Computing Paradigm With Internet of Things: {A} Tutorial Introduction}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {76--96}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2526612}, doi = {10.1109/MDAT.2016.2526612}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RayJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RiegerO16, author = {Robert Rieger and Shi{-}Hao Ou}, title = {Pulse-Width-Modulating Biosignal {ADC} for Rapid {ASIC} Design and {IP} Core Reuse}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {49--60}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2536657}, doi = {10.1109/MDAT.2016.2536657}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RiegerO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RoyJPR16, author = {Kaushik Roy and Byunghoo Jung and Dimitrios Peroulis and Anand Raghunathan}, title = {Integrated Systems in the More-Than-Moore Era: Designing Low-Cost Energy-Efficient Systems Using Heterogeneous Components}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {56--65}, year = {2016}, url = {https://doi.org/10.1109/MDT.2011.49}, doi = {10.1109/MDT.2011.49}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RoyJPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SawanL16, author = {Mohamad Sawan and Shuenn{-}Yuh Lee}, title = {Guest Editors' Introduction: Implantable Medical Devices}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {6--7}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2571692}, doi = {10.1109/MDAT.2016.2571692}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SawanL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SerafyYSHJ16, author = {Caleb Serafy and Zhiyuan Yang and Ankur Srivastava and Yuanchen Hu and Yogendra Joshi}, title = {Thermoelectric Codesign of 3-D CPUs and Embedded Microfluidic Pin-Fin Heatsinks}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {40--48}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2480710}, doi = {10.1109/MDAT.2015.2480710}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SerafyYSHJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SinghLBM16, author = {Eshan Singh and David Lin and Clark W. Barrett and Subhasish Mitra}, title = {Symbolic Quick Error Detection for Pre-Silicon and Post-Silicon Validation: Frequently Asked Questions}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {55--62}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590987}, doi = {10.1109/MDAT.2016.2590987}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SinghLBM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StojanovicW16, author = {Radovan Stojanovic and Radenka Krsmanovic Whiffen}, title = {Report on MECO'2015}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {89--90}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2497761}, doi = {10.1109/MDAT.2015.2497761}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/StojanovicW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SunterCR16, author = {Stephen K. Sunter and Jean{-}Francois Cote and Jeff Rearick}, title = {Streaming Access to ADCs and DACs for Mixed-Signal {ATPG}}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {38--45}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2590982}, doi = {10.1109/MDAT.2016.2590982}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SunterCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Takach16, author = {Andr{\'{e}}s Takach}, title = {High-Level Synthesis: Status, Trends, and Future Directions}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {116--124}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2544850}, doi = {10.1109/MDAT.2016.2544850}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Takach16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Temkin16, author = {Misha Temkin}, title = {The 2016 {DAC} Art Show Winner: Misha Temkin}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {95}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2599518}, doi = {10.1109/MDAT.2016.2599518}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Temkin16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides16, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {93--94}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2506858}, doi = {10.1109/MDAT.2015.2506858}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides16a, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {101--102}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2524598}, doi = {10.1109/MDAT.2016.2524598}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides16b, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {140--141}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2543214}, doi = {10.1109/MDAT.2016.2543214}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides16b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides16c, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {124--126}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2572696}, doi = {10.1109/MDAT.2016.2572696}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides16c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides16d, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {101--103}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2589900}, doi = {10.1109/MDAT.2016.2589900}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides16d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TokudaKMHTOMTNS16, author = {Takashi Tokuda and Toshikazu Kawamura and Keita Masuda and Tomohiro Hirai and Hironari Takehara and Yasumi Ohta and Mayumi Motoyama and Hiroaki Takehara and Toshihiko Noda and Kiyotaka Sasagawa and Jun Ohta and Teru Okitsu and Shoji Takeuchi}, title = {In Vitro Long-Term Performance Evaluation and Improvement in the Response Time of CMOS-Based Implantable Glucose Sensors}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {37--48}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2560137}, doi = {10.1109/MDAT.2016.2560137}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TokudaKMHTOMTNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TongG16, author = {Xingyuan Tong and Maysam Ghovanloo}, title = {Multichannel Wireless Neural Recording {AFE} Architectures: Analysis, Modeling, and Tradeoffs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {24--36}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2504367}, doi = {10.1109/MDAT.2015.2504367}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TongG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Tunaboylu16, author = {Bahadir Tunaboylu}, title = {Power Delivery Performance of Probe Test Systems for Semiconductor Wafers}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {72--76}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2501297}, doi = {10.1109/MDAT.2015.2501297}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Tunaboylu16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VenutoARSS16, author = {Daniela De Venuto and Valerio F. Annese and Michele Ruta and Eugenio Di Sciascio and Alberto L. Sangiovanni{-}Vincentelli}, title = {Designing a Cyber-Physical System for Fall Prevention by Cortico-Muscular Coupling Detection}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {66--76}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2480707}, doi = {10.1109/MDAT.2015.2480707}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/VenutoARSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VolanisAHM16, author = {Georgios Volanis and Angelos Antonopoulos and Alkis A. Hatzopoulos and Yiorgos Makris}, title = {Toward Silicon-Based Cognitive Neuromorphic ICs - {A} Survey}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {91--102}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2545159}, doi = {10.1109/MDAT.2016.2545159}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/VolanisAHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Wolf16, author = {Marilyn Wolf}, title = {Ultralow Power and the New Era of Not-So-VLSI}, journal = {{IEEE} Des. Test}, volume = {33}, number = {4}, pages = {109--113}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2569433}, doi = {10.1109/MDAT.2016.2569433}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Wolf16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XuMK16, author = {Qiang Xu and Todd Mytkowicz and Nam Sung Kim}, title = {Guest Editors' Introduction: Approximate Computing}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {6--7}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2509607}, doi = {10.1109/MDAT.2015.2509607}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/XuMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XuMK16a, author = {Qiang Xu and Todd Mytkowicz and Nam Sung Kim}, title = {Approximate Computing: {A} Survey}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {8--22}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2505723}, doi = {10.1109/MDAT.2015.2505723}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/XuMK16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YazdanbakhshTEP16, author = {Amir Yazdanbakhsh and Bradley Thwaites and Hadi Esmaeilzadeh and Gennady Pekhimenko and Onur Mutlu and Todd C. Mowry}, title = {Mitigating the Memory Bottleneck With Approximate Load Value Prediction}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {32--42}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2504899}, doi = {10.1109/MDAT.2015.2504899}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YazdanbakhshTEP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZaidiTMM16, author = {Syed Azhar Ali Zaidi and Abuduwaili Tuoheti and Maurizio Martina and Guido Masera}, title = {{FPGA} Accelerator of Algebraic Quasi Cyclic {LDPC} Codes for nand Flash Memories}, journal = {{IEEE} Des. Test}, volume = {33}, number = {6}, pages = {77--84}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2497322}, doi = {10.1109/MDAT.2015.2497322}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZaidiTMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AsianL15, author = {Manuel J. Barragan Asian and Gildas L{\'{e}}ger}, title = {A Procedure for Alternate Test Feature Design and Selection}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {18--25}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361722}, doi = {10.1109/MDAT.2014.2361722}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AsianL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BarnasconiDEVCL15, author = {Martin Barnasconi and Manfred Dietrich and Karsten Einwich and Thilo V{\"{o}}rtler and Jean{-}Paul Chaput and Marie{-}Minerve Lou{\"{e}}rat and Fran{\c{c}}ois P{\^{e}}cheux and Zhi Wang and Philippe Cuenot and Ingmar Neumann and Thang Nguyen and Ronan Lucas and Emmanuel Vaumorin}, title = {UVM-SystemC-AMS Framework for System-Level Verification and Validation of Automotive Use Cases}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {76--86}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2427260}, doi = {10.1109/MDAT.2015.2427260}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BarnasconiDEVCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BeccaniTTSVLV15, author = {Marco Beccani and Hakan Tunc and Addisu Taddese and Ekawahyu Susilo and P{\'{e}}ter V{\"{o}}lgyesi and {\'{A}}kos L{\'{e}}deczi and Pietro Valdastri}, title = {Systematic Design of Medical Capsule Robots}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {98--108}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2459591}, doi = {10.1109/MDAT.2015.2459591}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BeccaniTTSVLV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BogdanM15, author = {Paul Bogdan and Rahul Mangharam}, title = {Guest Editors' Introduction: Cyber-Physical Systems for Medical Applications}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {6--8}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2468452}, doi = {10.1109/MDAT.2015.2468452}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BogdanM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BuczkowskiR15, author = {James Buczkowski and Walden C. Rhines}, title = {The Future of Automotive Design and the Road to Get There}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {40--47}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2397511}, doi = {10.1109/MDAT.2015.2397511}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BuczkowskiR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChittamuruP15, author = {Sai Vineel Reddy Chittamuru and Sudeep Pasricha}, title = {Crosstalk Mitigation for High-Radix and Low-Diameter Photonic NoC Architectures}, journal = {{IEEE} Des. Test}, volume = {32}, number = {3}, pages = {29--39}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2414417}, doi = {10.1109/MDAT.2015.2414417}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChittamuruP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChouLYLKC15, author = {Che{-}Wei Chou and Jin{-}Fu Li and Yun{-}Chao Yu and Chih{-}Yen Lo and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {Hierarchical Test Integration Methodology for 3-D ICs}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {59--70}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2427257}, doi = {10.1109/MDAT.2015.2427257}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChouLYLKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CostaD15, author = {Antonio Anastasio Bruto da Costa and Pallab Dasgupta}, title = {Formal Interpretation of Assertion-Based Features on {AMS} Designs}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {9--17}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361720}, doi = {10.1109/MDAT.2014.2361720}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CostaD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson15, author = {Scott Davidson}, title = {Getting Credit}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {56}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2400095}, doi = {10.1109/MDAT.2015.2400095}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson15a, author = {Scott Davidson}, title = {Time Out of Mind}, journal = {{IEEE} Des. Test}, volume = {32}, number = {3}, pages = {56}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2417391}, doi = {10.1109/MDAT.2015.2417391}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson15b, author = {Scott Davidson}, title = {A 3-D Forward into the Past}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {88}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2443451}, doi = {10.1109/MDAT.2015.2443451}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson15b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson15c, author = {Scott Davidson}, title = {Chips That Do Things}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {112}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2485818}, doi = {10.1109/MDAT.2015.2485818}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson15c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GhallabEI15, author = {Yehya H. Ghallab and Hamdy Abd Elhamid and Yehea Ismail}, title = {Lab on a Chip Based on {CMOS} Technology: System Architectures, Microfluidic Packaging, and Challenges}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {20--31}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2491785}, doi = {10.1109/MDAT.2015.2491785}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GhallabEI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GhoshBB15, author = {Swaroop Ghosh and Abhishek Basak and Swarup Bhunia}, title = {How Secure Are Printed Circuit Boards Against Trojan Attacks?}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {7--16}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2347918}, doi = {10.1109/MDAT.2014.2347918}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GhoshBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HanLJZ15, author = {Yong Han and Boon Long Lau and Boo Yang Jung and Xiaowu Zhang}, title = {Heat Dissipation Capability of a Package-on-Package Embedded Wafer-Level Package}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {32--39}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2440414}, doi = {10.1109/MDAT.2015.2440414}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HanLJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HoB15, author = {Tsung{-}Yi Ho and Bhargab B. Bhattacharya}, title = {Guest Editors' Introduction: Microfluidics: Design and Test Solutions for Enabling Biochemistry on a Chip}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {6--7}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2492898}, doi = {10.1109/MDAT.2015.2492898}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HoB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangFMMK15, author = {Zhenqi Huang and Chuchu Fan and Alexandru Mereacre and Sayan Mitra and Marta Z. Kwiatkowska}, title = {Simulation-Based Verification of Cardiac Pacemakers With Guaranteed Coverage}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {27--34}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2448543}, doi = {10.1109/MDAT.2015.2448543}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuangFMMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangKXCM15, author = {Ke Huang and Nathan Kupp and Constantinos Xanthopoulos and John M. Carulli Jr. and Yiorgos Makris}, title = {Low-Cost Analog/RF {IC} Testing Through Combined Intra- and Inter-Die Correlation Models}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {53--60}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361721}, doi = {10.1109/MDAT.2014.2361721}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuangKXCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangYLJY15, author = {Xiwei Huang and Hao Yu and Xu Liu and Yu Jiang and Mei Yan}, title = {A Single-Frame Superresolution Algorithm for Lab-on-a-Chip Lensless Microfluidic Imaging}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {32--40}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2424418}, doi = {10.1109/MDAT.2015.2424418}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuangYLJY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov15, author = {Andr{\'{e}} Ivanov}, title = {Speeding Up Analog Integration and Test for Mixed-Signal SoCs}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {4--5}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2381351}, doi = {10.1109/MDAT.2014.2381351}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov15a, author = {Andr{\'{e}} Ivanov}, title = {A Look at Trojan Attack, Pruning, and Dependability}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {4--5}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2405591}, doi = {10.1109/MDAT.2015.2405591}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov15b, author = {Andr{\'{e}} Ivanov}, title = {A Look at Asynchronous Design and Photonic Network-on-a-Chip (PNoC)}, journal = {{IEEE} Des. Test}, volume = {32}, number = {3}, pages = {4}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2417799}, doi = {10.1109/MDAT.2015.2417799}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov15b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov15c, author = {Andr{\'{e}} Ivanov}, title = {Advances in 3-D Integrated Circuits, Systems, and {CAD} Tools}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {4--5}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2442339}, doi = {10.1109/MDAT.2015.2442339}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov15c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov15d, author = {Andr{\'{e}} Ivanov}, title = {Cyber-Physplical Systems for Medical Apications}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {4--5}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2470475}, doi = {10.1109/MDAT.2015.2470475}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov15d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov15e, author = {Andr{\'{e}} Ivanov}, title = {Microfluidics: Design and Test Solutions for Enabling Biochemistry on a Chip}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {4--5}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2494919}, doi = {10.1109/MDAT.2015.2494919}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov15e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KattiHYZDWCLVB15, author = {Guruprasad Katti and S. W. Ho and Li Hong Yu and Songbai Zhang and Rahul Dutta and Roshan Weerasekera and Ka{-}Fai Chang and Jong{-}Kai Lin and Srinivasa Rao Vempati and Surya Bhattacharya}, title = {Fabrication and Assembly of Cu-RDL-Based 2.5-D Low-Cost Through Silicon Interposer {(LC-TSI)}}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {23--31}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2424429}, doi = {10.1109/MDAT.2015.2424429}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KattiHYZDWCLVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KimL15, author = {Dae Hyun Kim and Sung Kyu Lim}, title = {Guest Editors' Introduction: Advances in 3-D Integrated Circuits, Systems, and {CAD} Tools}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {6--7}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2445532}, doi = {10.1109/MDAT.2015.2445532}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KimL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KimL15a, author = {Dae Hyun Kim and Sung Kyu Lim}, title = {Physical Design and {CAD} Tools for 3-D Integrated Circuits: Challenges and Opportunities}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {8--22}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2440317}, doi = {10.1109/MDAT.2015.2440317}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KimL15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KumarSK15, author = {Suren Kumar and Pankaj Singhal and Venkat N. Krovi}, title = {Computer-Vision-Based Decision Support in Surgical Robotics}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {89--97}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2465135}, doi = {10.1109/MDAT.2015.2465135}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KumarSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LarsonZBHJ15, author = {Brian R. Larson and Yi Zhang and Stephen C. Barrett and John Hatcliff and Paul L. Jones}, title = {Enabling Safe Interoperation by Medical Device Virtual Integration}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {74--88}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2464813}, doi = {10.1109/MDAT.2015.2464813}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LarsonZBHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Lee15, author = {Insup Lee}, title = {Medical Cyber-Physical Systems: The Early Years}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {119--120}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2468453}, doi = {10.1109/MDAT.2015.2468453}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Lee15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Li15, author = {Peng Li}, title = {The Art of Certifying Analog/Mixed-Signal Circuits}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {79--80}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2370852}, doi = {10.1109/MDAT.2014.2370852}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Li15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LimJMKH15, author = {ByongChan Lim and Ji{-}Eun Jang and James Mao and Jaeha Kim and Mark Horowitz}, title = {Digital Analog Design: Enabling Mixed-Signal System Validation}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {44--52}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361718}, doi = {10.1109/MDAT.2014.2361718}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LimJMKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/McDanielCBG15, author = {Jeffrey McDaniel and Brian Crites and Philip Brisk and William H. Grover}, title = {Flow-Layer Physical Design for Microchips Based on Monolithic Membrane Valves}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {51--59}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2459699}, doi = {10.1109/MDAT.2015.2459699}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/McDanielCBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MurrayTPHS15, author = {Jacob Murray and Nghia Tang and Partha Pratim Pande and Deukhyoun Heo and Behrooz A. Shirazi}, title = {{DVFS} Pruning for Wireless NoC Architectures}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {29--38}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2357397}, doi = {10.1109/MDAT.2014.2357397}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MurrayTPHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MurugesanRWH15, author = {Anitha Murugesan and Sanjai Rayadurgam and Michael W. Whalen and Mats Per Erik Heimdahl}, title = {Design Considerations for Modeling Modes in Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {66--73}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2462112}, doi = {10.1109/MDAT.2015.2462112}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MurugesanRWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NagataTI15, author = {Makoto Nagata and Satoshi Takaya and Hiroaki Ikeda}, title = {In-Place Signal and Power Noise Waveform Capturing Within 3-D Chip Stacking}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {87--98}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2448537}, doi = {10.1109/MDAT.2015.2448537}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NagataTI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NatarajanBSDC15, author = {Vishwanath Natarajan and Aritra Banerjee and Shreyas Sen and Shyam Kumar Devarakond and Abhijit Chatterjee}, title = {Yield Recovery of {RF} Transceiver Systems Using Iterative Tuning-Driven Power-Conscious Performance Optimization}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {61--69}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361716}, doi = {10.1109/MDAT.2014.2361716}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NatarajanBSDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NowickS15, author = {Steven M. Nowick and Montek Singh}, title = {Asynchronous Design - Part 1: Overview and Recent Advances}, journal = {{IEEE} Des. Test}, volume = {32}, number = {3}, pages = {5--18}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2413759}, doi = {10.1109/MDAT.2015.2413759}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NowickS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NowickS15a, author = {Steven M. Nowick and Montek Singh}, title = {Asynchronous Design - Part 2: Systems and Methodologies}, journal = {{IEEE} Des. Test}, volume = {32}, number = {3}, pages = {19--28}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2413757}, doi = {10.1109/MDAT.2015.2413757}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NowickS15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OttaviPGBMATPRB15, author = {Marco Ottavi and Salvatore Pontarelli and Dimitris Gizopoulos and Cristiana Bolchini and Maria K. Michael and Lorena Anghel and Mehdi Baradaran Tahoori and Antonis M. Paschalis and Pedro Reviriego and Oliver Bringmann and Viacheslav Izosimov and Hans A. R. Manhaeve and Christos Strydis and Said Hamdioui}, title = {Dependable Multicore Architectures at Nanoscale: The View From Europe}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {17--28}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2359572}, doi = {10.1109/MDAT.2014.2359572}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/OttaviPGBMATPRB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PapameletisKCHM15, author = {Christos Papameletis and Brion L. Keller and Vivek Chickermane and Said Hamdioui and Erik Jan Marinissen}, title = {A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {40--48}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2424422}, doi = {10.1109/MDAT.2015.2424422}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PapameletisKCHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ParekhjiBR15, author = {Rubin A. Parekhji and Kenneth Butler and Gordon W. Roberts}, title = {Guest Editors' Introduction: Speeding Up Analog Integration and Test for Mixed-Signal SoCs}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {6--8}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2370851}, doi = {10.1109/MDAT.2014.2370851}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ParekhjiBR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PopAC15, author = {Paul Pop and Ismail Emre Araci and Krishnendu Chakrabarty}, title = {Continuous-Flow Biochips: Technology, Physical-Design Methods, and Testing}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {8--19}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2438152}, doi = {10.1109/MDAT.2015.2438152}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PopAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RayC15, author = {Arnab Ray and Rance Cleaveland}, title = {Security Assurance Cases for Medical Cyber-Physical Systems}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {56--65}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2468222}, doi = {10.1109/MDAT.2015.2468222}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RayC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SilvaMWM15, author = {Margarida M. Silva and Alexander Medvedev and Torbj{\"{o}}rn Wigren and Teresa Mendon{\c{c}}a}, title = {Modeling the Effect of Intravenous Anesthetics: {A} Path Toward Individualization}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {17--26}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2452904}, doi = {10.1109/MDAT.2015.2452904}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SilvaMWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SudaniXC15, author = {Siva Kumar Sudani and Li Xu and Degang Chen}, title = {A Comparative Study of State-of-the-Art High-Performance Spectral Test Methods}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {26--35}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2348315}, doi = {10.1109/MDAT.2014.2348315}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SudaniXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ThakkarP15, author = {Ishan G. Thakkar and Sudeep Pasricha}, title = {3-D WiRED: {A} Novel {WIDE} {I/O} {DRAM} With Energy-Efficient 3-D Bank Organization}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {71--80}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2440411}, doi = {10.1109/MDAT.2015.2440411}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ThakkarP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides15, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {75--76}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2383931}, doi = {10.1109/MDAT.2014.2383931}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides15a, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {32}, number = {2}, pages = {49--50}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2393638}, doi = {10.1109/MDAT.2015.2393638}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides15b, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {32}, number = {3}, pages = {49--50}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2431511}, doi = {10.1109/MDAT.2015.2431511}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides15b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides15c, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {85--86}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2440571}, doi = {10.1109/MDAT.2015.2440571}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides15c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides15d, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {115--116}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2466151}, doi = {10.1109/MDAT.2015.2466151}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides15d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides15e, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {110--111}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2481240}, doi = {10.1109/MDAT.2015.2481240}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides15e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TsengLSH15, author = {Tsun{-}Ming Tseng and Bing Li and Ulf Schlichtmann and Tsung{-}Yi Ho}, title = {Storage and Caching: Synthesis of Flow-Based Microfluidic Biochips}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {69--75}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2492473}, doi = {10.1109/MDAT.2015.2492473}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TsengLSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WassyngSGPWLM15, author = {Alan Wassyng and Neeraj Kumar Singh and Mischa Geven and Nicholas Proscia and Hao Wang and Mark Lawford and Tom Maibaum}, title = {Can Product-Specific Assurance Case Templates Be Used as Medical Device Standards?}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {45--55}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2462720}, doi = {10.1109/MDAT.2015.2462720}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WassyngSGPWLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WeimerIRCL15, author = {James Weimer and Radoslav Ivanov and Alexander Roederer and Sanjian Chen and Insup Lee}, title = {Parameter-Invariant Design of Medical Alarms}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {9--16}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2451083}, doi = {10.1109/MDAT.2015.2451083}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WeimerIRCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WilleKDBK15, author = {Robert Wille and Oliver Kesz{\"{o}}cze and Rolf Drechsler and Tobias Boehnisch and Alexander Kroker}, title = {Scalable One-Pass Synthesis for Digital Microfluidic Biochips}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {41--50}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2455344}, doi = {10.1109/MDAT.2015.2455344}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WilleKDBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WolfSKX15, author = {Marilyn Wolf and Mihaela van der Schaar and Honggab Kim and Jie Xu}, title = {Caring Analytics for Adults With Special Needs}, journal = {{IEEE} Des. Test}, volume = {32}, number = {5}, pages = {35--44}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2441717}, doi = {10.1109/MDAT.2015.2441717}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WolfSKX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XuDWYYY15, author = {Dongjun Xu and Sai Manoj P. D. and Kanwen Wang and Hao Yu and Ningmei Yu and Mingbin Yu}, title = {A 2.5-D Memory-Logic Integration With Data-Pattern-Aware Memory Controller}, journal = {{IEEE} Des. Test}, volume = {32}, number = {4}, pages = {49--58}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2440413}, doi = {10.1109/MDAT.2015.2440413}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/XuDWYYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YaoWRCH15, author = {Hailong Yao and Qin Wang and Yizhong Ru and Yici Cai and Tsung{-}Yi Ho}, title = {Integrated Flow-Control Codesign Methodology for Flow-Based Microfluidic Biochips}, journal = {{IEEE} Des. Test}, volume = {32}, number = {6}, pages = {60--68}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2015.2449180}, doi = {10.1109/MDAT.2015.2449180}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YaoWRCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YilmazO15, author = {Ender Yilmaz and Sule Ozev}, title = {Adaptive-Learning-Based Importance Sampling for Analog Circuit {DPPM} Estimation}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {36--43}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361719}, doi = {10.1109/MDAT.2014.2361719}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YilmazO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AluruJ14, author = {Srinivas Aluru and Nagakishore Jammula}, title = {A Review of Hardware Acceleration for Computational Genomics}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {19--30}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2293757}, doi = {10.1109/MDAT.2013.2293757}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AluruJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BhagavatulaJL14, author = {Srikar Bhagavatula and Byunghoo Jung and Yung{-}Hsiang Lu}, title = {Real-Time Power Sensors for Intelligent Power Management and Beyond}, journal = {{IEEE} Des. Test}, volume = {31}, number = {4}, pages = {27--35}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2325534}, doi = {10.1109/MDAT.2014.2325534}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BhagavatulaJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChiLWWLP14, author = {Chun{-}Chuan Chi and Bing{-}Yang Lin and Cheng{-}Wen Wu and Min{-}Jer Wang and Hung{-}Chih Lin and Ching{-}Nen Peng}, title = {On Improving Interconnect Defect Diagnosis Resolution and Yield for Interposer-Based 3-D ICs}, journal = {{IEEE} Des. Test}, volume = {31}, number = {4}, pages = {16--26}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2304437}, doi = {10.1109/MDAT.2014.2304437}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChiLWWLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChongHRSW14, author = {Frederic T. Chong and Martijn J. R. Heck and Parthasarathy Ranganathan and A. A. M. Saleh and Hassan M. G. Wassel}, title = {Data Center Energy Efficiency: Improving Energy Efficiency in Data Centers Beyond Technology Scaling}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {93--104}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2294466}, doi = {10.1109/MDAT.2013.2294466}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChongHRSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChrysosSRPPDPKPTPL14, author = {Grigorios Chrysos and Euripides Sotiriades and Christos Rousopoulos and K. Pramataris and Ioannis Papaefstathiou and Apostolos Dollas and Agathoklis Papadopoulos and Ioannis Kirmitzoglou and Vasilis J. Promponas and Theo Theocharides and George Petihakis and Jacques Lagnel}, title = {Reconfiguring the Bioinformatics Computational Spectrum: Challenges and Opportunities of FPGA-Based Bioinformatics Acceleration Platforms}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {62--73}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2284191}, doi = {10.1109/MDAT.2013.2284191}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChrysosSRPPDPKPTPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson14, author = {Scott Davidson}, title = {50 Years of {DAC} Moments}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {71--72}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2317913}, doi = {10.1109/MDAT.2014.2317913}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson14a, author = {Scott Davidson}, title = {Hey, you, get onna my cloud [The Last Byte]}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {95--96}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2335275}, doi = {10.1109/MDAT.2014.2335275}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson14b, author = {Scott Davidson}, title = {A Truly Wireless Future?}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {56}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2364102}, doi = {10.1109/MDAT.2014.2364102}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DimitrovLY14, author = {Martin Dimitrov and Yung{-}Hsiang Lu and Chia{-}Lin Yang}, title = {Guest Editors' Introduction: Cloud Computing for Embedded Systems}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {6--7}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2329242}, doi = {10.1109/MDAT.2014.2329242}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DimitrovLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DuongNBXWWY14, author = {Luan H. K. Duong and Mahdi Nikdast and S{\'{e}}bastien Le Beux and Jiang Xu and Xiaowen Wu and Zhehui Wang and Peng Yang}, title = {A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip}, journal = {{IEEE} Des. Test}, volume = {31}, number = {5}, pages = {55--65}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2336211}, doi = {10.1109/MDAT.2014.2336211}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DuongNBXWWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Geus14, author = {Aart J. de Geus}, title = {The Greatest "Tech-Onomic Push-Pull" in Human History}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {9--12}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316206}, doi = {10.1109/MDAT.2014.2316206}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Geus14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GongYSH14, author = {Fang Gong and Hao Yu and Yiyu Shi and Lei He}, title = {Variability-Aware Parametric Yield Estimation for Analog/Mixed-Signal Circuits: Concepts, Algorithms, and Challenges}, journal = {{IEEE} Des. Test}, volume = {31}, number = {4}, pages = {6--15}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2299279}, doi = {10.1109/MDAT.2014.2299279}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GongYSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GoswamiLSL14, author = {Nilanjan Goswami and Zhongqi Li and Ramkumar Shankar and Tao Li}, title = {Exploring Silicon Nanophotonics in Throughput Architecture}, journal = {{IEEE} Des. Test}, volume = {31}, number = {5}, pages = {18--27}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2348312}, doi = {10.1109/MDAT.2014.2348312}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GoswamiLSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HeoK14, author = {Deukhyoun Heo and Jonghae Kim}, title = {Guest Editors' Introduction: Design and Testing of Millimeter-Wave/Subterahertz Circuits and Systems}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {6--7}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2345020}, doi = {10.1109/MDAT.2014.2345020}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HeoK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangH14, author = {Shi{-}Yu Huang and Li{-}Ren Huang}, title = {PLL-Assisted Timing Circuit for Accurate {TSV} Leakage Binning}, journal = {{IEEE} Des. Test}, volume = {31}, number = {4}, pages = {36--42}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2335152}, doi = {10.1109/MDAT.2014.2335152}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuangH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuoCC14, author = {Chengjia Huo and Ting{-}Chou Chien and Pai H. Chou}, title = {Middleware for IoT-Cloud Integration Across Application Domains}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {21--31}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2314602}, doi = {10.1109/MDAT.2014.2314602}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuoCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov14, author = {Andr{\'{e}} Ivanov}, title = {Revisiting DAC's 50th Anniversary}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {4--5}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2321333}, doi = {10.1109/MDAT.2014.2321333}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov14a, author = {Andr{\'{e}} Ivanov}, title = {The Internet of Things}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {4--5}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2335314}, doi = {10.1109/MDAT.2014.2335314}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Ivanov14b, author = {Andr{\'{e}} Ivanov}, title = {Design and Testing of Millimeter-Wave/Subterahertz Circuits and Systems}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {4--5}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2364871}, doi = {10.1109/MDAT.2014.2364871}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Ivanov14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JenihhinTTRHUBEW14, author = {Maksim Jenihhin and Anton Tsepurov and Valentin Tihhomirov and Jaan Raik and Hanno Hantson and Raimund Ubar and Gunter Bartsch and Jorge Hern{\'{a}}n Meza Escobar and Heinz{-}Dietrich Wuttke}, title = {Automated Design Error Localization in {RTL} Designs}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {83--92}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2271420}, doi = {10.1109/MDAT.2013.2271420}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JenihhinTTRHUBEW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Joyner14, author = {William H. Joyner}, title = {The Design Automation Conference and the Early Days of {EDA}}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {28--31}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2312091}, doi = {10.1109/MDAT.2014.2312091}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Joyner14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JungPC14, author = {YoungHoon Jung and Michele Petracca and Luca P. Carloni}, title = {Cloud-Aided Design for Distributed Embedded Systems}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {32--40}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2320521}, doi = {10.1109/MDAT.2014.2320521}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JungPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kandlikar14, author = {Satish G. Kandlikar}, title = {Design Considerations for Cooling High Heat Flux {IC} Chips With Microchannels}, journal = {{IEEE} Des. Test}, volume = {31}, number = {4}, pages = {43--50}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2299535}, doi = {10.1109/MDAT.2014.2299535}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Kandlikar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KazemipourSKS14, author = {Alireza Kazemipour and Mohammed Salhi and Thomas Kleine{-}Ostmann and Thorsten Schrader}, title = {A Simple New Method to Calibrate Millimeter-Wave Mixers}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {46--51}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2355419}, doi = {10.1109/MDAT.2014.2355419}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KazemipourSKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kranen14, author = {Kathryn Kranen}, title = {The Problem With {EDA} is ... {DAC} 50th Anniversary - Visionary Talk}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {13--15}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316551}, doi = {10.1109/MDAT.2014.2316551}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Kranen14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14, author = {Edward A. Lee and Bj{\"{o}}rn Hartmann and John Kubiatowicz and Tajana Simunic Rosing and John Wawrzynek and David Wessel and Jan M. Rabaey and Kris Pister and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and David T. Blaauw and Prabal Dutta and Kevin Fu and Carlos Guestrin and Ben Taskar and Roozbeh Jafari and Douglas L. Jones and Vijay Kumar and Rahul Mangharam and George J. Pappas and Richard M. Murray and Anthony Rowe}, title = {The Swarm at the Edge of the Cloud}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {8--20}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2314600}, doi = {10.1109/MDAT.2014.2314600}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiCFBWP14, author = {Cheng Li and Chin{-}Hui Chen and Marco Fiorentino and Raymond G. Beausoleil and Binhao Wang and Samuel Palermo}, title = {An Energy-Efficient Silicon Microring Resonator-Based Photonic Transmitter}, journal = {{IEEE} Des. Test}, volume = {31}, number = {5}, pages = {46--54}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2347932}, doi = {10.1109/MDAT.2014.2347932}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiCFBWP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LinWPKC14, author = {Xue Lin and Yanzhi Wang and Massoud Pedram and Jaemin Kim and Naehyuck Chang}, title = {Designing Fault-Tolerant Photovoltaic Systems}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {76--84}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2288252}, doi = {10.1109/MDAT.2013.2288252}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LinWPKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LinderESO14, author = {Michael Linder and Alfred Eder and Ulf Schlichtmann and Klaus Oberl{\"{a}}nder}, title = {An Analysis of Industrial {SRAM} Test Results - {A} Comprehensive Study on Effectiveness and Classification of March Test Algorithms}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {42--53}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2279752}, doi = {10.1109/MDAT.2013.2279752}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LinderESO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Liu0FHY0C14, author = {Wulong Liu and Yu Wang and Xue Feng and Yidong Huang and Huazhong Yang and Yuan Xie and Guoqing Chen}, title = {Exploration of Electrical and Novel Optical Chip-to-Chip Interconnects}, journal = {{IEEE} Des. Test}, volume = {31}, number = {5}, pages = {28--35}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2336217}, doi = {10.1109/MDAT.2014.2336217}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Liu0FHY0C14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuS14, author = {Yongchao Liu and Bertil Schmidt}, title = {{CUSHAW2-GPU:} Empowering Faster Gapped Short-Read Alignment Using {GPU} Computing}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {31--39}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2284198}, doi = {10.1109/MDAT.2013.2284198}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiuS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Lowe14, author = {Gregg Lowe}, title = {Driving the Internet of Things}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {22--27}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316207}, doi = {10.1109/MDAT.2014.2316207}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Lowe14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LuLJ14, author = {Julia Hsin{-}Lin Lu and Wing{-}Fai Loke and Byunghoo Jung}, title = {Millimeter-Wave Wireless Interconnect for 3-D {SIC} Testing}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {29--37}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2343191}, doi = {10.1109/MDAT.2014.2343191}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LuLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MajumderPK14, author = {Turbo Majumder and Partha Pratim Pande and Ananth Kalyanaraman}, title = {Hardware Accelerators in Computational Biology: Application, Potential, and Challenges}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {8--18}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2290118}, doi = {10.1109/MDAT.2013.2290118}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MajumderPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MajumderPK14a, author = {Turbo Majumder and Partha Pratim Pande and Ananth Kalyanaraman}, title = {Wireless NoC Platforms With Dynamic Task Allocation for Maximum Likelihood Phylogeny Reconstruction}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {54--64}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2288778}, doi = {10.1109/MDAT.2013.2288778}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MajumderPK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PlouchartWLPSBSVF14, author = {Jean{-}Olivier Plouchart and Fa Wang and Xin Li and Benjamin D. Parker and Mihai A. T. Sanduleanu and Andreea Balteanu and Bodhisatwa Sadhu and Alberto Valdes{-}Garcia and Daniel J. Friedman}, title = {Adaptive Circuit Design Methodology and Test Applied to Millimeter-Wave Circuits}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {8--18}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2343192}, doi = {10.1109/MDAT.2014.2343192}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PlouchartWLPSBSVF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Rhines14, author = {Wally Rhines}, title = {{DAC} 50th Anniversary Keynote}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {19--21}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316208}, doi = {10.1109/MDAT.2014.2316208}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Rhines14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Rutenbar14, author = {Rob A. Rutenbar}, title = {{DAC} at 50: The Second 25 Years}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {32--39}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2312093}, doi = {10.1109/MDAT.2014.2312093}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Rutenbar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-VincentelliS14, author = {Alberto L. Sangiovanni{-}Vincentelli and Donatella Sciuto}, title = {Looking into the Crystal Ball: From Transistors to the Smart Earth}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {47--55}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316209}, doi = {10.1109/MDAT.2014.2316209}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-VincentelliS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SavranGB14, author = {I. Savran and Yang Gao and Jason D. Bakos}, title = {Large-Scale Pairwise Sequence Alignments on a Large-Scale {GPU} Cluster}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {51--61}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2290116}, doi = {10.1109/MDAT.2013.2290116}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SavranGB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SchlachterHOLPT14, author = {Samuel Schlachter and Stephen Herbein and Shuching Ou and Jeremy S. Logan and Sandeep Patel and Michela Taufer}, title = {Pursuing Coordinated Trajectory Progression and Efficient Resource Utilization of GPU-Enabled Molecular Dynamics Simulations}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {40--50}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2284203}, doi = {10.1109/MDAT.2013.2284203}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SchlachterHOLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Stok14, author = {Leon Stok}, title = {The Next 25 Years in {EDA:} {A} Cloudy Future?}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {40--46}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2313451}, doi = {10.1109/MDAT.2014.2313451}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Stok14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Tan14, author = {Lip{-}Bu Tan}, title = {{DAC2013} Visionary Talk}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {16--18}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2316030}, doi = {10.1109/MDAT.2014.2316030}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Tan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides14, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {62--63}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2308364}, doi = {10.1109/MDAT.2014.2308364}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides14a, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {91--92}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2319153}, doi = {10.1109/MDAT.2014.2319153}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides14b, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {54--55}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2364411}, doi = {10.1109/MDAT.2014.2364411}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Theocharides14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Woo14, author = {Namsung Woo}, title = {Opportunities and Challenges for Smarter Mobile Devices}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {56--60}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2315955}, doi = {10.1109/MDAT.2014.2315955}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Woo14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XuP14, author = {Yi Xu and Sudeep Pasricha}, title = {Silicon Nanophotonics for Future Multicore Architectures: Opportunities and Challenges}, journal = {{IEEE} Des. Test}, volume = {31}, number = {5}, pages = {9--17}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2332153}, doi = {10.1109/MDAT.2014.2332153}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/XuP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YeCZG14, author = {Fangming Ye and Krishnendu Chakrabarty and Zhaobo Zhang and Xinli Gu}, title = {Information-Theoretic Framework for Evaluating and Guiding Board-Level Functional-Fault Diagnosis}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {65--75}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2313080}, doi = {10.1109/MDAT.2014.2313080}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YeCZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YehCCW14, author = {Han{-}Chih Yeh and Chau{-}Ching Chiong and Ming{-}Tang Chen and Huei Wang}, title = {Review of Millimeter-Wave {MMIC} Mixers}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {38--45}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2360451}, doi = {10.1109/MDAT.2014.2360451}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YehCCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YilmazNO14, author = {Ender Yilmaz and Afsaneh Nassery and Sule Ozev}, title = {Built-In {EVM} Measurement With Negligible Hardware Overhead}, journal = {{IEEE} Des. Test}, volume = {31}, number = {1}, pages = {75--82}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2013.2265164}, doi = {10.1109/MDAT.2013.2265164}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YilmazNO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YuBWHPM14, author = {Xinmin Yu and Joe Baylon and Paul Wettin and Deuk Hyoun Heo and Partha Pratim Pande and Shahriar Mirabbasi}, title = {Architecture and Design of Multichannel Millimeter-Wave Wireless NoC}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {19--28}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2322995}, doi = {10.1109/MDAT.2014.2322995}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YuBWHPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhangWZLMPZC14, author = {Wenjia Zhang and Bing Wang and Zhaomin Zhu and Kenneth Eng{-}Kian Lee and J{\"{u}}rgen Michel and Li{-}Shiuan Peh and Li Zhang and Soo{-}Jin Chua}, title = {Ultralow-Power LED-Enabled On-Chip Optical Communication Designed in the III-Nitride and Silicon {CMOS} Process Integrated Platform}, journal = {{IEEE} Des. Test}, volume = {31}, number = {5}, pages = {36--45}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2346735}, doi = {10.1109/MDAT.2014.2346735}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ZhangWZLMPZC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZorianH14, author = {Yervant Zorian and Soha Hassoun}, title = {Guest Editors' Introduction: Highlights of the 50th {DAC}}, journal = {{IEEE} Des. Test}, volume = {31}, number = {2}, pages = {6--8}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2323674}, doi = {10.1109/MDAT.2014.2323674}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ZorianH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AarestadOAP13, author = {Jim Aarestad and Philip Ortiz and Dhruva Acharyya and Jim Plusquellic}, title = {{HELP:} {A} Hardware-Embedded Delay {PUF}}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {17--25}, year = {2013}, url = {https://doi.org/10.1109/MDT.2013.2247459}, doi = {10.1109/MDT.2013.2247459}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AarestadOAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AliMM13, author = {Sk Subidh Ali and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {A Fault Analysis Perspective for Testing of Secured SoC Cores}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {63--73}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2252951}, doi = {10.1109/MDAT.2013.2252951}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AliMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BhuniaAABHPT13, author = {Swarup Bhunia and Miron Abramovici and Dakshi Agrawal and Paul Bradley and Michael S. Hsiao and Jim Plusquellic and Mohammad Tehranipoor}, title = {Protection Against Hardware Trojan Attacks: Towards a Comprehensive Solution}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {6--17}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2196252}, doi = {10.1109/MDT.2012.2196252}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BhuniaAABHPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BowmanTTKD13, author = {Keith A. Bowman and Carlos Tokunaga and James W. Tschanz and Tanay Karnik and Vivek K. De}, title = {Adaptive and Resilient Circuits for Dynamic Variation Tolerance}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {8--17}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2267958}, doi = {10.1109/MDAT.2013.2267958}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BowmanTTKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChakrabortySPN13, author = {Rajat Subhra Chakraborty and Indrasish Saha and Ayan Palchaudhuri and Gowtham Kumar Naik}, title = {Hardware Trojan Insertion by Direct Modification of {FPGA} Configuration Bitstream}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {45--54}, year = {2013}, url = {https://doi.org/10.1109/MDT.2013.2247460}, doi = {10.1109/MDT.2013.2247460}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChakrabortySPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chen0YXC13, author = {Xiaoming Chen and Yu Wang and Huazhong Yang and Yuan Xie and Yu Cao}, title = {Assessment of Circuit Optimization Techniques Under {NBTI}}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {40--49}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2266651}, doi = {10.1109/MDAT.2013.2266651}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Chen0YXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenHCD13, author = {Weiwei Chen and Xu Han and Che{-}Wei Chang and Rainer D{\"{o}}mer}, title = {Advances in Parallel Discrete Event Simulation for Electronic System-Level Design}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {45--54}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2226015}, doi = {10.1109/MDT.2012.2226015}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChenHCD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CroixKG13, author = {John F. Croix and Sunil P. Khatri and Kanupriya Gulati}, title = {Using GPUs to Accelerate {CAD} Algorithms}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {8--16}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2250053}, doi = {10.1109/MDAT.2013.2250053}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CroixKG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CrouchPKD13, author = {Alfred L. Crouch and John C. Potter and Ajay Khoche and Jennifer Dworak}, title = {FPGA-Based Embedded Tester with a {P1687} Command, Control, and Observe-System}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {6--14}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2278531}, doi = {10.1109/MDAT.2013.2278531}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CrouchPKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CzyszMMRT13, author = {Dariusz Czysz and Grzegorz Mrugalski and Nilanjan Mukherjee and Janusz Rajski and Jerzy Tyszer}, title = {On Deploying Scan Chains for Data Storage in Test Compression Environment}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {68--76}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2184072}, doi = {10.1109/MDT.2012.2184072}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/CzyszMMRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson13, author = {Scott Davidson}, title = {Planned Unobsolescence}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {104}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2291168}, doi = {10.1109/MDAT.2013.2291168}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DavoodiLT13, author = {Azadeh Davoodi and Min Li and Mohammad Tehranipoor}, title = {A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {74--82}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2255913}, doi = {10.1109/MDAT.2013.2255913}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DavoodiLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DehbashiF13, author = {Mehdi Dehbashi and G{\"{o}}rschwin Fey}, title = {Debug Automation for Logic Circuits Under Timing Variations}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {60--69}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2266393}, doi = {10.1109/MDAT.2013.2266393}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DehbashiF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuptaR13, author = {Sumeet Kumar Gupta and Kaushik Roy}, title = {Device-Circuit Co-Optimization for Robust Design of FinFET-Based SRAMs}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {29--39}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2266394}, doi = {10.1109/MDAT.2013.2266394}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GuptaR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HirschF13, author = {Steven Hirsch and Ulrich Finkler}, title = {To Thread or Not to Thread}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {17--25}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2226012}, doi = {10.1109/MDT.2012.2226012}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HirschF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangW13, author = {Hsuan{-}Ming Huang and Charles H.{-}P. Wen}, title = {Fast-Yet-Accurate Statistical Soft-Error-Rate Analysis Considering Full-Spectrum Charge Collection}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {77--86}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2194471}, doi = {10.1109/MDT.2012.2194471}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuangW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HungQW13, author = {Eddie Hung and Bradley R. Quinton and Steven J. E. Wilton}, title = {Linking the Verification and Validation of Complex Integrated Circuits Through Shared Coverage Metrics}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {8--15}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2272693}, doi = {10.1109/MDAT.2013.2272693}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HungQW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JutmanDS13, author = {Artur Jutman and Sergei Devadze and Konstantin Shibin}, title = {Effective Scalable {IEEE} 1687 Instrumentation Network for Fault Management}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {26--35}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2278535}, doi = {10.1109/MDAT.2013.2278535}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JutmanDS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Keim13, author = {Martin Keim}, title = {Thinking About Adopting {IEEE} P1687?}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {36--43}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2278542}, doi = {10.1109/MDAT.2013.2278542}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Keim13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KookBC13, author = {Sehun Kook and Aritra Banerjee and Abhijit Chatterjee}, title = {Dynamic Specification Testing and Diagnosis of High-Precision Sigma-Delta ADCs}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {36--48}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2217111}, doi = {10.1109/MDT.2012.2217111}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KookBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrishnanK13, author = {Shaji Krishnan and Hans G. Kerkhoff}, title = {Exploiting Multiple Mahalanobis Distance Metrics to Screen Outliers From Analog Product Manufacturing Test Responses}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {18--24}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2206552}, doi = {10.1109/MDT.2012.2206552}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KrishnanK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LarabaSMNB13, author = {Asma Laraba and Haralampos{-}G. D. Stratigopoulos and Salvador Mir and Herv{\'{e}} Naudet and Gerard Bret}, title = {Reduced-Code Linearity Testing of Pipeline ADCs}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {80--88}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2267957}, doi = {10.1109/MDAT.2013.2267957}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LarabaSMNB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LatouiD13, author = {Abdelhakim Latoui and Farid Djahli}, title = {An Optical {BILBO} for Online Testing of Embedded Systems}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {34--48}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2204398}, doi = {10.1109/MDT.2012.2204398}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LatouiD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiebmannT13, author = {Lars Liebmann and J. Andres Torres}, title = {A Designer's Guide to Subresolution Lithography: Enabling the Impossible to Get to the 14-nm Node [Tutorial]}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {70--92}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2255911}, doi = {10.1109/MDAT.2013.2255911}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiebmannT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuZLZ13, author = {Zhenglin Liu and Qingchun Zhu and Dongfang Li and Xuecheng Zou}, title = {Off-Chip Memory Encryption and Integrity Protection Based on {AES-GCM} in Embedded Systems}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {54--62}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2255912}, doi = {10.1109/MDAT.2013.2255912}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiuZLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Madden13, author = {Patrick H. Madden}, title = {Dispelling the Myths of Parallel Computing}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {58--64}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2230391}, doi = {10.1109/MDT.2012.2230391}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Madden13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MalikHMDSE13, author = {Shobhit Malik and Thomas Herrmann and Sriram Madhavan and Rao Desineni and Chris Schuermyer and Geir Eide}, title = {Deriving Feature Fail Rate from Silicon Volume Diagnostics Data}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {26--34}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2273791}, doi = {10.1109/MDAT.2013.2273791}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MalikHMDSE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MargulisARR13, author = {Arie Margulis and David Akselrod and Eric Rentschler and Mike Ricchetti}, title = {Evolution of Graphics Northbridge Test and Debug Architectures Across Four Generations of {AMD} ASICs}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {16--25}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2274651}, doi = {10.1109/MDAT.2013.2274651}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MargulisARR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/McLaurin13, author = {Teresa L. McLaurin}, title = {Creating Structural Patterns for At-Speed Testing: {A} Case Study}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {66--76}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2200029}, doi = {10.1109/MDT.2012.2200029}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/McLaurin13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MirzaeiTAN13, author = {Mohammad Mirzaei and Mahmoud Tabandeh and Bijan Alizadeh and Zainalabedin Navabi}, title = {A New Approach for Automatic Test Pattern Generation in Register Transfer Level Circuits}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {49--59}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2217471}, doi = {10.1109/MDT.2012.2217471}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MirzaeiTAN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ObergSK13, author = {Jason Oberg and Timothy Sherwood and Ryan Kastner}, title = {Eliminating Timing Information Flows in a Mix-Trusted System-on-Chip}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {55--62}, year = {2013}, url = {https://doi.org/10.1109/MDT.2013.2247457}, doi = {10.1109/MDT.2013.2247457}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ObergSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OoiSKCSDC13, author = {Melanie Po{-}Leen Ooi and Hong Kuan Sok and Ye Chow Kuang and Huiyuan Cheng and Eric Kwang Joo Sim and Serge N. Demidenko and Chris W. K. Chan}, title = {Identifying Systematic Failures on Semiconductor Wafers Using {ADCAS}}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {44--53}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2253151}, doi = {10.1109/MDAT.2013.2253151}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/OoiSKCSDC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PortolanTG13, author = {Michele Portolan and Bradford G. Van Treuren and Suresh Goyal}, title = {Executing {IJTAG:} Are Vectors Enough?}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {15--25}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2278541}, doi = {10.1109/MDAT.2013.2278541}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PortolanTG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RajendranKZAOK13, author = {Jeyavijayan Rajendran and Arun K. Kanuparthi and Mohamed Zahran and Sateesh Addepalli and Gaston Ormazabal and Ramesh Karri}, title = {Securing Processors Against Insider Attacks: {A} Circuit-Microarchitecture Co-Design Approach}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {35--44}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2249554}, doi = {10.1109/MDAT.2013.2249554}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RajendranKZAOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RanaNBSAS13, author = {Vincenzo Rana and Alessandro Antonio Nacci and Ivan Beretta and Marco D. Santambrogio and David Atienza and Donatella Sciuto}, title = {Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {71--80}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2223191}, doi = {10.1109/MDT.2012.2223191}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/RanaNBSAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ReviriegoBM13, author = {Pedro Reviriego and Chris J. Bleakley and Juan Antonio Maestro}, title = {Diverse Double Modular Redundancy: {A} New Direction for Soft-Error Detection and Correction}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {87--95}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2232964}, doi = {10.1109/MDT.2012.2232964}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ReviriegoBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SaeedS13, author = {Samah Mohamed Saeed and Ozgur Sinanoglu}, title = {Expedited-compact architecture for average scan power reduction}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {25--33}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2213793}, doi = {10.1109/MDT.2012.2213793}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SaeedS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SauerCSHPB13, author = {Matthias Sauer and Alexander Czutro and Tobias Schubert and Stefan Hillebrecht and Ilia Polian and Bernd Becker}, title = {SAT-Based Analysis of Sensitizable Paths}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {81--88}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2230297}, doi = {10.1109/MDT.2012.2230297}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SauerCSHPB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SayilYW13, author = {Selahattin Sayil and Sumanth R. Yeddula and Juyu Wang}, title = {Single-Event Coupling Soft Errors in Nanoscale {CMOS} Circuits}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {89--97}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2261432}, doi = {10.1109/MDAT.2013.2261432}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/SayilYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShengSOLA13, author = {Weihua Sheng and Stefan Sch{\"{u}}rmans and Maximilian Odendahl and Rainer Leupers and Gerd Ascheid}, title = {Automatic Calibration of Streaming Applications for Software Mapping Exploration}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {49--58}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2204852}, doi = {10.1109/MDT.2012.2204852}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ShengSOLA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Stok13, author = {Leon Stok}, title = {Developing Parallel {EDA} Tools [The Last Byte]}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {65--66}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2012.2237140}, doi = {10.1109/MDAT.2012.2237140}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Stok13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StottGLWC13, author = {Edward A. Stott and Zhenyu Guan and Joshua M. Levine and Justin S. J. Wong and Peter Y. K. Cheung}, title = {Variation and Reliability in FPGAs}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {50--59}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2266652}, doi = {10.1109/MDAT.2013.2266652}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/StottGLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/VillacortaHCSG13, author = {Hector Villacorta and Charles F. Hawkins and V{\'{\i}}ctor H. Champac and Jaume Segura and Roberto G{\'{o}}mez}, title = {Reliability Analysis of Small-Delay Defects Due to Via Narrowing in Signal Paths}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {70--79}, year = {2013}, url = {https://doi.org/10.1109/MDT.2013.2238578}, doi = {10.1109/MDT.2013.2238578}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/VillacortaHCSG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WaksmanSE13, author = {Adam Waksman and Simha Sethumadhavan and Julianna Eum}, title = {Practical, Lightweight Secure Inclusion of Third-Party Intellectual Property}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {8--16}, year = {2013}, url = {https://doi.org/10.1109/MDT.2013.2247458}, doi = {10.1109/MDT.2013.2247458}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WaksmanSE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WangCKBMA13, author = {Xingsheng Wang and Binjie Cheng and Jente B. Kuang and Andrew R. Brown and Campbell Millar and Asen Asenov}, title = {Statistical Variability and Reliability and the Impact on Corresponding 6T-SRAM Cell Design for a 14-nm Node {SOI} FinFET Technology}, journal = {{IEEE} Des. Test}, volume = {30}, number = {6}, pages = {18--28}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2266395}, doi = {10.1109/MDAT.2013.2266395}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WangCKBMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WedgeDS13, author = {Scott W. Wedge and Robert Daniels and Harald von Sosen}, title = {Multicore Algorithms for Transient-Noise Simulation}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {36--44}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2226423}, doi = {10.1109/MDT.2012.2226423}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WedgeDS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WolfHK13, author = {Susann Wolf and Andy Heinig and Uwe Kn{\"{o}}chel}, title = {XML-Based Hierarchical Description of 3D Systems and {SIP}}, journal = {{IEEE} Des. Test}, volume = {30}, number = {3}, pages = {59--69}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2215302}, doi = {10.1109/MDT.2012.2215302}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WolfHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WuGKHY13, author = {Wei Wu and Fang Gong and Rahul Krishnan and Lei He and Hao Yu}, title = {Exploiting Parallelism by Data Dependency Elimination: {A} Case Study of Circuit Simulation Algorithms}, journal = {{IEEE} Des. Test}, volume = {30}, number = {1}, pages = {26--35}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2226201}, doi = {10.1109/MDT.2012.2226201}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WuGKHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/XiaoZT13, author = {Kan Xiao and Xuehui Zhang and Mohammad Tehranipoor}, title = {A Clock Sweeping Technique for Detecting Hardware Trojans Impacting Circuits Delay}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {26--34}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2249555}, doi = {10.1109/MDAT.2013.2249555}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/XiaoZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YamatoMKWWK13, author = {Yuta Yamato and Kohei Miyase and Seiji Kajihara and Xiaoqing Wen and Laung{-}Terng Wang and Michael A. Kochte}, title = {{LCTI-SS:} Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {60--70}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2221152}, doi = {10.1109/MDT.2012.2221152}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YamatoMKWWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AgarwalR12, author = {Yuvraj Agarwal and Anand Raghunathan}, title = {Guest Editors' Introduction: Green Buildings}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {5--7}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2202574}, doi = {10.1109/MDT.2012.2202574}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AgarwalR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Aitken12, author = {Rob Aitken}, title = {Yield Learning Perspectives}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {59--62}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2179350}, doi = {10.1109/MDT.2011.2179350}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Aitken12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Bardhan12, author = {Jaydeep P. Bardhan}, title = {Fast Solvers for Molecular Science and Engineering}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {40--48}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2192494}, doi = {10.1109/MDT.2012.2192494}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Bardhan12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BenwareSSH12, author = {Brady Benware and Chris Schuermyer and Manish Sharma and Thomas Herrmann}, title = {Determining a Failure Root Cause Distribution From a Population of Layout-Aware Scan Diagnosis Results}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {8--18}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2178386}, doi = {10.1109/MDT.2011.2178386}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BenwareSSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BiswasC12, author = {Sounil Biswas and Bruce Cory}, title = {An Industrial Study of System-Level Test}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {19--27}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2178387}, doi = {10.1109/MDT.2011.2178387}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BiswasC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BlantonTYNP12, author = {R. D. (Shawn) Blanton and Wing Chiu Tam and Xiaochun Yu and Jeffrey E. Nelson and Osei Poku}, title = {Yield Learning Through Physically Aware Diagnosis of IC-Failure Populations}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {36--47}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2178587}, doi = {10.1109/MDT.2011.2178587}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BlantonTYNP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BustanKSY12, author = {Doron Bustan and Dmitry Korchemny and Erik Seligman and Jin Yang}, title = {SystemVerilog Assertions: Past, Present, and Future {SVA} Standardization Experience}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {23--31}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2183336}, doi = {10.1109/MDT.2012.2183336}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BustanKSY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BuurmaSVR12, author = {Jake Buurma and Robert Sayah and Fred Valente and Cathy Rodgers}, title = {OpenDFM Bridging the Gap Between {DRC} and {DFM}}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {84--90}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2210380}, doi = {10.1109/MDT.2012.2210380}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BuurmaSVR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CarverMSSUW12, author = {Susan Carver and Anmol Mathur and Lalit Sharma and Prasad Subbarao and Steve Urish and Qi Wang}, title = {Low-Power Design Using the Si2 Common Power Format}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {62--70}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2183574}, doi = {10.1109/MDT.2012.2183574}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CarverMSSUW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakrabarty12, author = {Krishnendu Chakrabarty}, title = {The Quest for High-Yield {IC} Manufacturing}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {4}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2180957}, doi = {10.1109/MDT.2011.2180957}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakrabarty12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakrabarty12a, author = {Krishnendu Chakrabarty}, title = {Standards, Interoperability, and Innovation in a Disaggregated {IC} Industry}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {4}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2190347}, doi = {10.1109/MDT.2012.2190347}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakrabarty12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakrabarty12b, author = {Krishnendu Chakrabarty}, title = {Looking ahead at the role of electronic design automation in synthetic biology [From the {EIC]}}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {4}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2199612}, doi = {10.1109/MDT.2012.2199612}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakrabarty12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakrabarty12c, author = {Krishnendu Chakrabarty}, title = {Electronic Design Methods and Technologies for Green Buildings}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {4}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2209542}, doi = {10.1109/MDT.2012.2209542}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakrabarty12c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Chakrabarty12d, author = {Krishnendu Chakrabarty}, title = {Towards more digital content in wireless systems [From the EiC]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {6}, pages = {4}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2228601}, doi = {10.1109/MDT.2012.2228601}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Chakrabarty12d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChampacHBGHS12, author = {V{\'{\i}}ctor H. Champac and Julio Vazquez Hernandez and Salvador Barcel{\'{o}} and Roberto G{\'{o}}mez and Chuck Hawkins and Jaume Segura}, title = {Testing of Stuck-Open Faults in Nanometer Technologies}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {80--91}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2205609}, doi = {10.1109/MDT.2012.2205609}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChampacHBGHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChatterjeeKO12, author = {Satrajit Chatterjee and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {xMAS: Quick Formal Modeling of Communication Fabrics to Enable Verification}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {80--88}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2205998}, doi = {10.1109/MDT.2012.2205998}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChatterjeeKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenRKSC12, author = {Min Chen and Vijay Reddy and Srikanth Krishnan and Venkatesh Srinivasan and Yu Cao}, title = {Asymmetric Aging and Workload Sensitive Bias Temperature Instability Sensors}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {18--26}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2210381}, doi = {10.1109/MDT.2012.2210381}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenRKSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChienTHC12, author = {Charles Chien and Adrian Tang and Frank Hsiao and Mau{-}Chung Frank Chang}, title = {Dual-Control Self-Healing Architecture for High-Performance Radio SoCs}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {40--51}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2213571}, doi = {10.1109/MDT.2012.2213571}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChienTHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson12, author = {Scott Davidson}, title = {Yield of Black Swans}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {80}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2180596}, doi = {10.1109/MDT.2011.2180596}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson12a, author = {Scott Davidson}, title = {A World Without Standards}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {112}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2187230}, doi = {10.1109/MDT.2012.2187230}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson12b, author = {Scott Davidson}, title = {At the beginning}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {51}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2194611}, doi = {10.1109/MDT.2012.2194611}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Davidson12c, author = {Scott Davidson}, title = {Energy Efficiency Like Your Momma Used to Make}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {61}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2202529}, doi = {10.1109/MDT.2012.2202529}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Davidson12c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Dawson-HaggertyOTCK12, author = {Stephen Dawson{-}Haggerty and Jorge Ortiz and Jason Trager and David E. Culler and Randy H. Katz}, title = {Energy Savings and the "Software-Defined" Building}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {56--57}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2202566}, doi = {10.1109/MDT.2012.2202566}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Dawson-HaggertyOTCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DensmoreH12, author = {Douglas Densmore and Soha Hassoun}, title = {Guest Editors' Introduction: Synthetic Biology}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {5--6}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2194609}, doi = {10.1109/MDT.2012.2194609}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DensmoreH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DensmoreH12a, author = {Douglas Densmore and Soha Hassoun}, title = {Design Automation for Synthetic Biological Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {7--20}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2193370}, doi = {10.1109/MDT.2012.2193370}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DensmoreH12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DevarakondSBC12, author = {Shyam Kumar Devarakond and Shreyas Sen and Soumendu Bhattacharya and Abhijit Chatterjee}, title = {Concurrent Device/Specification Cause-Effect Monitoring for Yield Diagnosis Using Alternate Diagnostic Signatures}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {48--58}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2179348}, doi = {10.1109/MDT.2011.2179348}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DevarakondSBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DhanwadaHFDD12, author = {Nagu R. Dhanwada and David J. Hathaway and Jerry Frenkil and W. Rhett Davis and Harun Demircioglu}, title = {Leakage Power Contributor Modeling}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {71--78}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2183573}, doi = {10.1109/MDT.2012.2183573}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DhanwadaHFDD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/EggersglussD12, author = {Stephan Eggersgl{\"{u}}{\ss} and Rolf Drechsler}, title = {A Highly Fault-Efficient SAT-Based {ATPG} Flow}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {63--70}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2205479}, doi = {10.1109/MDT.2012.2205479}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/EggersglussD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Eklow12, author = {Bill Eklow}, title = {Managing Complex Boundary-Scan Operations}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {100--102}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2187859}, doi = {10.1109/MDT.2012.2187859}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Eklow12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/EnglishT12, author = {Nick English and Yatin Trivedi}, title = {Standards and Collaboration Perspectives: Yesterday, Today, and Tomorrow [Perspectives]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {89--92}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2197315}, doi = {10.1109/MDT.2012.2197315}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/EnglishT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FranchiniGSVV12, author = {Silvia Franchini and Antonio Gentile and Filippo Sorbello and Giorgio Vassallo and Salvatore Vitabile}, title = {Design Space Exploration of Parallel Embedded Architectures for Native Clifford Algebra Operations}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {60--69}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2206150}, doi = {10.1109/MDT.2012.2206150}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FranchiniGSVV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GattikerN12, author = {Anne E. Gattiker and Phil Nigh}, title = {Guest Editors' Introduction: Yield Learning Processes and Methods}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {6--7}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2180958}, doi = {10.1109/MDT.2011.2180958}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GattikerN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Gil-TomasGBSG12, author = {Daniel Gil{-}Tomas and Joaquin Gracia{-}Moran and Juan{-}Carlos Baraza{-}Calvo and Luis J. Saiz{-}Adalid and Pedro J. Gil{-}Vicente}, title = {Analyzing the Impact of Intermittent Faults on Microprocessors Applying Fault Injection}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {66--73}, year = {2012}, url = {https://doi.org/10.1109/mdt.2011.2179514}, doi = {10.1109/MDT.2011.2179514}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Gil-TomasGBSG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GulakGSZ12, author = {P. Glenn Gulak and Rajesh Gupta and Gianluca Setti and Yervant Zorian}, title = {Message From the Steering Committee}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {5}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2184662}, doi = {10.1109/MDT.2012.2184662}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GulakGSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Hahn12, author = {Mark Hahn}, title = {OpenAccess: Standard and Practices}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {44--52}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2186277}, doi = {10.1109/MDT.2012.2186277}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Hahn12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/IshidaIFWK12, author = {Masahiro Ishida and Kiyotaka Ichiyama and Tasuku Fujibe and Daisuke Watanabe and Masayuki Kawabata}, title = {Real-Time Testing Method for Multilevel Signal Interfaces and Its Impact on Test Cost}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {63--71}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2210382}, doi = {10.1109/MDT.2012.2210382}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/IshidaIFWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Jassowski12, author = {Michael Jassowski}, title = {Organizational Dynamics: Understanding the Impact of Organizational Structure in Team Productivity}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {52--59}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2208077}, doi = {10.1109/MDT.2012.2208077}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Jassowski12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JiangRP12, author = {Hua Jiang and Marc D. Riedel and Keshab K. Parhi}, title = {Digital Signal Processing With Molecular Reactions}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {21--31}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2192144}, doi = {10.1109/MDT.2012.2192144}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JiangRP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Kahng12, author = {Andrew B. Kahng}, title = {Predicting the future of information technology and society [The Road Ahead]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {6}, pages = {101--102}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2228599}, doi = {10.1109/MDT.2012.2228599}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Kahng12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KammJB12, author = {M. Kamm and H. Jun and L. Boluna}, title = {SerDes Interoperability and Optimization}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {47--53}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2201910}, doi = {10.1109/MDT.2012.2201910}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KammJB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KeaneKLS12, author = {John Keane and Chris H. Kim and Qunzeng Liu and Sachin S. Sapatnekar}, title = {Process and Reliability Sensors for Nanoscale {CMOS}}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {8--17}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2211561}, doi = {10.1109/MDT.2012.2211561}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KeaneKLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Krolikoski12, author = {Stan Krolikoski}, title = {Patents in the {IEEE} Standards Process}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {68--71}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2178316}, doi = {10.1109/MDT.2011.2178316}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Krolikoski12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Krolikoski12a, author = {Stan Krolikoski}, title = {That's not our job! [Standards]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {90--92}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2194612}, doi = {10.1109/MDT.2012.2194612}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Krolikoski12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Krolikoski12b, author = {Stan Krolikoski}, title = {Two Approaches to Handling Late Essential/Necessary Patent Claims Against Standards}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {102--104}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2209053}, doi = {10.1109/MDT.2012.2209053}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Krolikoski12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrusemanTHDHBX12, author = {Bram Kruseman and Bratislav Tasic and Camelia Hora and Jos Dohmen and Hamidreza Hashempour and Maikel van Beurden and Yizi Xing}, title = {Defect Oriented Testing for Analog/Mixed-Signal Designs}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {72--80}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2210852}, doi = {10.1109/MDT.2012.2210852}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KrusemanTHDHBX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KuppM12, author = {Nathan Kupp and Yiorgos Makris}, title = {Applying the Model-View-Controller Paradigm to Adaptive Test}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {28--35}, year = {2012}, url = {https://doi.org/10.1109/MDT.2011.2179370}, doi = {10.1109/MDT.2011.2179370}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KuppM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MaasoumyS12, author = {Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Total and Peak Energy Consumption Minimization of Building {HVAC} Systems Using Model Predictive Control}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {26--35}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2200871}, doi = {10.1109/MDT.2012.2200871}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MaasoumyS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MadsenMPRSW12, author = {Curtis Madsen and Chris J. Myers and Tyler Patterson and Nicholas Roehner and Jason T. Stevens and Chris Winstead}, title = {Design and Test of Genetic Circuits Using {\textdollar}\{{\textbackslash}tt iBioSim\}{\textdollar}iBioSim}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {32--39}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2187875}, doi = {10.1109/MDT.2012.2187875}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MadsenMPRSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Marinissen12, author = {Erik Jan Marinissen}, title = {Pioneering in Asia With the {US} Venture Capital Model}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {6}, pages = {52--55}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2221003}, doi = {10.1109/MDT.2012.2221003}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Marinissen12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MaxeyRGQOMCR12, author = {Christopher Maxey and Sanjay Raman and Kari Groves and Tony Quach and Pompei L. Orlando and Aji Mattamana and Gregory L. Creech and Jay Rockway}, title = {Mixed-Signal SoCs With In Situ Self-Healing Circuitry}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {27--39}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2226014}, doi = {10.1109/MDT.2012.2226014}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MaxeyRGQOMCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Morse12, author = {Rich Morse}, title = {Interoperable Design Constraints for Custom {IC} Design}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {53--61}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2182983}, doi = {10.1109/MDT.2012.2182983}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Morse12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NandaM12, author = {Rashmi Nanda and Dejan Markovic}, title = {Digitally intensive receiver design: opportunities and challenges}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {19--26}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2214756}, doi = {10.1109/MDT.2012.2214756}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NandaM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NarasimhanCC12, author = {Seetharam Narasimhan and Rajat Subhra Chakraborty and Swarup Chakraborty}, title = {Hardware {IP} Protection During Evaluation Using Embedded Sequential Trojan}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {70--79}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2205997}, doi = {10.1109/MDT.2012.2205997}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NarasimhanCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NarasimhanYWMB12, author = {Seetharam Narasimhan and Wen Yueh and Xinmu Wang and Saibal Mukhopadhyay and Swarup Bhunia}, title = {Improving {IC} Security Against Trojan Attacks Through Integration of Security Monitors}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {37--46}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2210183}, doi = {10.1109/MDT.2012.2210183}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NarasimhanYWMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Nicolaidis12, author = {Michael Nicolaidis}, title = {Biologically Inspired Robust Tera-Device Processors}, journal = {{IEEE} Des. Test}, volume = {29}, number = {5}, pages = {94--99}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2211174}, doi = {10.1109/MDT.2012.2211174}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Nicolaidis12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PachitoMJSVCSTT12, author = {Jackson Pachito and Celestino V. Martins and Bruno Jacinto and Jorge Semi{\~{a}}o and Julio C{\'{e}}sar V{\'{a}}zquez and V{\'{\i}}ctor H. Champac and Marcelino B. Santos and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {Aging-Aware Power or Frequency Tuning With Predictive Fault Detection}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {27--36}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2206009}, doi = {10.1109/MDT.2012.2206009}, timestamp = {Fri, 30 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PachitoMJSVCSTT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande12, author = {Partha Pande}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {1}, pages = {76--77}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2185109}, doi = {10.1109/MDT.2012.2185109}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande12a, author = {Partha Pande}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {107--108}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2189154}, doi = {10.1109/MDT.2012.2189154}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Pande12b, author = {Partha Pande}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {103--104}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2204924}, doi = {10.1109/MDT.2012.2204924}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Pande12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PietteGWK12, author = {Mary Ann Piette and Jessica Granderson and Michael Wetter and Sila Kiliccote}, title = {Intelligent Building Energy Information and Control Systems for Low-Energy Operations and Optimal Demand Response}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {8--16}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2204720}, doi = {10.1109/MDT.2012.2204720}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PietteGWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RawatD12, author = {Shishpal S. Rawat and Sumit DasGupta}, title = {Guest Editors' Introduction: Special Issue on {EDA} Industry Standards}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {5--7}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2187858}, doi = {10.1109/MDT.2012.2187858}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RawatD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sangiovanni-Vincentelli12, author = {Alberto L. Sangiovanni{-}Vincentelli}, title = {{EDA} meets biology! The bumpy road ahead [Perscetives]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {49--50}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2194610}, doi = {10.1109/MDT.2012.2194610}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sangiovanni-Vincentelli12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Sanguinetti12, author = {John Sanguinetti}, title = {Abstraction and Standardization in Hardware Design}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {8--13}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2184074}, doi = {10.1109/MDT.2012.2184074}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Sanguinetti12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SeuringBMEYT12, author = {Markus Seuring and Michael Braun and Alan Ma and Geir Eide and Kathy Yang and Huaxing Tang}, title = {Employing the {STDF} {V4-2007} Standard for Scan Test Data Logging}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {91--99}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2210533}, doi = {10.1109/MDT.2012.2210533}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SeuringBMEYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Srivastava12, author = {Mani B. Srivastava}, title = {From measurements to sustainable choices [Persepectives]}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {58--60}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2202565}, doi = {10.1109/MDT.2012.2202565}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Srivastava12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Staszewski12, author = {Robert Bogdan Staszewski}, title = {Digitally intensive wireless transceivers}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {7--18}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2209392}, doi = {10.1109/MDT.2012.2209392}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Staszewski12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StickleyGBLLBCP12, author = {John Stickley and Deepak Kumar Garg and Brian Bailey and Jaekwang Lee and Amy Lim and Per Bojsen and Ramesh Chandra and Ajeya Prabhakar}, title = {Understanding the Accellera {SCE-MI} Transaction Pipes}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {32--43}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2184073}, doi = {10.1109/MDT.2012.2184073}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/StickleyGBLLBCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StratigopoulosM12, author = {Haralampos{-}G. D. Stratigopoulos and Salvador Mir}, title = {Adaptive Alternate Analog Test}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {71--79}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2205480}, doi = {10.1109/MDT.2012.2205480}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/StratigopoulosM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/StratigopoulosV12, author = {Haralampos{-}G. D. Stratigopoulos and Alberto Valdes{-}Garcia}, title = {Guest Editors' Introduction: Digitally Enhanced Wireless Transceivers}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {6}, pages = {5--6}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2222831}, doi = {10.1109/MDT.2012.2222831}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/StratigopoulosV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SunterR12, author = {Stephen K. Sunter and Aubin Roy}, title = {Contactless Test of {IC} Pads, Pins, and TSVs via Standard Boundary Scan}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {55--62}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2206363}, doi = {10.1109/MDT.2012.2206363}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SunterR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TamB12, author = {Wing Chiu Tam and Ronald D. Blanton}, title = {Physically-Aware Analysis of Systematic Defects in Integrated Circuits}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {5}, pages = {81--93}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2211093}, doi = {10.1109/MDT.2012.2211093}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TamB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides12, author = {Theocharis Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {95--96}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2212614}, doi = {10.1109/MDT.2012.2212614}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Theocharides12a, author = {Theo Theocharides}, title = {Test Technology {TC} Newsletter}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {6}, pages = {111--112}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2225331}, doi = {10.1109/MDT.2012.2225331}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Theocharides12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WachsSAFRH12, author = {Megan Wachs and Ofer Shacham and Zain Asgar and Amin Firoozshahian and Stephen Richardson and Mark Horowitz}, title = {Bringing up a chip on the cheap}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {57--65}, year = {2012}, url = {https://doi.org/10.1109/mdt.2011.2179849}, doi = {10.1109/MDT.2011.2179849}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WachsSAFRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WengA12, author = {Thomas Weng and Yuvraj Agarwal}, title = {From Buildings to Smart Buildings - Sensing and Actuation to Improve Energy Efficiency}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {36--44}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2211855}, doi = {10.1109/MDT.2012.2211855}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WengA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WhitehouseRLSSBSCH12, author = {Kamin Whitehouse and Juhi Ranjan and Jiakang Lu and Tamim I. Sookoor and Mehdi Saadat and Carrie Meinberg Burke and Galen Staengl and Anselmo Canfora and Hossein Haj{-}Hariri}, title = {Towards Occupancy-Driven Heating and Cooling}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {17--25}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2201439}, doi = {10.1109/MDT.2012.2201439}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WhitehouseRLSSBSCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WiemanBJSV12, author = {Trevor Wieman and Bishnupriya Bhattacharya and Tor E. Jeremiassen and Christian Schr{\"{o}}der and Bart Vanthournout}, title = {An Overview of Open SystemC Initiative Standards Development}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {14--22}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2184518}, doi = {10.1109/MDT.2012.2184518}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/WiemanBJSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YangZMS12, author = {Yang Yang and Qi Zhu and Mehdi Maasoumy and Alberto L. Sangiovanni{-}Vincentelli}, title = {Development of Building Automation and Control Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {45--55}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2201130}, doi = {10.1109/MDT.2012.2201130}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YangZMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZadeganILC12, author = {Farrokh Ghani Zadegan and Urban Ingelsson and Erik Larsson and Gunnar Carlsson}, title = {Reusing and Retargeting On-Chip Instrument Access Procedures in {IEEE} {P1687}}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {2}, pages = {79--88}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2182984}, doi = {10.1109/MDT.2012.2182984}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZadeganILC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZengGCMW12, author = {Jing Zeng and Ruifeng Guo and Wu{-}Tung Cheng and Michael Mateja and Jing Wang}, title = {Scan-Based Speed-Path Debug for a Microprocessor}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {4}, pages = {92--99}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2208353}, doi = {10.1109/MDT.2012.2208353}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZengGCMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhuSF12, author = {Ting Zhu and Michael B. Steer and Paul D. Franzon}, title = {Surrogate Model-Based Self-Calibrated Design for Process and Temperature Compensation in Analog/RF Circuits}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {74--83}, year = {2012}, url = {https://doi.org/10.1109/mdt.2012.2220332}, doi = {10.1109/MDT.2012.2220332}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhuSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AbdallahSMK11, author = {Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Salvador Mir and Christophe Kelma}, title = {{RF} Front-End Test Using Built-in Sensors}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {6}, pages = {76--84}, year = {2011}, url = {https://doi.org/10.1109/MDT.2011.131}, doi = {10.1109/MDT.2011.131}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AbdallahSMK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AbdiHYSG11, author = {Samar Abdi and Yonghyun Hwang and Lochi Yu and Gunar Schirner and Daniel D. Gajski}, title = {Automatic {TLM} Generation for Early Validation of Multicore Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {3}, pages = {10--19}, year = {2011}, url = {https://doi.org/10.1109/MDT.2010.117}, doi = {10.1109/MDT.2010.117}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AbdiHYSG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AnandGJP11, author = {Darren Anand and Kevin W. Gorman and Mark Jacunski and Adrian Paparelli}, title = {Embedded {DRAM} in 45-nm Technology and Beyond}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {1}, pages = {14--21}, year = {2011}, url = {https://doi.org/10.1109/MDT.2011.2}, doi = {10.1109/MDT.2011.2}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/AnandGJP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.