Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:conf/reconfig:"
@inproceedings{DBLP:conf/reconfig/AbdulgadirDK19, author = {Abubakr Abdulgadir and William Diehl and Jens{-}Peter Kaps}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {An Open-Source Platform for Evaluation of Hardware Implementations of Lightweight Authenticated Ciphers}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994788}, doi = {10.1109/RECONFIG48160.2019.8994788}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbdulgadirDK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlkamilP19, author = {Arkan Alkamil and Darshika G. Perera}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Efficient FPGA-Based Reconfigurable Accelerators for {SIMON} Cryptographic Algorithm on Embedded Platforms}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994803}, doi = {10.1109/RECONFIG48160.2019.8994803}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AlkamilP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AndrzejczakFG19, author = {Michal Andrzejczak and Farnoud Farahmand and Kris Gaj}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Full hardware implementation of the Post-Quantum Public-Key Cryptography Scheme Round5}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994765}, doi = {10.1109/RECONFIG48160.2019.8994765}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AndrzejczakFG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AyubAEK19, author = {Muhammad Mudussir Ayub and Habibullah Ahmadzay and Josef Eckm{\"{u}}ller and Franz Kreupl}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Electronic System Level Power and Performance Analysis for Multi-Processor-System-on-Chip}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994783}, doi = {10.1109/RECONFIG48160.2019.8994783}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AyubAEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BenesBK19, author = {Tom{\'{a}}s Benes and Matej Bart{\'{\i}}k and Pavel Kubal{\'{\i}}k}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {High Throughput and Low Latency {LZ4} Compressor on {FPGA}}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994794}, doi = {10.1109/RECONFIG48160.2019.8994794}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BenesBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BoroumandB19, author = {Sina Boroumand and Philip Brisk}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Approximate Adder Tree Synthesis for FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994777}, doi = {10.1109/RECONFIG48160.2019.8994777}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BoroumandB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Davila-Rodriguez19, author = {Ismael{-}Antonio D{\'{a}}vila{-}Rodr{\'{\i}}guez and Marco Aurelio Nu{\~{n}}o{-}Maganda and Yahir Hernandez{-}Mier and Said Polanco{-}Martag{\'{o}}n}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Decision-Tree Based Pixel Classification for Real-time Citrus Segmentation on {FPGA}}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994792}, doi = {10.1109/RECONFIG48160.2019.8994792}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Davila-Rodriguez19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DonovickMBH19, author = {Caleb Donovick and Makai Mann and Clark W. Barrett and Pat Hanrahan}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Agile SMT-Based Mapping for CGRAs with Restricted Routing Networks}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994781}, doi = {10.1109/RECONFIG48160.2019.8994781}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DonovickMBH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ElkanishyRFBAM19, author = {Abdelrahman Elkanishy and Derrick T. Rivera and Paul M. Furth and Abdel{-}Hameed A. Badawy and Youssef Aly and Christopher P. Michael}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {FPGA-Accelerated Decision Tree Classifier for Real-Time Supervision of Bluetooth SoC}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994784}, doi = {10.1109/RECONFIG48160.2019.8994784}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ElkanishyRFBAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GravellierDTL19, author = {Joseph Gravellier and Jean{-}Max Dutertre and Yannick Teglia and Philippe Loubet{-}Moundi}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {High-Speed Ring Oscillator based Sensors for Remote Side-Channel Attacks on FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994789}, doi = {10.1109/RECONFIG48160.2019.8994789}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GravellierDTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HagiescuLPCTI19, author = {Andrei Hagiescu and Martin Langhammer and Bogdan Pasca and Philip Colangelo and Jason Thong and Niayesh Ilkhani}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {{BFLOAT} {MLP} Training Accelerator for FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994798}, doi = {10.1109/RECONFIG48160.2019.8994798}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HagiescuLPCTI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HeinzLHK19, author = {Carsten Heinz and Yannick Lavan and Jaco A. Hofmann and Andreas Koch}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {A Catalog and In-Hardware Evaluation of Open-Source Drop-In Compatible {RISC-V} Softcore Processors}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994796}, doi = {10.1109/RECONFIG48160.2019.8994796}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HeinzLHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IvoM19, author = {Regina Marcela Ivo and Daniel M. Mu{\~{n}}oz}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {RTRLib: {A} High-Level Modeling Tool for the Implementation of Dynamically Partial Reconfigurable System-on-Chips}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994779}, doi = {10.1109/RECONFIG48160.2019.8994779}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IvoM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KabinSDKL19, author = {Ievgen Kabin and Alejandro Sosa and Zoya Dyka and Dan Klann and Peter Langend{\"{o}}rfer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {On the Influence of the {FPGA} Compiler Optimization Options on the Success of the Horizontal Attack}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994807}, doi = {10.1109/RECONFIG48160.2019.8994807}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KabinSDKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KanekoMA19, author = {Tatsuya Kaneko and Hiroshi Momose and Tetsuya Asai}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {An {FPGA} Accelerator for Embedded Microcontrollers Implementing a Ternarized Backpropagation Algorithm}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994795}, doi = {10.1109/RECONFIG48160.2019.8994795}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KanekoMA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KavunMVY19, author = {Elif Bilge Kavun and Nele Mentens and Jo Vliegen and Tolga Yal{\c{c}}in}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Efficient Utilization of DSPs and BRAMs Revisited: New {AES-GCM} Recipes on FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994730}, doi = {10.1109/RECONFIG48160.2019.8994730}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KavunMVY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KhanAPWMG19, author = {Habib ul Hasan Khan and G{\"{o}}khan Akg{\"{u}}n and Ariel Podlubne and Felix Wegener and Amir Moradi and Diana G{\"{o}}hringer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Cycle-Accurate Debugging of Multi-clock Reconfigurable Systems}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994806}, doi = {10.1109/RECONFIG48160.2019.8994806}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KhanAPWMG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KidaKSS19, author = {Tomohiro Kida and Yuichi Kawamata and Yuichiro Shibata and Kentaro Sano}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {A High Level Synthesis Approach for Application Specific {DMA} Controllers}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994725}, doi = {10.1109/RECONFIG48160.2019.8994725}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KidaKSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KimLCCR19, author = {Sunwoong Kim and Keewoo Lee and Wonhee Cho and Jung Hee Cheon and Rob A. Rutenbar}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {FPGA-based Accelerators of Fully Pipelined Modular Multipliers for Homomorphic Encryption}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994793}, doi = {10.1109/RECONFIG48160.2019.8994793}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KimLCCR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KorolJSPBRB19, author = {Guilherme Korol and Michael Guilherme Jordan and Raul Silveira Silva and Monica Magalh{\~{a}}es Pereira and Marcelo Brandalero and Mateus Beck Rutzig and Antonio Carlos Schneider Beck}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {A Runtime Power-Aware Phase Predictor for CGRAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994802}, doi = {10.1109/RECONFIG48160.2019.8994802}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KorolJSPBRB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KuramochiSSSN19, author = {Ryosuke Kuramochi and Masayuki Shimoda and Youki Sada and Shimpei Sato and Hiroki Nakahara}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {FPGA-based Accurate Pedestrian Detection with Thermal Camera for Surveillance System}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994773}, doi = {10.1109/RECONFIG48160.2019.8994773}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KuramochiSSSN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaG19, author = {Sen Ma and Shanyuan Gao}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {The Impact of Adopting Computational Storage in Heterogeneous Computing Systems}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994767}, doi = {10.1109/RECONFIG48160.2019.8994767}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MaG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MillarLR19, author = {Kevin Millar and Marcin Lukowiak and Stanislaw P. Radziszowski}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Design of a Flexible Sch{\"{o}}nhage-Strassen {FFT} Polynomial Multiplier with High- Level Synthesis to Accelerate {HE} in the Cloud}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994790}, doi = {10.1109/RECONFIG48160.2019.8994790}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MillarLR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MirzaeianHS19, author = {Ali Mirzaeian and Houman Homayoun and Avesta Sasan}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {{TCD-NPE:} {A} Re-configurable and Efficient Neural Processing Engine, Powered by Novel Temporal-Carry-deferring MACs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994751}, doi = {10.1109/RECONFIG48160.2019.8994751}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MirzaeianHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PanahiSA19, author = {Atiyehsadat Panahi and Keaten Stokke and David Andrews}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {A Library of FSM-based Floating-Point Arithmetic Functions on FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994776}, doi = {10.1109/RECONFIG48160.2019.8994776}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PanahiSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PlagwitzSBWT19, author = {Patrick Plagwitz and Franz{-}Josef Streit and Andreas Becher and Stefan Wildermann and J{\"{u}}rgen Teich}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Compiler-Based High-Level Synthesis of Application-Specific Processors on FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994778}, doi = {10.1109/RECONFIG48160.2019.8994778}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PlagwitzSBWT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PodlubneG19, author = {Ariel Podlubne and Diana G{\"{o}}hringer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {{FPGA-ROS:} Methodology to Augment the Robot Operating System with {FPGA} Designs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994719}, doi = {10.1109/RECONFIG48160.2019.8994719}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PodlubneG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RahamnehS19, author = {Samah Rahamneh and Lina Sawalha}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Efficient OpenCL Accelerators for Canny Edge Detection Algorithm on a {CPU-FPGA} Platform}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994769}, doi = {10.1109/RECONFIG48160.2019.8994769}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RahamnehS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RajagopalaSS19, author = {Abhi D. Rajagopala and Ron Sass and Andrew G. Schmidt}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Volcan: System Integration of {HLS} and {HMC} on FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994791}, doi = {10.1109/RECONFIG48160.2019.8994791}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RajagopalaSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RezaeiBK19, author = {Siavash Rezaei and Eli Bozorgzadeh and Kanghee Kim}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {UltraShare: FPGA-based Dynamic Accelerator Sharing and Allocation}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994771}, doi = {10.1109/RECONFIG48160.2019.8994771}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RezaeiBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SittelFKZ19, author = {Patrick Sittel and Nicolai Fiege and Martin Kumm and Peter Zipf}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Isomorphic Subgraph-based Problem Reduction for Resource Minimal Modulo Scheduling}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994768}, doi = {10.1109/RECONFIG48160.2019.8994768}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SittelFKZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StirkG19, author = {Wesley Stirk and Jeffrey Goeders}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Implementation and Design Space Exploration of a Turbo Decoder in High-Level Synthesis}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994787}, doi = {10.1109/RECONFIG48160.2019.8994787}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/StirkG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StrohmerBSL19, author = {Beck Strohmer and Anders B{\o}gild and Anders Stengaard S{\o}rensen and Leon Bonde Larsen}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {ROS-Enabled Hardware Framework for Experimental Robotics}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994770}, doi = {10.1109/RECONFIG48160.2019.8994770}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/StrohmerBSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TatulianSD19, author = {Adrian Tatulian and Soheil Salehi and Ronald F. DeMara}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Mixed-Signal Spin/Charge Reconfigurable Array for Energy-Aware Compressive Signal Processing}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994799}, doi = {10.1109/RECONFIG48160.2019.8994799}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TatulianSD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TekleyohannesRG19, author = {Menbere Kina Tekleyohannes and Vladimir Rybalkin and Muhammad Mohsin Ghaffar and Norbert Wehn and Andreas Dengel}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {iDocChip - {A} Configurable Hardware Architecture for Historical Document Image Processing: Text Line Extraction}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994761}, doi = {10.1109/RECONFIG48160.2019.8994761}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TekleyohannesRG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ThurlowRW19, author = {Corbin Thurlow and Hayden Rowberry and Michael J. Wirthlin}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {{TURTLE:} {A} Low-Cost Fault Injection Platform for SRAM-based FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994782}, doi = {10.1109/RECONFIG48160.2019.8994782}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ThurlowRW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/UnalHMKA19, author = {Burak Unal and Md Sahil Hassan and Joshua Mack and Nirmal Kumbhare and Ali Akoglu}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Design of High Throughput FPGA-Based Testbed for Accelerating Error Characterization of {LDPC} Codes}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994785}, doi = {10.1109/RECONFIG48160.2019.8994785}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/UnalHMKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/UrleaVN19, author = {Cristian Urlea and Wim Vanderbauwhede and Syed Waqar Nabi}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Efficient {FPGA} Cost-Performance Space Exploration using Type-Driven Program Transformations}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994801}, doi = {10.1109/RECONFIG48160.2019.8994801}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/UrleaVN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VossGBMLG19, author = {Nils Voss and Stephen Girdlestone and Tobias Becker and Oskar Mencer and Wayne Luk and Georgi Gaydadjiev}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Low Area Overhead Custom Buffering for {FFT}}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994775}, doi = {10.1109/RECONFIG48160.2019.8994775}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VossGBMLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WilsonS19, author = {David Wilson and Greg Stitt}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Seiba: An {FPGA} Overlay-Based Approach to Rapid Application Development}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994693}, doi = {10.1109/RECONFIG48160.2019.8994693}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WilsonS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WilsonW19, author = {Andrew E. Wilson and Michael J. Wirthlin}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Reconfigurable Real-Time Video Pipelines on SRAM-based FPGAs}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994814}, doi = {10.1109/RECONFIG48160.2019.8994814}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WilsonW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YalcinK19, author = {Tolga Yal{\c{c}}in and Elif Bilge Kavun}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {Almost-Zero Logic Implementation of Troika Hash Function on Reconfigurable Devices}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994780}, doi = {10.1109/RECONFIG48160.2019.8994780}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/YalcinK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2019, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8974168/proceeding}, isbn = {978-1-7281-1957-1}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbdelsalamBDLC18, author = {Ahmed M. Abdelsalam and Felix Boulet and Gabriel Demers and J. M. Pierre Langlois and Farida Cheriet}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {An Efficient FPGA-based Overlay Inference Architecture for Fully Connected DNNs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641735}, doi = {10.1109/RECONFIG.2018.8641735}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbdelsalamBDLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AkgunKEG18, author = {G{\"{o}}khan Akg{\"{u}}n and Habib ul Hasan Khan and Mahmoud Ahmed Elshimy and Diana G{\"{o}}hringer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Dynamic tunable and reconfigurable hardware controller with EKF-based state reconstruction through FPGA-in the loop}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641704}, doi = {10.1109/RECONFIG.2018.8641704}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AkgunKEG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AveyJZ18, author = {Joe Avey and Phillip H. Jones and Joseph Zambreno}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {An FPGA-based Hardware Accelerator for Iris Segmentation}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641726}, doi = {10.1109/RECONFIG.2018.8641726}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AveyJZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CauwelsZJ18, author = {Matthew Cauwels and Joseph Zambreno and Phillip H. Jones}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {{HW/SW} Configurable {LQG} Controller using a Sequential Discrete Kalman Filter}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641738}, doi = {10.1109/RECONFIG.2018.8641738}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CauwelsZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DeyCLKHCB18, author = {Sourya Dey and Diandian Chen and Zongyang Li and Souvik Kundu and Kuan{-}Wen Huang and Keith M. Chugg and Peter A. Beerel}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {A Highly Parallel {FPGA} Implementation of Sparse Neural Network Training}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641739}, doi = {10.1109/RECONFIG.2018.8641739}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DeyCLKHCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DykaKKL18, author = {Zoya Dyka and Dan Kreiser and Ievgen Kabin and Peter Langend{\"{o}}rfer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Flexible {FPGA} {ECDSA} Design with a Field Multiplier Inherently Resistant against {HCCA}}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641730}, doi = {10.1109/RECONFIG.2018.8641730}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DykaKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EhretIK18, author = {Alan Ehret and Mihailo Isakov and Michel A. Kinsy}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Towards a Generalized Reconfigurable Agent-Based Architecture: Stock Market Simulation Acceleration}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641714}, doi = {10.1109/RECONFIG.2018.8641714}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/EhretIK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Estivill-Castro18, author = {Vladimir Estivill{-}Castro and Ren{\'{e}} Hexel and Morgan McColl}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {High-Level Executable Models of Reactive Real-Time Systems with Logic-Labelled Finite-State Machines and FPGAs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641710}, doi = {10.1109/RECONFIG.2018.8641710}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Estivill-Castro18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FanniRSSPRT18, author = {Tiziana Fanni and Alfonso Rodr{\'{\i}}guez and Carlo Sau and Leonardo Suriano and Francesca Palumbo and Luigi Raffo and Eduardo de la Torre}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Multi-Grain Reconfiguration for Advanced Adaptivity in Cyber-Physical Systems}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641705}, doi = {10.1109/RECONFIG.2018.8641705}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FanniRSSPRT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FerozpuriG18, author = {Ahmed Ferozpuri and Kris Gaj}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {High-speed {FPGA} Implementation of the {NIST} Round 1 Rainbow Signature Scheme}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641734}, doi = {10.1109/RECONFIG.2018.8641734}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FerozpuriG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FusiaraSPHKSH18, author = {Paulina Fusiara and Gijs Schoonderbeek and Johan Pragt and Leon Hiemstra and Sjouke Kuindersma and Menno Schuil and Grant Hampson}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Design and Fabrication of Full Board Direct Liquid Cooling Heat Sink for Densely Packed {FPGA} Processing Boards}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641727}, doi = {10.1109/RECONFIG.2018.8641727}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FusiaraSPHKSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HarrisonA18, author = {William L. Harrison and Gerard Allwein}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Language Abstractions for Hardware-based Control-Flow Integrity Monitoring}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641707}, doi = {10.1109/RECONFIG.2018.8641707}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HarrisonA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HassanHAFH18, author = {Mohamed W. Hassan and Ahmed E. Helal and Peter M. Athanas and Wu{-}Chun Feng and Yasser Y. Hanafy}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Exploring FPGA-specific Optimizations for Irregular OpenCL Applications}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641699}, doi = {10.1109/RECONFIG.2018.8641699}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HassanHAFH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HeidH18, author = {Kris Heid and Christian Hochberger}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {AutoStreams: Fully Automatic parallelization of Legacy Embedded Applications with Soft-Core MPSoCs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641723}, doi = {10.1109/RECONFIG.2018.8641723}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HeidH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HerathPJS18, author = {Kalindu Herath and Alok Prakash and Guiyuan Jiang and Thambipillai Srikanthan}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Ant Colony Optimization based Module Footprint Selection and Placement for Lowering Power in Large {FPGA} Designs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641731}, doi = {10.1109/RECONFIG.2018.8641731}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HerathPJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HuffH18, author = {Dillon Huff and Pat Hanrahan}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Using Runtime Circuit Specialization to Accelerate Simulations of Reconfigurable Architectures}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641698}, doi = {10.1109/RECONFIG.2018.8641698}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HuffH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IkezoeAAUKHSY18, author = {Takeharu Ikezoe and Hideharu Amano and Junya Akaike and Kimiyoshi Usami and Masaru Kudo and Keizo Hiraga and Yusuke Shuto and Kojiro Yagami}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {A Coarse Grained-Reconfigurable Accelerator with energy efficient MTJ-based Non-volatile Flip-flops}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641712}, doi = {10.1109/RECONFIG.2018.8641712}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IkezoeAAUKHSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JafariHHM18, author = {Ali Jafari and Morteza Hosseini and Houman Homayoun and Tinoosh Mohsenin}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {A Scalable and Low Power {DCNN} for Multimodal Data Classification}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641702}, doi = {10.1109/RECONFIG.2018.8641702}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JafariHHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JinF18, author = {Zheming Jin and Hal Finkel}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Evaluating Floating-point Intensive Applications on OpenCL {FPGA} Platforms: {A} Case Study on the SimpleMOC Kernel}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641693}, doi = {10.1109/RECONFIG.2018.8641693}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JinF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KabinKDL18, author = {Ievgen Kabin and Dan Kreiser and Zoya Dyka and Peter Langend{\"{o}}rfer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {{FPGA} Implementation of {ECC:} Low-Cost Countermeasure against Horizontal Bus and Address-Bit {SCA}}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641732}, doi = {10.1109/RECONFIG.2018.8641732}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KabinKDL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KalmsIG18, author = {Lester Kalms and Hassan Ibrahim and Diana G{\"{o}}hringer}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Full-HD Accelerated and Embedded Feature Detection Video System with 63fps using {ORB} for {FREAK}}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641706}, doi = {10.1109/RECONFIG.2018.8641706}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KalmsIG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KamasakaSO18, author = {Ryo Kamasaka and Yuichiro Shibata and Kiyoshi Oguri}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {An FPGA-oriented Graph Cut Algorithm for Accelerating Stereo Vision}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641737}, doi = {10.1109/RECONFIG.2018.8641737}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KamasakaSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KampAC18, author = {William Kamp and Norbert Abel and Gianni Comoretto}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Complex Multiply Accumulate Cells for the Square Kilometre Array Correlators}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641708}, doi = {10.1109/RECONFIG.2018.8641708}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KampAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KasgenWH18, author = {Philipp S. K{\"{a}}sgen and Markus Weinhardt and Christian Hochberger}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {A Coarse-Grained Reconfigurable Array for High-Performance Computing Applications}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641720}, doi = {10.1109/RECONFIG.2018.8641720}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KasgenWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MahmoodSH18, author = {Safdar Mahmood and Pavel Shydlouski and Michael H{\"{u}}bner}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {An Application Specific Framework for HLS-based {FPGA} Design of Articulated Robot Inverse Kinematics}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641691}, doi = {10.1109/RECONFIG.2018.8641691}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MahmoodSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/McGlonePL18, author = {John McGlone and Paolo Palazzari and J. B. Leclere}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Accelerating Key In-memory Database Functionality with {FPGA} Technology}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641722}, doi = {10.1109/RECONFIG.2018.8641722}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/McGlonePL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SathreHF18, author = {Paul Sathre and Ahmed E. Helal and Wu{-}chun Feng}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {A Composable Workflow for Productive Heterogeneous Computing on FPGAs via Whole-Program Analysis and Transformation}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641694}, doi = {10.1109/RECONFIG.2018.8641694}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SathreHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SoniLA18, author = {Arpit Soni and Yoon Kah Leow and Ali Akoglu}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Post-Routing Analytical Wirelength Model for Homogeneous {FPGA} Architectures}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641724}, doi = {10.1109/RECONFIG.2018.8641724}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SoniLA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StreitLWHFBT18, author = {Franz{-}Josef Streit and Mart{\'{\i}}n Letras and Stefan Wildermann and Benjamin Hackenberg and Joachim Falk and Andreas Becher and J{\"{u}}rgen Teich}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Model-Based Design Automation of Hardware/Software Co-Designs for Xilinx Zynq PSoCs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641736}, doi = {10.1109/RECONFIG.2018.8641736}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/StreitLWHFBT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SunZYP18, author = {Weiyi Sun and Hanqing Zeng and Yi{-}Hua Edward Yang and Viktor K. Prasanna}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Throughput-Optimized Frequency Domain {CNN} with Fixed-Point Quantization on {FPGA}}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641716}, doi = {10.1109/RECONFIG.2018.8641716}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SunZYP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SutterRLA18, author = {Gustavo Sutter and Mario Ruiz and Sergio L{\'{o}}pez{-}Buedo and Gustavo Alonso}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {FPGA-based {TCP/IP} Checksum Offloading Engine for 100 Gbps Networks}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641729}, doi = {10.1109/RECONFIG.2018.8641729}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SutterRLA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TakemotoMHSTNTM18, author = {Takashi Takemoto and Normann Mertig and Masato Hayashi and Saki Susa{-}Tanaka and Hiroshi Teramoto and Atsuyoshi Nakamura and Ichigaku Takigawa and Shin{-}ichi Minato and Tamiki Komatsuzaki and Masanao Yamaoka}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {FPGA-Based QBoost with Large-Scale Annealing Processor and Accelerated Hyperparameter Search}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641713}, doi = {10.1109/RECONFIG.2018.8641713}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TakemotoMHSTNTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TempelmeierSK18, author = {Michael Tempelmeier and Georg Sigl and Jens{-}Peter Kaps}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Experimental Power and Performance Evaluation of {CAESAR} Hardware Finalists}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641740}, doi = {10.1109/RECONFIG.2018.8641740}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TempelmeierSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TingSB18, author = {Hsin{-}Yu Ting and Ardalan Amiri Sani and Eli Bozorgzadeh}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {System Services for Reconfigurable Hardware Acceleration in Mobile Devices}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641700}, doi = {10.1109/RECONFIG.2018.8641700}, timestamp = {Sun, 17 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TingSB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TokusashiMZ18, author = {Yuta Tokusashi and Hiroki Matsutani and Noa Zilberman}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {LaKe: The Power of In-Network Computing}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641696}, doi = {10.1109/RECONFIG.2018.8641696}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TokusashiMZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WahabCAHBLG18, author = {Muhammad Abdul Wahab and Pascal Cotret and Mounir Nasr Allah and Guillaume Hiet and Arnab Kumar Biswas and Vianney Lapotre and Guy Gogniat}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {A small and adaptive coprocessor for information flow tracking in {ARM} SoCs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641695}, doi = {10.1109/RECONFIG.2018.8641695}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WahabCAHBLG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/XieZSWM18, author = {Shuai Xie and Zhongyuan Zhao and Weiguang Sheng and Qin Wang and Zhigang Mao}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {{MBSS:} {A} General Paradigm for Static Schedule for Nested Loops with Dynamic Loop Boundary on CGRAs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641701}, doi = {10.1109/RECONFIG.2018.8641701}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/XieZSWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZamacolaG0OT18, author = {Rafael Zamacola and Alberto Garc{\'{\i}}a{-}Mart{\'{\i}}nez and Javier Mora and Andr{\'{e}}s Otero and Eduardo de la Torre}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {{IMPRESS:} Automated Tool for the Implementation of Highly Flexible Partial Reconfigurable Systems with Xilinx Vivado}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641703}, doi = {10.1109/RECONFIG.2018.8641703}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZamacolaG0OT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZienerPT18, author = {Daniel Ziener and Jutta Pirkl and J{\"{u}}rgen Teich}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {Configuration Tampering of BRAM-based {AES} Implementations on FPGAs}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641692}, doi = {10.1109/RECONFIG.2018.8641692}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZienerPT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2018, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8637084/proceeding}, isbn = {978-1-7281-1968-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlvesJSFN17, author = {Fredy Augusto M. Alves and Peter Jamieson and Lucas B. da Silva and Ricardo S. Ferreira and Jos{\'{e}} Augusto Miranda Nacif}, title = {Designing a collision detection accelerator on a heterogeneous {CPU-FPGA} platform}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279786}, doi = {10.1109/RECONFIG.2017.8279786}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AlvesJSFN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BargeA17, author = {Ian J. Barge and Cristinel Ababei}, title = {{H.264} video decoder implemented on FPGAs using 3{\texttimes}3 and 2{\texttimes}2 networks-on-chip}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279798}, doi = {10.1109/RECONFIG.2017.8279798}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BargeA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BlochwitzKJP17, author = {Christopher Blochwitz and Raphael Klink and Jan Moritz Joseph and Thilo Pionteck}, title = {Continuous live-tracing as debugging approach on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279783}, doi = {10.1109/RECONFIG.2017.8279783}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BlochwitzKJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BoutrosGAC17, author = {Andrew Boutros and Brett Grady and Mustafa Abbas and Paul Chow}, title = {Build fast, trade fast: FPGA-based high-frequency trading using high-level synthesis}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279781}, doi = {10.1109/RECONFIG.2017.8279781}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BoutrosGAC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BrandonT17, author = {Anthony Brandon and Michael Trimarchi}, title = {Trusted display and input using screen overlays}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279826}, doi = {10.1109/RECONFIG.2017.8279826}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BrandonT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BruelGCM17, author = {Pedro Bruel and Alfredo Goldman and Sai Rahul Chalamalasetti and Dejan S. Milojicic}, title = {Autotuning high-level synthesis for FPGAs using OpenTuner and LegUp}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279778}, doi = {10.1109/RECONFIG.2017.8279778}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BruelGCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChenMNZ17, author = {Qianqiao Chen and Vaibhawa Mishra and Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez and Georgios Zervas}, title = {Synchronizing reconfiguration of coherent functions on disaggregated {FPGA} resources}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279822}, doi = {10.1109/RECONFIG.2017.8279822}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ChenMNZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChoB17, author = {Young H. Cho and Siddharth S. Bhargav}, title = {Fine-grained on-line power monitoring for soft microprocessor based system-on-chip}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279794}, doi = {10.1109/RECONFIG.2017.8279794}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChoB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChowdhuryXTF17, author = {Sreeja Chowdhury and Xiaolin Xu and Mark M. Tehranipoor and Domenic Forte}, title = {Aging resilient {RO} {PUF} with increased reliability in {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279773}, doi = {10.1109/RECONFIG.2017.8279773}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChowdhuryXTF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DeshpandeB17, author = {Girish Deshpande and Dinesh K. Bhatia}, title = {Microchannels for thermal management in FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279803}, doi = {10.1109/RECONFIG.2017.8279803}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DeshpandeB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DiehlAKG17, author = {William Diehl and Abubakr Abdulgadir and Jens{-}Peter Kaps and Kris Gaj}, title = {Side-channel resistant soft core processor for lightweight block ciphers}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279819}, doi = {10.1109/RECONFIG.2017.8279819}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DiehlAKG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DrewesJP17, author = {Tobias Drewes and Jan Moritz Joseph and Thilo Pionteck}, title = {An FPGA-based prototyping framework for Networks-on-Chip}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279775}, doi = {10.1109/RECONFIG.2017.8279775}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DrewesJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EngelK17, author = {Andreas Engel and Andreas Koch}, title = {Energy-efficient reconfiguration of flash-based FPGAs in heterogeneous wireless sensor nodes}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279788}, doi = {10.1109/RECONFIG.2017.8279788}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/EngelK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FarahmandFDG17, author = {Farnoud Farahmand and Ahmed Ferozpuri and William Diehl and Kris Gaj}, title = {Minerva: Automated hardware optimization tool}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279804}, doi = {10.1109/RECONFIG.2017.8279804}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FarahmandFDG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FarooqMB17, author = {Umer Farooq and Habib Mehrez and Muhammad Khurram Bhatti}, title = {Comparison of direct and switch-based inter-FPGA routing interconnect for multi-FPGA systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279782}, doi = {10.1109/RECONFIG.2017.8279782}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FarooqMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GirauT17, author = {Bernard Girau and C{\'{e}}sar Torres{-}Huitzil}, title = {Optimal weight storage improves fault tolerance of SOMs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279805}, doi = {10.1109/RECONFIG.2017.8279805}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GirauT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HamdanR17, author = {Muhammad K. A. Hamdan and Diane T. Rover}, title = {{VHDL} generator for a high performance convolutional neural network FPGA-based accelerator}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279827}, doi = {10.1109/RECONFIG.2017.8279827}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HamdanR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Jain0J17, author = {Tripti Jain and Klaus Schneider and Ankesh Jain}, title = {Deriving concentrators from binary sorters using half cleaners}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279784}, doi = {10.1109/RECONFIG.2017.8279784}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Jain0J17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JansenKDHFF17, author = {Benedikt Jan{\ss}en and Fatih Korkmaz and Halil Derya and Michael H{\"{u}}bner and M{\'{a}}rio Lopes Ferreira and Jo{\~{a}}o Canas Ferreira}, title = {Towards a type 0 hypervisor for dynamic reconfigurable systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279825}, doi = {10.1109/RECONFIG.2017.8279825}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JansenKDHFF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JosephMEBWP17, author = {Jan Moritz Joseph and Morten Mey and Kristian Ehlers and Christopher Blochwitz and Tobias Winker and Thilo Pionteck}, title = {Design space exploration for a hardware-accelerated embedded real-time pose estimation using vivado {HLS}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279785}, doi = {10.1109/RECONFIG.2017.8279785}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JosephMEBWP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KabinDKL17, author = {Ievgen Kabin and Zoya Dyka and Dan Kreiser and Peter Langend{\"{o}}rfer}, title = {Horizontal address-bit {DPA} against montgomery kP implementation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279800}, doi = {10.1109/RECONFIG.2017.8279800}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KabinDKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KarakchiRB17, author = {Rasha Karakchi and Lothrop O. Richards and Jason D. Bakos}, title = {A Dynamically Reconfigurable Automata Processor Overlay}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279779}, doi = {10.1109/RECONFIG.2017.8279779}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KarakchiRB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KeezerY17, author = {David C. Keezer and Jingchi Yang}, title = {Biologically inspired hierarchical structure for self-repairing FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279780}, doi = {10.1109/RECONFIG.2017.8279780}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KeezerY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KojimaAOA17, author = {Takuya Kojima and Naoki Ando and Hayate Okuhara and Hideharu Amano}, title = {Glitch-aware variable pipeline optimization for CGRAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279797}, doi = {10.1109/RECONFIG.2017.8279797}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KojimaAOA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LopesSF17, author = {Joao Lopes and Diogo Sousa and Jo{\~{a}}o Canas Ferreira}, title = {Evaluation of {CGRA} architecture for real-time processing of biological signals on wearable devices}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279789}, doi = {10.1109/RECONFIG.2017.8279789}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LopesSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaideeKZ17, author = {Pongstorn Maidee and Alireza Kaviani and Kevin Zeng}, title = {LinkBlaze: Efficient global data movement for FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279802}, doi = {10.1109/RECONFIG.2017.8279802}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MaideeKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NakamuraTYB17, author = {Hiroki Nakamura and Hirotaka Takayama and Yoshiki Yamaguchi and Taisuke Boku}, title = {Thorough analysis of PCIe Gen3 communication}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279824}, doi = {10.1109/RECONFIG.2017.8279824}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NakamuraTYB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NoronhaPW17, author = {Daniel H. Noronha and Jose P. Pinilla and Steven J. E. Wilton}, title = {Rapid circuit-specific inlining tuning for {FPGA} high-level synthesis}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279807}, doi = {10.1109/RECONFIG.2017.8279807}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NoronhaPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PaolinoPR17, author = {Michele Paolino and Sebastien Pinneterre and Daniel Raho}, title = {{FPGA} virtualization with accelerators overcommitment for network function virtualization}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279796}, doi = {10.1109/RECONFIG.2017.8279796}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PaolinoPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ParrinhaC17, author = {Diogo Parrinha and Ricardo Chaves}, title = {Flexible and low-cost {HSM} based on non-volatile FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279795}, doi = {10.1109/RECONFIG.2017.8279795}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ParrinhaC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Plessi17, author = {Christian Plessi}, title = {Keynote 2 - FPGA-accelerated high-performance computing - Close to breakthrough or pipedream?}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279813}, doi = {10.1109/RECONFIG.2017.8279813}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Plessi17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ramirez-Martinez17, author = {Metzli Ramirez{-}Martinez and Francisco Sanchez{-}Fernandez and Philippe Brunet and Sidi Mohammed Senouci and El{-}Bay Bourennane}, title = {Dynamic management of a partial reconfigurable hardware architecture for pedestrian detection in regions of interest}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279787}, doi = {10.1109/RECONFIG.2017.8279787}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Ramirez-Martinez17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RettkowskiG17, author = {Jens Rettkowski and Diana G{\"{o}}hringer}, title = {Application-specific processing using high-level synthesis for networks-on-chip}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279777}, doi = {10.1109/RECONFIG.2017.8279777}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RettkowskiG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RogersKS17, author = {Paul Rogers and Rajesh Kavasseri and Scott C. Smith}, title = {An FPGA-in-the-loop approach for {HDL} motor controller verification}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279774}, doi = {10.1109/RECONFIG.2017.8279774}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RogersKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RubattuPP17, author = {Claudio Rubattu and Francesca Palumbo and Maxime Pelcat}, title = {Adaptive software-augmented hardware reconfiguration with dataflow design automation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279772}, doi = {10.1109/RECONFIG.2017.8279772}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RubattuPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RuizSLZV17, author = {Mario Ruiz and Gustavo Sutter and Sergio L{\'{o}}pez{-}Buedo and Jose Fernando Zazo and Jorge E. L{\'{o}}pez de Vergara}, title = {An FPGA-based approach for packet deduplication in 100 gigabit-per-second networks}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279776}, doi = {10.1109/RECONFIG.2017.8279776}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RuizSLZV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SalmanFHYKG17, author = {Ahmad Salman and Ahmed Ferozpuri and Ekawat Homsirikamol and Panasayya Yalla and Jens{-}Peter Kaps and Kris Gaj}, title = {A scalable {ECC} processor implementation for high-speed and lightweight with side-channel countermeasures}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279769}, doi = {10.1109/RECONFIG.2017.8279769}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SalmanFHYKG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SalvadorRBO17, author = {Salvador Ibarra{-}Delgado and Remberto Sandoval{-}Arechiga and Mar{\'{\i}}a Brox and Manuel A. Ortiz}, title = {Software defined network controller: {A} neat solution administration for reconfigurable multi-core NoC}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279821}, doi = {10.1109/RECONFIG.2017.8279821}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SalvadorRBO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaANSHF17, author = {Lucas B. da Silva and Danilo Dami{\~{a}}o Almeida and Jos{\'{e}} Augusto Miranda Nacif and Ismael Sanchez{-}Osorio and Carlos A. Hernandez{-}Martinez and Ricardo Ferreira}, title = {Exploring the dynamics of large-scale gene regulatory networks using hardware acceleration on a heterogeneous {CPU-FPGA} platform}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279791}, doi = {10.1109/RECONFIG.2017.8279791}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaANSHF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SommerOH017, author = {Lukas Sommer and Julian Oppermann and Jaco A. Hofmann and Andreas Koch}, title = {Synthesis of interleaved multithreaded accelerators from OpenMP loops}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279823}, doi = {10.1109/RECONFIG.2017.8279823}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SommerOH017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SousaCTHT17, author = {{\'{E}}ricles Sousa and Arindam Chakraborty and Alexandru Tanase and Frank Hannig and J{\"{u}}rgen Teich}, title = {{TCPA} editor: {A} design automation environment for a class of coarse-grained reconfigurable arrays}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279818}, doi = {10.1109/RECONFIG.2017.8279818}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SousaCTHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SousaTHT17, author = {{\'{E}}ricles Sousa and Alexandru Tanase and Frank Hannig and J{\"{u}}rgen Teich}, title = {A reconfigurable memory architecture for system integration of coarse-grained reconfigurable arrays}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279768}, doi = {10.1109/RECONFIG.2017.8279768}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SousaTHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SoyluECG17, author = {Tuncay Soylu and Oguzhan Erdem and Aydin Carus and Edip S. G{\"{u}}ner}, title = {Simple {CART} based real-time traffic classification engine on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279820}, doi = {10.1109/RECONFIG.2017.8279820}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SoyluECG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TariqCS17, author = {Muhammad Usman Tariq and Umer I. Cheema and Fahad Saeed}, title = {Power-efficient and highly scalable parallel graph sampling using FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279806}, doi = {10.1109/RECONFIG.2017.8279806}, timestamp = {Sun, 27 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TariqCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Torres-HuitzilG17, author = {C{\'{e}}sar Torres{-}Huitzil and Bernard Girau}, title = {Fault tolerance in neural networks: Neural design and hardware implementation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279793}, doi = {10.1109/RECONFIG.2017.8279793}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Torres-HuitzilG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Watson17, author = {John Watson}, title = {Keynote 1 - Education is not learning facts, but training the mind to think}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279812}, doi = {10.1109/RECONFIG.2017.8279812}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Watson17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/XuCS17, author = {Siyuan Xu and Jianqi Chen and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {{HW/SW} co-design experimental framework using configurable SoCs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279801}, doi = {10.1109/RECONFIG.2017.8279801}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/XuCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YallaK17, author = {Panasayya Yalla and Jens{-}Peter Kaps}, title = {Evaluation of the {CAESAR} hardware {API} for lightweight implementations}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279790}, doi = {10.1109/RECONFIG.2017.8279790}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/YallaK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZazoLRS17, author = {Jose Fernando Zazo and Sergio L{\'{o}}pez{-}Buedo and Mario Ruiz and Gustavo Sutter}, title = {A single-FPGA architecture for detecting heavy hitters in 100 Gbit/s ethernet links}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279770}, doi = {10.1109/RECONFIG.2017.8279770}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZazoLRS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZengZP17, author = {Hanqing Zeng and Chi Zhang and Viktor K. Prasanna}, title = {Fast generation of high throughput customized deep learning accelerators on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279792}, doi = {10.1109/RECONFIG.2017.8279792}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZengZP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhangAC17, author = {Sizhuo Zhang and Hari Angepat and Derek Chiou}, title = {HGum: Messaging framework for hardware accelerators}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279799}, doi = {10.1109/RECONFIG.2017.8279799}, timestamp = {Thu, 08 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhangAC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhouKP17, author = {Shijie Zhou and Rajgopal Kannan and Viktor K. Prasanna}, title = {Accelerating low rank matrix completion on {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RECONFIG.2017.8279771}, doi = {10.1109/RECONFIG.2017.8279771}, timestamp = {Wed, 02 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhouKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2017, title = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8268902/proceeding}, isbn = {978-1-5386-3797-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AhmedRF16, author = {Khaled E. Ahmed and Mohamed R. M. Rizk and Mohammed M. Farag}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Overloaded {CDMA} interconnect for Network-on-Chip (OCNoC)}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857179}, doi = {10.1109/RECONFIG.2016.7857179}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AhmedRF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AyORS16, author = {Atil U. Ay and Erdin{\c{c}} {\"{O}}zt{\"{u}}rk and Francisco Rodr{\'{\i}}guez{-}Henr{\'{\i}}quez and Erkay Savas}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Design and implementation of a constant-time {FPGA} accelerator for fast elliptic curve cryptography}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857163}, doi = {10.1109/RECONFIG.2016.7857163}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AyORS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BartikUK16, author = {Matej Bartik and Sven Ubik and Pavel Kubal{\'{\i}}k}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {A novel and efficient method to initialize {FPGA} embedded memory content in asymptotically constant time}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857146}, doi = {10.1109/RECONFIG.2016.7857146}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BartikUK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BecherPHTW16, author = {Andreas Becher and Jutta Pirkl and Achim Herrmann and J{\"{u}}rgen Teich and Stefan Wildermann}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Hybrid energy-aware reconfiguration management on Xilinx Zynq SoCs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857177}, doi = {10.1109/RECONFIG.2016.7857177}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BecherPHTW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BecherWMT16, author = {Andreas Becher and Stefan Wildermann and Moritz M{\"{u}}hlenthaler and J{\"{u}}rgen Teich}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {ReOrder: Runtime datapath generation for high-throughput multi-stream processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857185}, doi = {10.1109/RECONFIG.2016.7857185}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BecherWMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Booth16, author = {Skip Booth}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Keynote 2 - FPGAs in the datacenter - {A} software view}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857139}, doi = {10.1109/RECONFIG.2016.7857139}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Booth16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BuhrowGG16, author = {Benjamin R. Buhrow and William J. Goetzinger and Barry K. Gilbert}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {1 Tb/s anti-replay protection with 20-port on-chip {RAM} memory in FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857190}, doi = {10.1109/RECONFIG.2016.7857190}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BuhrowGG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChenMZ16, author = {Qianqiao Chen and Vaibhawa Mishra and Georgios Zervas}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Reconfigurable computing for network function virtualization: {A} protocol independent switch}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857183}, doi = {10.1109/RECONFIG.2016.7857183}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ChenMZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FanniR16, author = {Tiziana Fanni and Luigi Raffo}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Coarse grain reconfiguration: Power estimation and management flow for hybrid gated systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857160}, doi = {10.1109/RECONFIG.2016.7857160}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FanniR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FarahmandHG16, author = {Farnoud Farahmand and Ekawat Homsirikamol and Kris Gaj}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {A Zynq-based testbed for the experimental benchmarking of algorithms competing in cryptographic contests}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857148}, doi = {10.1109/RECONFIG.2016.7857148}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FarahmandHG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FebboMM16, author = {Paolo Di Febbo and Stefano Mattoccia and Carlo Dal Mutto}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Real-time image distortion correction: Analysis and evaluation of FPGA-compatible algorithms}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857182}, doi = {10.1109/RECONFIG.2016.7857182}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FebboMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FerreiraF16, author = {Jo{\~{a}}o Canas Ferreira and Jose Fonseca}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {An {FPGA} implementation of a long short-term memory neural network}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857151}, doi = {10.1109/RECONFIG.2016.7857151}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FerreiraF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GarciaOdFO16, author = {Kledermon Garcia and Duarte Lopes de Oliveira and Roberto d'Amore and Lester de Abreu Faria and Joao Luis V. Oliveira}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {{FPGA} implementation of optimized {XBM} specifications by transformation for AFSMs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857171}, doi = {10.1109/RECONFIG.2016.7857171}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GarciaOdFO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GleinRH16, author = {Rob{\'{e}}rt Glein and Florian Rittner and Albert Heuberger}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Adaptive single-event effect mitigation for dependable processing systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857149}, doi = {10.1109/RECONFIG.2016.7857149}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GleinRH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HamadaM16, author = {Akihiko Hamada and Hiroki Matsutani}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Design and implementation of hardware cache mechanism and {NIC} for column-oriented databases}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857164}, doi = {10.1109/RECONFIG.2016.7857164}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HamadaM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HaroldsenNH16, author = {Travis Haroldsen and Brent E. Nelson and Brad L. Hutchings}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Packing a modern Xilinx {FPGA} using RapidSmith}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857180}, doi = {10.1109/RECONFIG.2016.7857180}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HaroldsenNH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HoWNS16, author = {Sam M. H. Ho and Maolin Wang and Ho{-}Cheung Ng and Hayden Kwok{-}Hay So}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Towards FPGA-assisted spark: An {SVM} training acceleration case study}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857194}, doi = {10.1109/RECONFIG.2016.7857194}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HoWNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HofmannK016, author = {Jaco A. Hofmann and Jens Korinth and Andreas Koch}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {A scalable latency-insensitive architecture for FPGA-accelerated semi-global matching in stereo vision applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857147}, doi = {10.1109/RECONFIG.2016.7857147}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HofmannK016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HoutgastSMBA16, author = {Ernst Joachim Houtgast and Vlad Mihai Sima and Giacomo Marchiori and Koen Bertels and Zaid Al{-}Ars}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Power-efficiency analysis of accelerated {BWA-MEM} implementations on heterogeneous computing platforms}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857181}, doi = {10.1109/RECONFIG.2016.7857181}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HoutgastSMBA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JacobyL16, author = {Andres Jacoby and Daniel Llamocca}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Dual fixed-point {CORDIC} processor: Architecture and {FPGA} implementation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857166}, doi = {10.1109/RECONFIG.2016.7857166}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JacobyL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JosephWEBP16, author = {Jan Moritz Joseph and Tobias Winker and Kristian Ehlers and Christopher Blochwitz and Thilo Pionteck}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Hardware-accelerated pose estimation for embedded systems using Vivado {HLS}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857173}, doi = {10.1109/RECONFIG.2016.7857173}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JosephWEBP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JungH16, author = {Lukas Johannes Jung and Christian Hochberger}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Optimal processor interface for CGRA-based accelerators implemented on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857178}, doi = {10.1109/RECONFIG.2016.7857178}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JungH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JungkS16, author = {Bernhard Jungk and Marc St{\"{o}}ttinger}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Hobbit - Smaller but faster than a dwarf: Revisiting lightweight {SHA-3} {FPGA} implementations}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857176}, doi = {10.1109/RECONFIG.2016.7857176}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JungkS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KalbG16, author = {Tobias Kalb and Diana G{\"{o}}hringer}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Enabling dynamic and partial reconfiguration in Xilinx SDSoC}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857168}, doi = {10.1109/RECONFIG.2016.7857168}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KalbG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KarakchiBB16, author = {Rasha Karakchi and Jordan A. Bradshaw and Jason D. Bakos}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {High-level synthesis of a genomic database search engine}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857174}, doi = {10.1109/RECONFIG.2016.7857174}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KarakchiBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KaramHRTB16, author = {Robert Karam and Tamzidul Hoque and Sandip Ray and Mark M. Tehranipoor and Swarup Bhunia}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Technical demonstration session: Software toolflow for {FPGA} bitstream obfuscation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857141}, doi = {10.1109/RECONFIG.2016.7857141}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KaramHRTB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KaramHRTB16a, author = {Robert Karam and Tamzidul Hoque and Sandip Ray and Mark M. Tehranipoor and Swarup Bhunia}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Robust bitstream protection in FPGA-based systems through low-overhead obfuscation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857187}, doi = {10.1109/RECONFIG.2016.7857187}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KaramHRTB16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KhanG16, author = {Habib ul Hasan Khan and Diana G{\"{o}}hringer}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {{FPGA} debugging by a device start and stop approach}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857170}, doi = {10.1109/RECONFIG.2016.7857170}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KhanG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KhanhA016, author = {Pham Nam Khanh and Khin Mi Mi Aung and Akash Kumar}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Automatic framework to generate reconfigurable accelerators for option pricing applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857157}, doi = {10.1109/RECONFIG.2016.7857157}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KhanhA016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KieferVSS16, author = {Gundolf Kiefer and Matthias Vahl and Julian Sarcher and Michael Schaeferling}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {A configurable architecture for the generalized hough transform applied to the analysis of huge aerial images and to traffic sign detection}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857143}, doi = {10.1109/RECONFIG.2016.7857143}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KieferVSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KoehnA16, author = {Thaddeus Koehn and Peter Athanas}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Automating structured matrix-matrix multiplication for stream processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857158}, doi = {10.1109/RECONFIG.2016.7857158}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KoehnA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KusanoIAM16, author = {Hotaka Kusano and Masayuki Ikebe and Tetsuya Asai and Masato Motomura}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {An FPGA-optimized architecture of anti-aliasing based super resolution for real-time {HDTV} to 4K- and 8K-UHD conversions}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857153}, doi = {10.1109/RECONFIG.2016.7857153}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KusanoIAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LieskeRRF16, author = {Tobias Lieske and Marc Reichenbach and Burkhard Ringlein and Dietmar Fey}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Dataflow optimization for programmable embedded image preprocessing accelerators}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857161}, doi = {10.1109/RECONFIG.2016.7857161}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LieskeRRF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaAGC16, author = {Sen Ma and David Andrews and Shanyuan Gao and Jaime Cummins}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Breeze computing: {A} just in time {(JIT)} approach for virtualizing FPGAs in the cloud}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857159}, doi = {10.1109/RECONFIG.2016.7857159}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MaAGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MeisnerP16, author = {Sebastian Meisner and Marco Platzner}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Thread shadowing: On the effectiveness of error detection at the hardware thread level}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857193}, doi = {10.1109/RECONFIG.2016.7857193}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MeisnerP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MeloniD0LRB16, author = {Paolo Meloni and Gianfranco Deriu and Francesco Conti and Igor Loi and Luigi Raffo and Luca Benini}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {A high-efficiency runtime reconfigurable {IP} for {CNN} acceleration on a mid-range all-programmable SoC}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857144}, doi = {10.1109/RECONFIG.2016.7857144}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MeloniD0LRB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MishraCZ16, author = {Vaibhawa Mishra and Qianqiao Chen and Georgios Zervas}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {REoN: {A} protocol for reliable software-defined {FPGA} partial reconfiguration over network}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857184}, doi = {10.1109/RECONFIG.2016.7857184}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MishraCZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NgWCVJHTSS16, author = {Ho{-}Cheung Ng and Maolin Wang and Bob M. F. Chung and B. Sharat Chandra Varma and Manish Kumar Jaiswal and Sam M. H. Ho and Kevin K. Tsia and Ho Cheung Shum and Hayden Kwok{-}Hay So}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {High-throughput cellular imaging with high-speed asymmetric-detection time-stretch optical microscopy under {FPGA} platform}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857175}, doi = {10.1109/RECONFIG.2016.7857175}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NgWCVJHTSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Nila-OlmedoMEM16, author = {N. Nila{-}Olmedo and Fortino Mendoza{-}Mondrag{\'{o}}n and Alejandro Espinosa{-}Calderon and Moreno}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {{ARM+FPGA} platform to manage solid-state-smart transformer in smart grid application}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857155}, doi = {10.1109/RECONFIG.2016.7857155}, timestamp = {Sat, 04 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Nila-OlmedoMEM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OrimoAUIAM16, author = {Kentaro Orimo and Kota Ando and Kodai Ueyoshi and Masayuki Ikebe and Tetsuya Asai and Masato Motomura}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {{FPGA} architecture for feed-forward sequential memory network targeting long-term time-series forecasting}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857169}, doi = {10.1109/RECONFIG.2016.7857169}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OrimoAUIAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PosewskyZ16, author = {Thorbj{\"{o}}rn Posewsky and Daniel Ziener}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Efficient deep neural network acceleration through FPGA-based batch processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857167}, doi = {10.1109/RECONFIG.2016.7857167}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PosewskyZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PreuserZLS16, author = {Thomas B. Preu{\ss}er and Martin Zabel and Patrick Lehmann and Rainer G. Spallek}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {The portable open-source {IP} core and utility library PoC}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857191}, doi = {10.1109/RECONFIG.2016.7857191}, timestamp = {Sat, 28 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PreuserZLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PreusserK16, author = {Thomas B. Preu{\ss}er and Markus Krause}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Survey on and re-evaluation of wide adder architectures on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857189}, doi = {10.1109/RECONFIG.2016.7857189}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PreusserK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RettkowskiFG16, author = {Jens Rettkowski and Konstantin Friesen and Diana G{\"{o}}hringer}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {RePaBit: Automated generation of relocatable partial bitstreams for Xilinx Zynq FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857186}, doi = {10.1109/RECONFIG.2016.7857186}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RettkowskiFG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RezaeiHMBVNP16, author = {Siavash Rezaei and C{\'{e}}sar{-}Alejandro Hern{\'{a}}ndez{-}Calder{\'{o}}n and Saeed Mirzamohammadi and Eli Bozorgzadeh and Alexander V. Veidenbaum and Alex Nicolau and Michael J. Prather}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Data-rate-aware FPGA-based acceleration framework for streaming applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857162}, doi = {10.1109/RECONFIG.2016.7857162}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RezaeiHMBVNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RittnerGH16, author = {Florian Rittner and Rob{\'{e}}rt Glein and Albert Heuberger}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Detection and Isolation of permanent faults in FPGAs with remote access}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857165}, doi = {10.1109/RECONFIG.2016.7857165}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RittnerGH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RogersKS16, author = {Paul Rogers and Rajesh Kavasseri and Scott C. Smith}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {An FPGA-based design for joint control and monitoring of permanent magnet synchronous motors}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857152}, doi = {10.1109/RECONFIG.2016.7857152}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RogersKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RuizSLV16, author = {Mario Ruiz and Gustavo Sutter and Sergio L{\'{o}}pez{-}Buedo and Jorge E. L{\'{o}}pez de Vergara}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {FPGA-based encrypted network traffic identification at 100 Gbit/s}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857172}, doi = {10.1109/RECONFIG.2016.7857172}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RuizSLV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Schelle16, author = {Graham Schelle}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Keynote 1 - Growing the ReConFig community through python, zynq and hardware overlays}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857138}, doi = {10.1109/RECONFIG.2016.7857138}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Schelle16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SohanghpurwalaA16, author = {Ali Asgar Sohanghpurwala and Peter M. Athanas}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {An effective probability distribution {SAT} solver on reconfigurable hardware}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857150}, doi = {10.1109/RECONFIG.2016.7857150}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SohanghpurwalaA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VaasRMF16, author = {Steffen Vaas and Marc Reichenbach and Ulrich Margull and Dietmar Fey}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {The {R2-D2} toolchain - Automated porting of safety-critical applications to FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857192}, doi = {10.1109/RECONFIG.2016.7857192}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VaasRMF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VavourasB16, author = {Michail S. Vavouras and Christos{-}Savvas Bouganis}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Area-driven partial reconfiguration for {SEU} mitigation on SRAM-based FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857154}, doi = {10.1109/RECONFIG.2016.7857154}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VavourasB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangSN16, author = {Wen Wang and Jakub Szefer and Ruben Niederhagen}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Solving large systems of linear equations over {GF(2)} on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857188}, doi = {10.1109/RECONFIG.2016.7857188}, timestamp = {Thu, 11 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WangSN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YahiroLNNTC16, author = {Nobuyuki Yahiro and Bo Liu and Atsushi Nanri and Shigetoshi Nakatake and Yasuhiro Takashima and Gong Chen}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {A multi-functional memory unit with PLA-based reconfigurable decoder}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857145}, doi = {10.1109/RECONFIG.2016.7857145}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/YahiroLNNTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZazoLSA16, author = {Jose Fernando Zazo and Sergio L{\'{o}}pez{-}Buedo and Gustavo Sutter and Javier Aracil}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Automated synthesis of FPGA-based packet filters for 100 Gbps network monitoring applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857156}, doi = {10.1109/RECONFIG.2016.7857156}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZazoLSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2016, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7838017/proceeding}, isbn = {978-1-5090-3707-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AhlbergEESA15, author = {Carl Ahlberg and Fredrik Ekstrand and Mikael Ekstr{\"{o}}m and Giacomo Spampinato and Lars Asplund}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {{GIMME2} - an embedded system for stereo vision and processing of megapixel images with FPGA-acceleration}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393318}, doi = {10.1109/RECONFIG.2015.7393318}, timestamp = {Wed, 28 Apr 2021 16:06:54 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AhlbergEESA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AndradeDELSSW15, author = {Hugo A. Andrade and Patricia Derler and John C. Eidson and Ya{-}Shian Li{-}Baboud and Aviral Shrivastava and Kevin B. Stanton and Marc Weiss}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Towards a reconfigurable distributed testbed to enable advanced research and development of timing and synchronization in cyber-physical systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393352}, doi = {10.1109/RECONFIG.2015.7393352}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AndradeDELSSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AstarloaMBUM15, author = {Armando Astarloa and Naiara Moreira and Unai Bidarte and Marcelo Urbina and David Modrono}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {{FPGA} based nodes for sub-microsecond synchronization of cyber-physical production systems on high availability ring networks}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393316}, doi = {10.1109/RECONFIG.2015.7393316}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AstarloaMBUM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AttiaGTJZ15, author = {Osama G. Attia and Alex Grieve and Kevin R. Townsend and Phillip H. Jones and Joseph Zambreno}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Accelerating all-pairs shortest path using a message-passing reconfigurable architecture}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393284}, doi = {10.1109/RECONFIG.2015.7393284}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AttiaGTJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BackaschHBWGP15, author = {Rico Backasch and Gerald Hempel and Christopher Blochwitz and Stefan Werner and Sven Groppe and Thilo Pionteck}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {An architectural template for composing application specific datapaths at runtime}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393300}, doi = {10.1109/RECONFIG.2015.7393300}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BackaschHBWGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BahrebarS15, author = {Poona Bahrebar and Dirk Stroobandt}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Design and exploration of routing methods for NoC-based multicore systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393296}, doi = {10.1109/RECONFIG.2015.7393296}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BahrebarS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BanikBR15, author = {Subhadeep Banik and Andrey Bogdanov and Francesco Regazzoni}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Exploring the energy consumption of lightweight blockciphers in {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393308}, doi = {10.1109/RECONFIG.2015.7393308}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BanikBR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BeanKC15, author = {Andrew Bean and Nachiket Kapre and Peter Y. K. Cheung}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {{G-DMA:} improving memory access performance for hardware accelerated sparse graph computation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393317}, doi = {10.1109/RECONFIG.2015.7393317}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BeanKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BendaoudiGCTL15, author = {Hamza Bendaoudi and Qifeng Gan and Farida Cheriet and Houssem Ben Tahar and J. M. Pierre Langlois}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A run-length encoding co-processor for retinal image texture analysis}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393354}, doi = {10.1109/RECONFIG.2015.7393354}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BendaoudiGCTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BhargavPC15, author = {Siddharth S. Bhargav and Rishvanth K. Prabakar and Young H. Cho}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Accurate in-situ runtime measurement of energy per operation of system-on-chip on {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393286}, doi = {10.1109/RECONFIG.2015.7393286}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BhargavPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BlochwitzJBPWHG15, author = {Christopher Blochwitz and Jan Moritz Joseph and Rico Backasch and Thilo Pionteck and Stefan Werner and Dennis Heinrich and Sven Groppe}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {An optimized radix-tree for hardware-accelerated dictionary generation for semantic web databases}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393291}, doi = {10.1109/RECONFIG.2015.7393291}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BlochwitzJBPWHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BoschmannAWTKP15, author = {Alexander Boschmann and Andreas Agne and Linus Witschen and Georg Thombansen and Florian Kraus and Marco Platzner}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {FPGA-based acceleration of high density myoelectric signal processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393312}, doi = {10.1109/RECONFIG.2015.7393312}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BoschmannAWTKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BrandonHSLSBW15, author = {Anthony Brandon and Joost Hoozemans and Jeroen van Straten and Arthur Francisco Lorenzon and Anderson Luiz Sartor and Antonio Carlos Schneider Beck and Stephan Wong}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A sparse {VLIW} instruction encoding scheme compatible with generic binaries}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393361}, doi = {10.1109/RECONFIG.2015.7393361}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BrandonHSLSBW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BuhrowFGD15, author = {Benjamin Buhrow and Karl E. Fritz and Barry K. Gilbert and Erik S. Daniel}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A highly parallel {AES-GCM} core for authenticated encryption of 400 Gb/s network protocols}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393321}, doi = {10.1109/RECONFIG.2015.7393321}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BuhrowFGD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CattaneoPSS15, author = {Riccardo Cattaneo and Gabriele Pallotta and Donatella Sciuto and Marco D. Santambrogio}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Explicitly isolating data and computation in high level synthesis: the role of polyhedral framework}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393304}, doi = {10.1109/RECONFIG.2015.7393304}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/CattaneoPSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ContrerasCML15, author = {Luis Contreras and S{\'{e}}rgio Cruz and Jos{\'{e}} Maur{\'{\i}}cio S. T. Motta and Carlos H. Llanos}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {{FPGA} implementation of the {EKF} algorithm for localization in mobile robotics using a unified hardware module approach}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393315}, doi = {10.1109/RECONFIG.2015.7393315}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ContrerasCML15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/David15, author = {Jean{-}Pierre David}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Low latency solver for linear equation systems in floating point arithmetic}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393326}, doi = {10.1109/RECONFIG.2015.7393326}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/David15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DeianaRCS15, author = {Enrico A. Deiana and Marco Rabozzi and Riccardo Cattaneo and Marco D. Santambrogio}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A multiobjective reconfiguration-aware scheduler for FPGA-based heterogeneous architectures}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393328}, doi = {10.1109/RECONFIG.2015.7393328}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DeianaRCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DingH15, author = {Hongyuan Ding and Miaoqing Huang}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Achieving energy-efficiency on MPSoCs: performance and power optimizations}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393287}, doi = {10.1109/RECONFIG.2015.7393287}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DingH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DingH15a, author = {Hongyuan Ding and Miaoqing Huang}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Exploiting hardware abstraction for hybrid parallel computing framework}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393305}, doi = {10.1109/RECONFIG.2015.7393305}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DingH15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ErbagciBDM15, author = {Burak Erbagci and Mudit Bhargava and Rachel Dondero and Ken Mai}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Deeply hardware-entangled reconfigurable logic and interconnect}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393295}, doi = {10.1109/RECONFIG.2015.7393295}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ErbagciBDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FanniSMRP15, author = {Tiziana Fanni and Carlo Sau and Paolo Meloni and Luigi Raffo and Francesca Palumbo}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Power modelling for saving strategies in coarse grained reconfigurable systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393337}, doi = {10.1109/RECONFIG.2015.7393337}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FanniSMRP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GehrerLS15, author = {Stefan Gehrer and Sebastien Leger and Georg Sigl}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Aging effects on ring-oscillator-based physical unclonable functions on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393289}, doi = {10.1109/RECONFIG.2015.7393289}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GehrerLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GhasempourHNPGL15, author = {Mohsen Ghasempour and Jonathan Heathcote and Javier Navaridas and Luis A. Plana and Jim D. Garside and Mikel Luj{\'{a}}n}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Analysis of {FPGA} and software approaches to simulate unconventional computer architectures}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393292}, doi = {10.1109/RECONFIG.2015.7393292}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GhasempourHNPGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ghiu15, author = {Gordon Ghiu}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Keynote 2 - Towards datacenter computing with FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393345}, doi = {10.1109/RECONFIG.2015.7393345}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Ghiu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GnemmiCDCPS15, author = {Giulia Gnemmi and Mattia Crippa and Gianluca Durelli and Riccardo Cattaneo and Gabriele Pallotta and Marco D. Santambrogio}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {On how to efficiently accelerate brain network analysis on FPGA-based computing system}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393330}, doi = {10.1109/RECONFIG.2015.7393330}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GnemmiCDCPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GokhaleHC15, author = {Maya B. Gokhale and Michael H{\"{u}}bner and Ren{\'{e}} Cumplido}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Message from chairs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393346}, doi = {10.1109/RECONFIG.2015.7393346}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GokhaleHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HagerBS15, author = {Sven Hager and Daniel Bendyk and Bj{\"{o}}rn Scheuermann}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Partial reconfiguration and specialized circuitry for flexible FPGA-based packet processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393333}, doi = {10.1109/RECONFIG.2015.7393333}, timestamp = {Wed, 13 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HagerBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HategekimanaTBK15, author = {Festus Hategekimana and Adil Tbatou and Christophe Bobda and Charles A. Kamhoua and Kevin A. Kwiat}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Hardware isolation technique for IRC-based botnets detection}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393319}, doi = {10.1109/RECONFIG.2015.7393319}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HategekimanaTBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HomsirikamolDFF15, author = {Ekawat Homsirikamol and William Diehl and Ahmed Ferozpuri and Farnoud Farahmand and Malik Umar Sharif and Kris Gaj}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A universal hardware {API} for authenticated ciphers}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393283}, doi = {10.1109/RECONFIG.2015.7393283}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HomsirikamolDFF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HoozemansJSBW15, author = {Joost Hoozemans and Jens Johansen and Jeroen van Straten and Anthony Brandon and Stephan Wong}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Multiple contexts in a multi-ported {VLIW} register file implementation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393329}, doi = {10.1109/RECONFIG.2015.7393329}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HoozemansJSBW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IbraheemIHPR15, author = {Omar W. Ibraheem and Arif Irwansyah and Jens Hagemeyer and Mario Porrmann and Ulrich R{\"{u}}ckert}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A resource-efficient multi-camera GigE vision {IP} core for embedded vision processing platforms}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393282}, doi = {10.1109/RECONFIG.2015.7393282}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IbraheemIHPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IrwansyahIHPR15, author = {Arif Irwansyah and Omar W. Ibraheem and Jens Hagemeyer and Mario Porrmann and Ulrich R{\"{u}}ckert}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393313}, doi = {10.1109/RECONFIG.2015.7393313}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IrwansyahIHPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JaeschkeIZHP15, author = {Timo Jaeschke and Patrick Imberg and Michael Zapke and Michael H{\"{u}}bner and Nils Pohl}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Scalable modular hardware platform for {FPGA} based industrial radar flowmeters}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393357}, doi = {10.1109/RECONFIG.2015.7393357}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JaeschkeIZHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Jayet-GriffonCM15, author = {C. Jayet{-}Griffon and Marie{-}Angela Cornelie and Paolo Maistri and Philippe Elbaz{-}Vincent and R{\'{e}}gis Leveugle}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Polynomial multipliers for fully homomorphic encryption on {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393335}, doi = {10.1109/RECONFIG.2015.7393335}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Jayet-GriffonCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JunCA15, author = {Sang Woo Jun and Chanwoo Chung and Arvind}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Large-scale high-dimensional nearest neighbor search using flash memory with in-store processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393324}, doi = {10.1109/RECONFIG.2015.7393324}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JunCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JungH15, author = {Lukas Johannes Jung and Christian Hochberger}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Feasibility of high level compiler optimizations in online synthesis}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393310}, doi = {10.1109/RECONFIG.2015.7393310}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JungH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KoehnA15, author = {Thaddeus Koehn and Peter Athanas}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Buffering strategies for ultra high-throughput stream processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393294}, doi = {10.1109/RECONFIG.2015.7393294}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KoehnA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KoehnCA15, author = {Thaddeus Koehn and Matthew Carrick and Peter Athanas}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {An efficient structure for run-time configuration of synthesis and channelizer filter banks}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393302}, doi = {10.1109/RECONFIG.2015.7393302}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KoehnCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KulkarniBS15, author = {Amit Kulkarni and Robin Bonamy and Dirk Stroobandt}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Power measurements and analysis for dynamic circuit specialization}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393336}, doi = {10.1109/RECONFIG.2015.7393336}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KulkarniBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KulkarniVS15, author = {Amit Kulkarni and Kizheppatt Vipin and Dirk Stroobandt}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {MiCAP: a custom reconfiguration controller for dynamic circuit specialization}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393327}, doi = {10.1109/RECONFIG.2015.7393327}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KulkarniVS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LecomteFM15, author = {Maxime Lecomte and Jacques J. A. Fournier and Philippe Maurine}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Thoroughly analyzing the use of ring oscillators for on-chip hardware trojan detection}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393363}, doi = {10.1109/RECONFIG.2015.7393363}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LecomteFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LeeA15, author = {Kevin Lee and Peter Athanas}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Shape exploration for modules in rapid assembly workflows}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393358}, doi = {10.1109/RECONFIG.2015.7393358}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LeeA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LimaMMC15, author = {Roberto de Lima and Jos{\'{e}} Mart{\'{\i}}nez{-}Carranza and Alicia Morales{-}Reyes and Ren{\'{e}} Cumplido}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Accelerating the construction of {BRIEF} descriptors using an FPGA-based architecture}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393285}, doi = {10.1109/RECONFIG.2015.7393285}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LimaMMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaDHA15, author = {Sen Ma and Hongyuan Ding and Miaoqing Huang and David Andrews}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Archborn: an open source tool for automated generation of chip heterogeneous multiprocessor architectures}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393293}, doi = {10.1109/RECONFIG.2015.7393293}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MaDHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MackBL15, author = {Joshua Mack and Sam Bellestri and Daniel Llamocca}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Floating point CORDIC-based architecture for powering computation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393311}, doi = {10.1109/RECONFIG.2015.7393311}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MackBL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaideeK15, author = {Pongstorn Maidee and Alireza Kaviani}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Improving {FPGA} NoC performance using virtual cut-through switching technique}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393323}, doi = {10.1109/RECONFIG.2015.7393323}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MaideeK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MasuyamaFOA15, author = {Koichiro Masuyama and Yu Fujita and Hayate Okuhara and Hideharu Amano}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A 297mops/0.4mw ultra low power coarse-grained reconfigurable accelerator {CMA-SOTB-2}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393280}, doi = {10.1109/RECONFIG.2015.7393280}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MasuyamaFOA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Mencer15, author = {Oskar Mencer}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Keynote 1 - From data to information to flow}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393344}, doi = {10.1109/RECONFIG.2015.7393344}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Mencer15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MiglioreRLTFG15, author = {Vincent Migliore and Maria Mendez Real and Vianney Lapotre and Arnaud Tisserand and Caroline Fontaine and Guy Gogniat}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Exploration of polynomial multiplication algorithms for homomorphic encryption schemes}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393307}, doi = {10.1109/RECONFIG.2015.7393307}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MiglioreRLTFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MirianC15, author = {Vincent Mirian and Paul Chow}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Evaluating shared virtual memory in an OpenCL framework for embedded systems on FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393303}, doi = {10.1109/RECONFIG.2015.7393303}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MirianC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MirianC15a, author = {Vincent Mirian and Paul Chow}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {{UT-OCL:} an OpenCL framework for embedded systems using xilinx FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393366}, doi = {10.1109/RECONFIG.2015.7393366}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MirianC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MonsonH15, author = {Joshua S. Monson and Brad L. Hutchings}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Using shadow pointers to trace {C} pointer values in {FPGA} circuits}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393364}, doi = {10.1109/RECONFIG.2015.7393364}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MonsonH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NabiV15, author = {Syed Waqar Nabi and Wim Vanderbauwhede}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Using type transformations to generate program variants for {FPGA} design space exploration}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393365}, doi = {10.1109/RECONFIG.2015.7393365}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NabiV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PattisonFGA15, author = {Ryan Pattison and Christian Fobel and Gary William Grewal and Shawki Areibi}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Scalable analytic placement for {FPGA} on {GPGPU}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393356}, doi = {10.1109/RECONFIG.2015.7393356}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PattisonFGA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PerezAGPT15, author = {Javier P{\'{e}}rez and Aiman Alabdo and Gabriel J. Garc{\'{\i}}a and Jorge Pomares and Fernando Torres Medina}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {FPGA-based visual control of robot manipulators using dynamic perceptibility}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393314}, doi = {10.1109/RECONFIG.2015.7393314}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PerezAGPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PowellS15, author = {Andrew Powell and Dennis Silage}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Statistical performance of the {ARM} cortex {A9} accelerator coherency port in the xilinx zynq SoC for real-time applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393362}, doi = {10.1109/RECONFIG.2015.7393362}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PowellS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RakossyANALC15, author = {Zolt{\'{a}}n Endre R{\'{a}}kossy and Axel Acosta{-}Aponte and Tobias G. Noll and Gerd Ascheid and Rainer Leupers and Anupam Chattopadhyay}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Design and synthesis of reconfigurable control-flow structures for {CGRA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393298}, doi = {10.1109/RECONFIG.2015.7393298}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RakossyANALC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RakotomalalaNND15, author = {Henitsoa Rakotomalala and Xuan Thuy Ngo and Zakaria Najm and Jean{-}Luc Danger and Sylvain Guilley}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Private circuits {II} versus fault injection attacks}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393338}, doi = {10.1109/RECONFIG.2015.7393338}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RakotomalalaNND15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ReichenbachLVHF15, author = {Marc Reichenbach and Tobias Lieske and Steffen Vaas and Konrad H{\"{a}}ublein and Dietmar Fey}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {{FAUPU} - {A} design framework for the development of programmable image processing architectures}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393309}, doi = {10.1109/RECONFIG.2015.7393309}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ReichenbachLVHF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RettkowskiBG15, author = {Jens Rettkowski and Andrew Boutros and Diana G{\"{o}}hringer}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Real-time pedestrian detection on a xilinx zynq using the {HOG} algorithm}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393339}, doi = {10.1109/RECONFIG.2015.7393339}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RettkowskiBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RodriguezVT15, author = {Alfonso Rodr{\'{\i}}guez and Juan Valverde and Eduardo de la Torre}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Design of OpenCL-compatible multithreaded hardware accelerators with dynamic support for embedded FPGAs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393297}, doi = {10.1109/RECONFIG.2015.7393297}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RodriguezVT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RuizySLRVA15, author = {Mario Ruiz and Gustavo Sutter and Sergio L{\'{o}}pez{-}Buedo and Javier Ramos and Jorge E. L{\'{o}}pez de Vergara and Javier Aracil}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Leveraging open source platforms and high-level synthesis for the design of FPGA-based 10 GbE active network probes}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393325}, doi = {10.1109/RECONFIG.2015.7393325}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RuizySLRVA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SantosACLCA15, author = {L. Canche Santos and Alejandro Castillo Atoche and J. Vazquez Castillo and Omar Longoria{-}Gandara and Roberto Carrasco{-}Alvarez and Jaime Orteg{\'{o}}n{-}Aguilar}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {An improved hardware design for matrix inverse based on systolic array {QR} decomposition and piecewise polynomial approximation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393290}, doi = {10.1109/RECONFIG.2015.7393290}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SantosACLCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SauFMRP15, author = {Carlo Sau and Luca Fanni and Paolo Meloni and Luigi Raffo and Francesca Palumbo}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Reconfigurable coprocessors synthesis in the {MPEG-RVC} domain}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393351}, doi = {10.1109/RECONFIG.2015.7393351}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SauFMRP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchmidtB15, author = {Juri Schmidt and Ulrich Br{\"{u}}ning}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {openHMC - a configurable open-source hybrid memory cube controller}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393331}, doi = {10.1109/RECONFIG.2015.7393331}, timestamp = {Sat, 04 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SchmidtB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SepulvedaFG15, author = {Johanna Sep{\'{u}}lveda and Daniel Fl{\'{o}}rez and Guy Gogniat}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Efficient and flexible NoC-based group communication for secure MPSoCs}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393301}, doi = {10.1109/RECONFIG.2015.7393301}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SepulvedaFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaDBD15, author = {Bruno A. Silva and Alexandre C. B. Delbem and Vanderlei Bonato and Pedro C. Diniz}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Runtime mapping and scheduling for energy efficiency in heterogeneous multi-core systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393355}, doi = {10.1109/RECONFIG.2015.7393355}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaDBD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SkalickyALL15, author = {Sam Skalicky and Tejaswini Ananthanarayana and Sonia L{\'{o}}pez and Marcin Lukowiak}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Designing customized {ISA} processors using high level synthesis}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393299}, doi = {10.1109/RECONFIG.2015.7393299}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SkalickyALL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SrivastavaCPC15, author = {Ajitesh Srivastava and Ren Chen and Viktor K. Prasanna and Charalampos Chelmis}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A hybrid design for high performance large-scale sorting on {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393322}, doi = {10.1109/RECONFIG.2015.7393322}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SrivastavaCPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SuseI15, author = {Viorel Suse and Dan Ionescu}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A real-time reconfigurable architecture for face detection}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393281}, doi = {10.1109/RECONFIG.2015.7393281}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SuseI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ThomasFB15, author = {Nikhil Thomas and Andrew Felder and Christophe Bobda}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Adaptive controller using runtime partial hardware reconfiguration for unmanned aerial vehicles (UAVs)}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393288}, doi = {10.1109/RECONFIG.2015.7393288}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ThomasFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TongP15, author = {Da Tong and Viktor K. Prasanna}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {High throughput sketch based online heavy change detection on {FPGA}}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393320}, doi = {10.1109/RECONFIG.2015.7393320}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TongP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VarcholaDRZ15, author = {Michal Varchola and Milos Drutarovsk{\'{y}} and Marek Repka and Pavol Zajac}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Side channel attack on multiprecision multiplier used in protected {ECDSA} implementation}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393359}, doi = {10.1109/RECONFIG.2015.7393359}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VarcholaDRZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VarelaBSWTO15, author = {Javier Alejandro Varela and Christian Brugger and Christian de Schryver and Norbert Wehn and Songyin Tang and Steffen Omland}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Exploiting the brownian bridge technique to improve longstaff-schwartz american option pricing on {FPGA} systems}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393306}, doi = {10.1109/RECONFIG.2015.7393306}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VarelaBSWTO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZazoLA015, author = {Jose Fernando Zazo and Sergio L{\'{o}}pez{-}Buedo and Yury Audzevich and Andrew W. Moore}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A PCIe {DMA} engine to support the virtualization of 40 Gbps FPGA-accelerated network appliances}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393334}, doi = {10.1109/RECONFIG.2015.7393334}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZazoLA015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhangMZJ15, author = {Pei Zhang and Aaron Mills and Joseph Zambreno and Phillip H. Jones}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {A software configurable and parallelized coprocessor architecture for {LQR} control}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393360}, doi = {10.1109/RECONFIG.2015.7393360}, timestamp = {Thu, 11 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhangMZJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhaoSJHM15, author = {Zhongyuan Zhao and Weiguang Sheng and Naifeng Jing and Weifeng He and Zhigang Mao}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Resource-saving compile flow for coarse-grained reconfigurable architectures}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393353}, doi = {10.1109/RECONFIG.2015.7393353}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZhaoSJHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhouCP15, author = {Shijie Zhou and Charalampos Chelmis and Viktor K. Prasanna}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Optimizing memory performance for {FPGA} implementation of pagerank}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393332}, doi = {10.1109/RECONFIG.2015.7393332}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhouCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2015, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7390332/proceeding}, isbn = {978-1-4673-9406-2}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AasaraaiM14, author = {Kaveh Aasaraai and Andreas Moshovos}, title = {What limits the operating frequency of a soft processor design}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032565}, doi = {10.1109/RECONFIG.2014.7032565}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AasaraaiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbabeiKZ14, author = {Cristinel Ababei and Rajesh G. Kavasseri and Mohammad A. Zare}, title = {Net reordering and multicommodity flow based global routing for FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032540}, doi = {10.1109/RECONFIG.2014.7032540}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbabeiKZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AdhinarayananKK14, author = {Vignesh Adhinarayanan and Thaddeus Koehn and Krzysztof Kepa and Wu{-}chun Feng and Peter Athanas}, title = {On the performance and energy efficiency of FPGAs and GPUs for polyphase channelization}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032542}, doi = {10.1109/RECONFIG.2014.7032542}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AdhinarayananKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AhmedF14, author = {Khaled E. Ahmed and Mohammed M. Farag}, title = {Overloaded {CDMA} bus topology for MPSoC interconnect}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032543}, doi = {10.1109/RECONFIG.2014.7032543}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AhmedF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AliAHD14, author = {Karim M. A. Ali and Rabie Ben Atitallah and Sa{\"{\i}}d Hanafi and Jean{-}Luc Dekeyser}, title = {A generic pixel distribution architecture for parallel video processing}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032547}, doi = {10.1109/RECONFIG.2014.7032547}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AliAHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BackaschHWGP14, author = {Rico Backasch and Gerald Hempel and Stefan Werner and Sven Groppe and Thilo Pionteck}, title = {Identifying homogenous reconfigurable regions in heterogeneous FPGAs for module relocation}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032533}, doi = {10.1109/RECONFIG.2014.7032533}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BackaschHWGP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BahrebarS14, author = {Poona Bahrebar and Dirk Stroobandt}, title = {Adaptive and reconfigurable fault-tolerant routing method for 2D Networks-on-Chip}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032494}, doi = {10.1109/RECONFIG.2014.7032494}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BahrebarS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BaiL14, author = {Yu Bai and Mingjie Lin}, title = {Stochastically computing discrete Fourier transform with reconfigurable digital fabric}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032558}, doi = {10.1109/RECONFIG.2014.7032558}, timestamp = {Fri, 28 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BaiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BlanchardonCMA14, author = {Adrien Blanchardon and Roselyne Chotin{-}Avot and Habib Mehrez and Emna Amouri}, title = {Impact of defect tolerance techniques on the criticality of a SRAM-based mesh of cluster {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032508}, doi = {10.1109/RECONFIG.2014.7032508}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BlanchardonCMA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BostelmannS14, author = {Timm Bostelmann and Sergei Sawitzki}, title = {A conceptual toolchain for an application domain specific reconfigurable logic architecture}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032487}, doi = {10.1109/RECONFIG.2014.7032487}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BostelmannS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChenH0KHLS14, author = {Gang Chen and Biao Hu and Kai Huang and Alois C. Knoll and Kai Huang and Di Liu and Todor P. Stefanov}, title = {Automatic cache partitioning and time-triggered scheduling for real-time MPSoCs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032502}, doi = {10.1109/RECONFIG.2014.7032502}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChenH0KHLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChengB14, author = {Chuan Cheng and Christos{-}Savvas Bouganis}, title = {Memory optimisation for hardware induction of axis-parallel decision tree}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032538}, doi = {10.1109/RECONFIG.2014.7032538}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ChengB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DavidsonS14, author = {Tom Davidson and Dirk Stroobandt}, title = {Data path analysis for dynamic circuit specialisation}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032507}, doi = {10.1109/RECONFIG.2014.7032507}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DavidsonS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DemmaA14, author = {James Demma and Peter Athanas}, title = {A hardware generator for factor graph applications}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032490}, doi = {10.1109/RECONFIG.2014.7032490}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DemmaA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DingH14, author = {Hongyuan Ding and Miaoqing Huang}, title = {A unified OpenCL-flavor programming model with scalable hybrid hardware platform on FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032563}, doi = {10.1109/RECONFIG.2014.7032563}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DingH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DrahonovskyRN14, author = {Tomas Drahonovsky and Martin Rozkovec and Ondrej Nov{\'{a}}k}, title = {A highly flexible reconfigurable system on a Xilinx {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032531}, doi = {10.1109/RECONFIG.2014.7032531}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DrahonovskyRN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DuarteB14, author = {Rui Policarpo Duarte and Christos{-}Savvas Bouganis}, title = {Zero-latency datapath error correction framework for over-clocking {DSP} applications on FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032566}, doi = {10.1109/RECONFIG.2014.7032566}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DuarteB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DuySSBHB14, author = {Viet Vu Duy and Oliver Sander and Timo Sandmann and Steffen B{\"{a}}hr and Jan Heidelberger and J{\"{u}}rgen Becker}, title = {Enabling partial reconfiguration for coprocessors in mixed criticality multicore systems using {PCI} express single-root {I/O} virtualization}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032516}, doi = {10.1109/RECONFIG.2014.7032516}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DuySSBHB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EchavarriaMCS14, author = {Jorge Echavarria and Alicia Morales{-}Reyes and Ren{\'{e}} Cumplido and Miguel A. Salido}, title = {{FSM} merging and reduction for {IP} cores watermarking using Genetic Algorithms}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032525}, doi = {10.1109/RECONFIG.2014.7032525}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/EchavarriaMCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EmeretlisTG14, author = {Andreas Emeretlis and George Theodoridis and George{-}Othon Glentis}, title = {High-performance {FPGA} implementations of volterra DFEs for optical fiber systems}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032528}, doi = {10.1109/RECONFIG.2014.7032528}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/EmeretlisTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EnderDWPG14, author = {Maik Ender and Gerd Duppmann and Alexander Wild and Thomas P{\"{o}}ppelmann and Tim G{\"{u}}neysu}, title = {A hardware-assisted proof-of-concept for secure VoIP clients on untrusted operating systems}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032489}, doi = {10.1109/RECONFIG.2014.7032489}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/EnderDWPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Espinoza-Rhoton14, author = {Alfredo Espinoza{-}Rhoton and Luis F. Gonzalez{-}Perez and J. L. Ponce and Borrayo{-}S. Hector and Lennin C. Yllescas{-}Calderon and Ram{\'{o}}n Parra{-}Michel and Hassan Aboushady}, title = {An FPGA-based all-digital 802.11b {\&} 802.15.4 receiver for the Software Defined Radio paradigm}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032499}, doi = {10.1109/RECONFIG.2014.7032499}, timestamp = {Wed, 23 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Espinoza-Rhoton14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FaragE14, author = {Mohammed M. Farag and Mohammad A. Ewais}, title = {Smart employment of circuit redundancy to effectively counter trojans {(SECRET)} in third-party {IP} cores}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032562}, doi = {10.1109/RECONFIG.2014.7032562}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FaragE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FellRC14, author = {Alexander Fell and Zolt{\'{a}}n Endre R{\'{a}}kossy and Anupam Chattopadhyay}, title = {Force-directed scheduling for Data Flow Graph mapping on Coarse-Grained Reconfigurable Architectures}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032519}, doi = {10.1109/RECONFIG.2014.7032519}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FellRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FigueiredoMCVM14, author = {Felipe A. P. de Figueiredo and Fabiano S. Mathilde and Fabbryccio A. C. M. Cardoso and Rafael M. Vilela and Joao Paulo Miranda}, title = {Efficient FPGA-based implementation of a {CAZAC} sequence generator for 3GPP {LTE}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032513}, doi = {10.1109/RECONFIG.2014.7032513}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FigueiredoMCVM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Flatley14, author = {Thomas P. Flatley}, title = {Keynote - SpaceCube - {A} family of reconfigurable hybrid on-board science data processors}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032480}, doi = {10.1109/RECONFIG.2014.7032480}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Flatley14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FujitaYKO14, author = {Naoyuki Fujita and Toshifumi Yanagisawa and Hirohisa Kurosaki and Hiroshi Oda}, title = {The speed-up of detection of space debris using "InterP" and "FLOPS2D"}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032556}, doi = {10.1109/RECONFIG.2014.7032556}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FujitaYKO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GandhiQP14, author = {Vaibhav R. Gandhi and Yun Rock Qu and Viktor K. Prasanna}, title = {High-throughput hash-based online traffic classification engines on {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032530}, doi = {10.1109/RECONFIG.2014.7032530}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GandhiQP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GaoC14, author = {Shanyuan Gao and Jeremy Chritz}, title = {Characterization of OpenCL on a scalable {FPGA} architecture}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032505}, doi = {10.1109/RECONFIG.2014.7032505}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GaoC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gray14, author = {Jan Gray}, title = {Keynote - The past and future of {FPGA} soft processors}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032481}, doi = {10.1109/RECONFIG.2014.7032481}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Gray14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HappeHK14, author = {Markus Happe and Yujiao Huang and Ariane Keller}, title = {Dynamic protocol stacks in smart camera networks}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032511}, doi = {10.1109/RECONFIG.2014.7032511}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HappeHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HattaTS14, author = {Saki Hatta and Nobuyuki Tanaka and Satoshi Shigematsu}, title = {Area-efficient dynamically reconfigurable protocol-processing-hardware for access network communications SoC}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032501}, doi = {10.1109/RECONFIG.2014.7032501}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HattaTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HaubleinRF14, author = {Konrad H{\"{a}}ublein and Marc Reichenbach and Dietmar Fey}, title = {Fast and generic hardware architecture for stereo block matching applications on embedded systems}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032518}, doi = {10.1109/RECONFIG.2014.7032518}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HaubleinRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HomsirikamolG14, author = {Ekawat Homsirikamol and Kris Gaj}, title = {Can high-level synthesis compete against a hand-written code in the cryptographic domain? {A} case study}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032504}, doi = {10.1109/RECONFIG.2014.7032504}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HomsirikamolG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HussainSPUCAVG14, author = {Tassadaq Hussain and Nehir S{\"{o}}nmez and Oscar Palomar and Osman S. Unsal and Adri{\'{a}}n Cristal and Eduard Ayguad{\'{e}} and Mateo Valero and Shakaib A. Gursal}, title = {{PAMS:} Pattern Aware Memory System for embedded systems}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032544}, doi = {10.1109/RECONFIG.2014.7032544}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HussainSPUCAVG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JansenHJ14, author = {Benedikt Jan{\ss}en and Michael H{\"{u}}bner and Timo Jaeschke}, title = {An {AXI} compatible cypress {EZ-USB} {FX3} interface for {USB-3.0} SuperSpeed}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032498}, doi = {10.1109/RECONFIG.2014.7032498}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JansenHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JungkLH14, author = {Bernhard Jungk and Leandro Rodrigues Lima and Matthias Hiller}, title = {A systematic study of lightweight hash functions on FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032493}, doi = {10.1109/RECONFIG.2014.7032493}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JungkLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KenterSP14, author = {Tobias Kenter and Henning Schmitz and Christian Plessl}, title = {Kernel-centric acceleration of high accuracy stereo-matching}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032535}, doi = {10.1109/RECONFIG.2014.7032535}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KenterSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KhuatCH14, author = {Quang{-}Hai Khuat and Daniel Chillet and Michael H{\"{u}}bner}, title = {Dynamic run-time hardware/software scheduling for 3D reconfigurable SoC}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032512}, doi = {10.1109/RECONFIG.2014.7032512}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KhuatCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KirschbergerFJ14, author = {Daniel Kirschberger and Holger Flatt and J{\"{u}}rgen Jasperneite}, title = {An architectural approach for reconfigurable industrial {I/O} devices}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032500}, doi = {10.1109/RECONFIG.2014.7032500}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KirschbergerFJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KonomuraH14, author = {Ryo Konomura and Koichi Hori}, title = {Phenox: Zynq 7000 based quadcopter robot}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032546}, doi = {10.1109/RECONFIG.2014.7032546}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KonomuraH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KourfaliVS14, author = {Alexandra Kourfali and Elias Vansteenkiste and Dirk Stroobandt}, title = {Parameterised {FPGA} reconfigurations for efficient test set generation}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032545}, doi = {10.1109/RECONFIG.2014.7032545}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KourfaliVS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KulkarniDHS14, author = {Amit Kulkarni and Tom Davidson and Karel Heyse and Dirk Stroobandt}, title = {Improving reconfiguration speed for dynamic circuit specialization using placement constraints}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032534}, doi = {10.1109/RECONFIG.2014.7032534}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KulkarniDHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Lara-NinoTB14, author = {Carlos Andres Lara{-}Nino and C{\'{e}}sar Torres{-}Huitzil and Jose Hugo Barron{-}Zambrano}, title = {Versatile educational and research robotic platform based on reconfigurable hardware}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032564}, doi = {10.1109/RECONFIG.2014.7032564}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Lara-NinoTB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LeCC14, author = {Quang Hoa Le and Emmanuel Casseau and Antoine Courtay}, title = {Place Reservation technique for online task placement on a multi-context heterogeneous reconfigurable architecture}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032553}, doi = {10.1109/RECONFIG.2014.7032553}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LeCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Lee14, author = {Edward A. Lee}, title = {Keynote - It's about time}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032479}, doi = {10.1109/RECONFIG.2014.7032479}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Lee14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LizarragaC14, author = {Enrique Mariano Lizarraga and Graciela Corral{-}Briones}, title = {A practical scheme for implementing dynamic spectral precoding in {OFDM}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032550}, doi = {10.1109/RECONFIG.2014.7032550}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LizarragaC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Lopez-RamirezLM14, author = {Misael Lopez{-}Ramirez and Luis Manuel Ledesma{-}Carrillo and Ana L. Martinez{-}Herrera and Eduardo Cabal{-}Yepez and Homero Miranda{-}Vidales}, title = {FPGA-based reconfigurable unit for real-time power quality index estimation}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032521}, doi = {10.1109/RECONFIG.2014.7032521}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Lopez-RamirezLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LuoFFDLK14, author = {Pei Luo and Yunsi Fei and Xin Fang and A. Adam Ding and Miriam Leeser and David R. Kaeli}, title = {Power analysis attack on hardware implementation of MAC-Keccak on FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032549}, doi = {10.1109/RECONFIG.2014.7032549}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LuoFFDLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LuoFZD14, author = {Pei Luo and Yunsi Fei and Liwei Zhang and A. Adam Ding}, title = {Side-channel power analysis of different protection schemes against fault attacks on {AES}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032555}, doi = {10.1109/RECONFIG.2014.7032555}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LuoFZD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ManoranjanS14, author = {Jotham Vaddaboina Manoranjan and Kenneth S. Stevens}, title = {An a-FPGA architecture for relative timing based asynchronous designs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032497}, doi = {10.1109/RECONFIG.2014.7032497}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ManoranjanS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MoriH14, author = {Jones Yudi Mori and Michael H{\"{u}}bner}, title = {A high-level analysis of a multi-core vision processor using SystemC and {TLM2.0}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032491}, doi = {10.1109/RECONFIG.2014.7032491}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MoriH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NakaharaNI14, author = {Hiroki Nakahara and Hiroyuki Nakanishi and Kazumasa Iwai}, title = {An {AWF} digital spectrometer for a radio telescope}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032503}, doi = {10.1109/RECONFIG.2014.7032503}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NakaharaNI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NavarroH14, author = {Osvaldo Navarro and Michael H{\"{u}}bner}, title = {An adaptive victim cache scheme}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032496}, doi = {10.1109/RECONFIG.2014.7032496}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NavarroH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NavasOS14, author = {Byron Navas and Johnny {\"{O}}berg and Ingo Sander}, title = {On providing scalable self-healing adaptive fault-tolerance to {RTR} SoCs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032541}, doi = {10.1109/RECONFIG.2014.7032541}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NavasOS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NguyenPL14, author = {An Hung Nguyen and Mark R. Pickering and Andrew J. Lambert}, title = {The {FPGA} implementation of an image registration algorithm using binary images}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032559}, doi = {10.1109/RECONFIG.2014.7032559}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NguyenPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PreusserKS14, author = {Thomas B. Preu{\ss}er and Oliver Knodel and Rainer G. Spallek}, title = {PoC-align: An open-source alignment accelerator using FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032548}, doi = {10.1109/RECONFIG.2014.7032548}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PreusserKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/QianM14, author = {Zhuo Qian and Martin Margala}, title = {Low power RAM-based hierarchical {CAM} on {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032536}, doi = {10.1109/RECONFIG.2014.7032536}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/QianM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ramirez-TorresM14, author = {Marco Tulio Ram{\'{\i}}rez{-}Torres and Jos{\'{e}} S. Murgu{\'{\i}}a Ibarra and Marcela Mej{\'{\i}}a{-}Carlos}, title = {{FPGA} implementation of a reconfigurable image encryption system}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032524}, doi = {10.1109/RECONFIG.2014.7032524}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Ramirez-TorresM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ReichelD14, author = {Peter Reichel and Jens D{\"{o}}ge}, title = {Hardware/software infrastructure for {ASIC} commissioning and rapid system prototyping}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032532}, doi = {10.1109/RECONFIG.2014.7032532}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ReichelD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RettkowskiG14, author = {Jens Rettkowski and Diana G{\"{o}}hringer}, title = {RAR-NoC: {A} reconfigurable and adaptive routable Network-on-Chip for FPGA-based multiprocessor systems}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032552}, doi = {10.1109/RECONFIG.2014.7032552}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RettkowskiG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RodriguezMCLCU14, author = {Vladimir Rodriguez and Jos{\'{e}} F. Mart{\'{\i}}nez and Jes{\'{u}}s Ariel Carrasco{-}Ochoa and Manuel Sabino Lazo{-}Cort{\'{e}}s and Ren{\'{e}} Cumplido and Claudia Feregrino Uribe}, title = {A hardware architecture for filtering irreducible testors}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032526}, doi = {10.1109/RECONFIG.2014.7032526}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RodriguezMCLCU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SahraouiFBG14, author = {Fouad Sahraoui and Fakhreddine Ghaffari and Mohamed El Amine Benkhelifa and Bertrand Granado}, title = {Context-aware resources placement for SRAM-based {FPGA} to minimize checkpoint/recovery overhead}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032506}, doi = {10.1109/RECONFIG.2014.7032506}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SahraouiFBG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SannyYP14, author = {Andrea Sanny and Yi{-}Hua E. Yang and Viktor K. Prasanna}, title = {Energy-efficient histogram on {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032517}, doi = {10.1109/RECONFIG.2014.7032517}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SannyYP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchulzBSR14, author = {Simon Schulz and Oliver Bringmann and Thomas Schweizer and Wolfgang Rosenstiel}, title = {Rotated parallel mapping: {A} novel approach for mapping data parallel applications on CGRAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032554}, doi = {10.1109/RECONFIG.2014.7032554}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchulzBSR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchwiegelshohnH14, author = {Fynn Schwiegelshohn and Michael H{\"{u}}bner}, title = {Design of an attention detection system on the Zynq-7000 SoC}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032510}, doi = {10.1109/RECONFIG.2014.7032510}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SchwiegelshohnH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SepulvedaGFDPS14, author = {Johanna Sep{\'{u}}lveda and Guy Gogniat and Daniel Florez and Jean{-}Philippe Diguet and C{\'{e}}sar Pedraza and Marius Strum}, title = {3D-LeukoNoC: {A} dynamic NoC protection}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032485}, doi = {10.1109/RECONFIG.2014.7032485}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SepulvedaGFDPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SkalickyKLL14, author = {Sam Skalicky and Tyler Kwolek and Sonia L{\'{o}}pez and Marcin Lukowiak}, title = {Enabling {FPGA} support in Matlab based heterogeneous systems}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032515}, doi = {10.1109/RECONFIG.2014.7032515}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SkalickyKLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SkalickyLLW14, author = {Sam Skalicky and Sonia L{\'{o}}pez and Marcin Lukowiak and Christopher A. Wood}, title = {Mission control: {A} performance metric and analysis of control logic for pipelined architectures on FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032539}, doi = {10.1109/RECONFIG.2014.7032539}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SkalickyLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SohanghpurwalaA14, author = {Ali Asgar Sohanghpurwala and Peter M. Athanas and Andrew Love}, title = {A device-agnostic tool for precomputing legal placements in modular design flows}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032551}, doi = {10.1109/RECONFIG.2014.7032551}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SohanghpurwalaA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Takamaeda-Yamazaki14, author = {Shinya Takamaeda{-}Yamazaki and Kenji Kise}, title = {A framework for efficient rapid prototyping by virtually enlarging {FPGA} resources}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032488}, doi = {10.1109/RECONFIG.2014.7032488}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Takamaeda-Yamazaki14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TerteiPD14, author = {Daniel Tortei Tertei and Jonathan Piat and Michel Devy}, title = {{FPGA} design and implementation of a matrix multiplier based accelerator for 3D {EKF} {SLAM}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032523}, doi = {10.1109/RECONFIG.2014.7032523}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TerteiPD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/UlianaAK14, author = {David Uliana and Peter M. Athanas and Krzysztof Kepa}, title = {FPGA-based accelerator development for non-engineers}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032522}, doi = {10.1109/RECONFIG.2014.7032522}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/UlianaAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VangelTG14, author = {Benoit Chappet de Vangel and C{\'{e}}sar Torres{-}Huitzil and Bernard Girau}, title = {Spiking dynamic neural fields architectures on {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032557}, doi = {10.1109/RECONFIG.2014.7032557}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VangelTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VazRKP14, author = {Gavin Vaz and Heinrich Riebler and Tobias Kenter and Christian Plessl}, title = {Deferring accelerator offloading decisions to application runtime}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032509}, doi = {10.1109/RECONFIG.2014.7032509}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/VazRKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WhiteN14, author = {Brad White and Brent E. Nelson}, title = {Tincr - {A} custom {CAD} tool framework for Vivado}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032560}, doi = {10.1109/RECONFIG.2014.7032560}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WhiteN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WiemerZ14, author = {Friedrich Wiemer and Ralf Zimmermann}, title = {High-speed implementation of bcrypt password search using special-purpose hardware}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032529}, doi = {10.1109/RECONFIG.2014.7032529}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WiemerZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WiersemaBP14, author = {Tobias Wiersema and Arne Bockhorn and Marco Platzner}, title = {Embedding {FPGA} overlays into configurable Systems-on-Chip: ReconOS meets {ZUMA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032514}, doi = {10.1109/RECONFIG.2014.7032514}, timestamp = {Thu, 01 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WiersemaBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WilsonZCGCGL14, author = {C. Wilson and Paolo Zicari and Stefan Craciun and P. Gauvin and E. Carlisle and Alan D. George and Herman Lam}, title = {A power-efficient real-time architecture for {SURF} feature extraction}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032492}, doi = {10.1109/RECONFIG.2014.7032492}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WilsonZCGCGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WuM14, author = {Di Wu and Andreas Moshovos}, title = {Advanced branch predictors for soft processors}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032495}, doi = {10.1109/RECONFIG.2014.7032495}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WuM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/XuKKRS14, author = {Lei Xu and Pham Dang Khoa and Seung{-}Hun Kim and Won Woo Ro and Weidong Shi}, title = {{LUT} based secure cloud computing - An implementation using FPGAs}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032537}, doi = {10.1109/RECONFIG.2014.7032537}, timestamp = {Fri, 01 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/XuKKRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZareKA14, author = {Mohammad A. Zare and Rajesh G. Kavasseri and Cristinel Ababei}, title = {FPGA-based design and implementation of direct torque control for induction machines}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032520}, doi = {10.1109/RECONFIG.2014.7032520}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZareKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZaykovKMG14, author = {Pavel G. Zaykov and Georgi Kuzmanov and Anca Mariana Molnos and Kees Goossens}, title = {Hardware Task-Status Manager for an {RTOS} with {FIFO} communication}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032527}, doi = {10.1109/RECONFIG.2014.7032527}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZaykovKMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZazoFLSA14, author = {Jose Fernando Zazo and Marco Forconesi and Sergio L{\'{o}}pez{-}Buedo and Gustavo Sutter and Javier Aracil}, title = {{TNT10G:} {A} high-accuracy 10 GbE traffic player and recorder for multi-Terabyte traces}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032561}, doi = {10.1109/RECONFIG.2014.7032561}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZazoFLSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhouZP14, author = {Shijie Zhou and Sihan Zhao and Viktor K. Prasanna}, title = {400 Gbps energy-efficient multi-field packet classification on {FPGA}}, booktitle = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ReConFig.2014.7032486}, doi = {10.1109/RECONFIG.2014.7032486}, timestamp = {Wed, 02 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhouZP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2014, title = {2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7012990/proceeding}, isbn = {978-1-4799-5944-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbdellatifCM13, author = {Karim M. Abdellatif and Roselyne Chotin{-}Avot and Habib Mehrez}, title = {Lightweight and compact solutions for secure reconfiguration of FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732304}, doi = {10.1109/RECONFIG.2013.6732304}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbdellatifCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbdellatifCM13a, author = {Karim M. Abdellatif and Roselyne Chotin{-}Avot and Habib Mehrez}, title = {Improved method for parallel {AES-GCM} cores using FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732299}, doi = {10.1109/RECONFIG.2013.6732299}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbdellatifCM13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AhmedA13, author = {Omar Ahmed and Shawki Areibi}, title = {An efficient application-specific instruction-set processor for packet classification}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732271}, doi = {10.1109/RECONFIG.2013.6732271}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AhmedA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AmmendolaBFCLPRSTV13, author = {Roberto Ammendola and Andrea Biagioni and Ottorino Frezza and Francesca Lo Cicero and Alessandro Lonardo and Pier Stanislao Paolucci and Davide Rossetti and Francesco Simula and Laura Tosoratto and Piero Vicini}, title = {Design and implementation of a modular, low latency, fault-aware, FPGA-based network interface}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732275}, doi = {10.1109/RECONFIG.2013.6732275}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AmmendolaBFCLPRSTV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AmouriBCMM13, author = {Emna Amouri and Adrien Blanchardon and Roselyne Chotin{-}Avot and Habib Mehrez and Zied Marrakchi}, title = {Efficient multilevel interconnect topology for cluster-based mesh {FPGA} architecture}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732282}, doi = {10.1109/RECONFIG.2013.6732282}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AmouriBCMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AnwerMP13, author = {Jahanzeb Anwer and Sebastian Meisner and Marco Platzner}, title = {Dynamic reliability management: Reconfiguring reliability-levels of hardware designs at runtime}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732280}, doi = {10.1109/RECONFIG.2013.6732280}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AnwerMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AysuS13, author = {Aydin Aysu and Patrick Schaumont}, title = {{PASC:} Physically authenticated stable-clocked soc platform on low-cost FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732317}, doi = {10.1109/RECONFIG.2013.6732317}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AysuS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BahrebarS13, author = {Poona Bahrebar and Dirk Stroobandt}, title = {The Hamiltonian-based odd-even turn model for adaptive routing in interconnection networks}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732332}, doi = {10.1109/RECONFIG.2013.6732332}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BahrebarS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BostelmannS13, author = {Timm Bostelmann and Sergei Sawitzki}, title = {Improving {FPGA} placement with a self-organizing map}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732302}, doi = {10.1109/RECONFIG.2013.6732302}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BostelmannS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChaintreuilUA13, author = {Remi Chaintreuil and Rie Uno and Hideharu Amano}, title = {{MCMA:} {A} modular processing elements array based low-power coarse-grained reconfigurable accelerator}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732308}, doi = {10.1109/RECONFIG.2013.6732308}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ChaintreuilUA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CheemaK13, author = {Umer I. Cheema and Ashfaq A. Khokhar}, title = {A high performance architecture for computing burrows-wheeler transform on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732262}, doi = {10.1109/RECONFIG.2013.6732262}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/CheemaK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChenP13, author = {Ren Chen and Viktor K. Prasanna}, title = {Energy-efficient architecture for stride permutation on streaming data}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732285}, doi = {10.1109/RECONFIG.2013.6732285}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ChenP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/David13, author = {Jean{-}Pierre David}, title = {Max-hashing fragments for large data sets detection}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732307}, doi = {10.1109/RECONFIG.2013.6732307}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/David13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DohiFSO13, author = {Keisuke Dohi and Kota Fukumoto and Yuichiro Shibata and Kiyoshi Oguri}, title = {Performance modeling and optimization of 3-D stencil computation on a stream-based {FPGA} accelerator}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732318}, doi = {10.1109/RECONFIG.2013.6732318}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DohiFSO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DumitriuK13, author = {Victor Dumitriu and Lev Kirischian}, title = {SoC self-integration mechanism for dynamic reconfigurable systems based on collaborative macro-function units}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732331}, doi = {10.1109/RECONFIG.2013.6732331}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DumitriuK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DuricPS13, author = {Milovan Duric and Oscar Palomar and Aaron Smith}, title = {ReCompAc: Reconfigurable compute accelerator}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732326}, doi = {10.1109/RECONFIG.2013.6732326}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DuricPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EbrahimBKH13, author = {Ali Ebrahim and Khaled Benkrid and Jalal Khalifat and Chuan Hong}, title = {A platform for secure {IP} integration in Xilinx Virtex FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732329}, doi = {10.1109/RECONFIG.2013.6732329}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/EbrahimBKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ErdemC13, author = {Oguzhan Erdem and Aydin Carus}, title = {Range tree-linked list hierarchical search structure for packet classification on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732324}, doi = {10.1109/RECONFIG.2013.6732324}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ErdemC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FilhoC13, author = {Jonas Gomes Filho and Jiang Chau Wang}, title = {Exploring the problems of placement and mapping in NoC-based reconfizurable systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732289}, doi = {10.1109/RECONFIG.2013.6732289}, timestamp = {Fri, 04 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FilhoC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gallagher13, author = {Tim Gallagher}, title = {Keynote 2 - Past, current, and future of faster, cheaper, better}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732254}, doi = {10.1109/RECONFIG.2013.6732254}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Gallagher13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GallegoMOTR13, author = {Angel Gallego and Javier Mora and Andr{\'{e}}s Otero and Eduardo de la Torre and Teresa Riesgo}, title = {A scalable evolvable hardware processing array}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732266}, doi = {10.1109/RECONFIG.2013.6732266}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GallegoMOTR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gea-GarciaVSPPS13, author = {B. I. Gea{-}Garcia and J. L. V{\'{a}}zquez{-}Avila and Remberto Sandoval{-}Arechiga and J. L. Pizano{-}Escalante and Ram{\'{o}}n Parra{-}Michel and Mario Siller}, title = {NoC-based hardware function libraries for running multiple {DSP} algorithms}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732312}, doi = {10.1109/RECONFIG.2013.6732312}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Gea-GarciaVSPPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gokhale13, author = {Maya B. Gokhale}, title = {Keynote 3 - Extreme scale challenges: Can reconfigurable computing come to the rescue?}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732255}, doi = {10.1109/RECONFIG.2013.6732255}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Gokhale13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gonzalez-PerezYP13, author = {Luis F. Gonzalez{-}Perez and Lennin C. Yllescas{-}Calderon and Ram{\'{o}}n Parra{-}Michel}, title = {Parallel and configurable turbo decoder implementation for 3GPP-LTE}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732316}, doi = {10.1109/RECONFIG.2013.6732316}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Gonzalez-PerezYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HariaP13, author = {Swapnil Haria and Viktor K. Prasanna}, title = {Optimal mapping of multiple packet lookup schemes onto {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732314}, doi = {10.1109/RECONFIG.2013.6732314}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HariaP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HeisswolfSKKB13, author = {Jan Heisswolf and Maximilian Singh and Martin Kupper and Ralf K{\"{o}}nig and J{\"{u}}rgen Becker}, title = {Rerouting: Scalable NoC self-optimization by distributed hardware-based connection reallocation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732328}, doi = {10.1109/RECONFIG.2013.6732328}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HeisswolfSKKB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HernandezNRM13, author = {Marco Antonio Soto Hernandez and Oscar Alvarado Nava and Eduardo Rodriguez{-}Martinez and Francisco Javier Zaragoza Mart{\'{\i}}nez}, title = {Tree-less Huffman coding algorithm for embedded systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732335}, doi = {10.1109/RECONFIG.2013.6732335}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HernandezNRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HinkfothJS13, author = {Matthias Hinkfoth and Ralf Joost and Ralf Salomon}, title = {Improving calibration precision of signal-delay-based time measurement systems in FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732301}, doi = {10.1109/RECONFIG.2013.6732301}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HinkfothJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HiraoKHAM13, author = {Takeshi Hirao and Dahoo Kim and Itaru Hida and Tetsuya Asai and Masato Motomura}, title = {A restricted dynamically reconfigurable architecture for low power processors}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732264}, doi = {10.1109/RECONFIG.2013.6732264}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HiraoKHAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HuangL13, author = {Miaoqing Huang and Shiming Li}, title = {A delay-based {PUF} design using multiplexer chains}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732258}, doi = {10.1109/RECONFIG.2013.6732258}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HuangL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ibarra-DelgadoCMG13, author = {Salvador Ibarra{-}Delgado and Manuel Hernandez Calvi{\~{n}}o and Nicol{\'{a}}s Guil Mata and Juan G{\'{o}}mez{-}Luna}, title = {A robust and low resource FPGA-based stereoscopic vision algorithm}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732265}, doi = {10.1109/RECONFIG.2013.6732265}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Ibarra-DelgadoCMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JradL13, author = {Mohamed Ben Jrad and R{\'{e}}gis Leveugle}, title = {Automated design flow for no-cost configuration error detection in sram-based FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732272}, doi = {10.1109/RECONFIG.2013.6732272}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JradL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KadiRGH13, author = {Muhammed Al Kadi and Patrick Rudolph and Diana G{\"{o}}hringer and Michael H{\"{u}}bner}, title = {Dynamic and partial reconfiguration of Zynq 7000 under Linux}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732279}, doi = {10.1109/RECONFIG.2013.6732279}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KadiRGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KavunLY13, author = {Elif Bilge Kavun and Gregor Leander and Tolga Yal{\c{c}}in}, title = {A reconfigurable architecture for searching optimal software code to implement block cipher permutation matrices}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732263}, doi = {10.1109/RECONFIG.2013.6732263}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KavunLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KiaZKA13, author = {Hamed Sajjadi Kia and Mohammad A. Zare and Rajesh G. Kavasseri and Cristinel Ababei}, title = {Dynamic simulation of direct torque control of induction motors with {FPGA} based accelerators}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732281}, doi = {10.1109/RECONFIG.2013.6732281}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KiaZKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KonouraAMOINWHO13, author = {Hiroaki Konoura and Dawood Alnajiar and Yukio Mitsuyama and Hiroyuki Ochi and Takashi Imagawa and Shinichi Noda and Kazutoshi Wakabayashi and Masanori Hashimoto and Takao Onoye}, title = {Mixed-grained reconfigurable architecture supporting flexible reliability and C-based design}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732309}, doi = {10.1109/RECONFIG.2013.6732309}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KonouraAMOINWHO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LamPSLG13, author = {Bryant C. Lam and Carlo Pascoe and Scott Schaecher and Herman Lam and Alan D. George}, title = {{BSW:} FPGA-accelerated BLAST-Wrapped Smith-Waterman aligner}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732273}, doi = {10.1109/RECONFIG.2013.6732273}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LamPSLG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ledesma-CarrilloLM13, author = {Luis Manuel Ledesma{-}Carrillo and Misael Lopez{-}Ramirez and Ana L. Martinez{-}Herrera}, title = {FPGA-based reconfigurable unit for image filtering in frequency domain}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732294}, doi = {10.1109/RECONFIG.2013.6732294}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Ledesma-CarrilloLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LinCW13, author = {Mingjie Lin and Shaoyi Cheng and John Wawrzynek}, title = {Extracting memory-level parallelism through reconfigurable hardware traces}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732290}, doi = {10.1109/RECONFIG.2013.6732290}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LinCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LiuM0LB13, author = {Qingyu Liu and Yuchun Ma and Yu Wang and Wayne Luk and Jinian Bian}, title = {{RALP:} Reconvergence-aware layer partitioning for 3D FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732327}, doi = {10.1109/RECONFIG.2013.6732327}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LiuM0LB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LozanoPG13, author = {Pedro Cervantes Lozano and Luis Fernando Gonz{\'{a}}lez P{\'{e}}rez and Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a}, title = {A {VLSI} architecture for the {QR} decomposition based on the {MCGR} algorithm}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732267}, doi = {10.1109/RECONFIG.2013.6732267}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LozanoPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaistriTMKL13, author = {Paolo Maistri and S{\'{e}}bastien Tiran and Philippe Maurine and Israel Koren and R{\'{e}}gis Leveugle}, title = {Countermeasures against {EM} analysis for a secured FPGA-based {AES} implementation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732274}, doi = {10.1109/RECONFIG.2013.6732274}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MaistriTMKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MatamP13, author = {Kiran Kumar Matam and Viktor K. Prasanna}, title = {Energy-efficient large-scale matrix multiplication on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732284}, doi = {10.1109/RECONFIG.2013.6732284}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MatamP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MayM13, author = {Fabian May and Friedrich Mayer{-}Lindenberg}, title = {ModHDL: {A} modular and expandable language for developing synchronous hardware}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732310}, doi = {10.1109/RECONFIG.2013.6732310}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MayM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MoctezumaMN13, author = {Juan Carlos Moctezuma and Joseph McGeehan and Jos{\'{e}} Luis N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez}, title = {Numerically efficient and biophysically accurate neuroprocessing platform}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732313}, doi = {10.1109/RECONFIG.2013.6732313}, timestamp = {Fri, 09 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MoctezumaMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MonsonWH13, author = {Joshua S. Monson and Michael J. Wirthlin and Brad L. Hutchings}, title = {Optimization techniques for a high level synthesis implementation of the Sobel filter}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732315}, doi = {10.1109/RECONFIG.2013.6732315}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MonsonWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Mora-SanchezA13, author = {Edgar Mora{-}Sanchez and Jason Helge Anderson}, title = {Leakage power reduction in {FPGA} {DSP} circuits through algorithmic noise tolerance}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732303}, doi = {10.1109/RECONFIG.2013.6732303}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Mora-SanchezA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MuresanMFI13, author = {Cristina I. Muresan and George Dan Mois and Silviu Folea and Clara M. Ionescu}, title = {Alternative implementations of a fractional order control algorithm on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732269}, doi = {10.1109/RECONFIG.2013.6732269}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MuresanMFI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NagarB13, author = {Krishna K. Nagar and Jason D. Bakos}, title = {Accuracy, cost, and performance tradeoffs for floating-point accumulation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732268}, doi = {10.1109/RECONFIG.2013.6732268}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NagarB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NavasOS13, author = {Byron Navas and Johnny {\"{O}}berg and Ingo Sander}, title = {Towards the generic reconfigurable accelerator: Algorithm development, core design, and performance analysis}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732334}, doi = {10.1109/RECONFIG.2013.6732334}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NavasOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NetoV13, author = {Hor{\'{a}}cio C. Neto and M{\'{a}}rio P. V{\'{e}}stias}, title = {Very low resource table-based {FPGA} evaluation of elementary functions}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732336}, doi = {10.1109/RECONFIG.2013.6732336}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NetoV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OliveiraBFO13, author = {Duarte Lopes de Oliveira and Diego Bompean and Lester de Abreu Faria and Joao Luis V. Oliveira}, title = {Design of asynchronous systems on {FPGA} using direct mapping and synchronous specification}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732276}, doi = {10.1109/RECONFIG.2013.6732276}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OliveiraBFO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OrlandicS13, author = {Milica Orlandic and Kjetil Svarstad}, title = {A low complexity {H.264/AVC} 4{\texttimes}4 intra prediction architecture with macroblock/block reordering}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732306}, doi = {10.1109/RECONFIG.2013.6732306}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OrlandicS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PangraciousMBMF13, author = {Vinod Pangracious and Habib Mehrez and Nizar Beltaief and Zied Marrakchi and Umer Farooq}, title = {Exploration environment for 3D heterogeneous tree-based {FPGA} architectures {(3D} {HT-FPGA)}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732288}, doi = {10.1109/RECONFIG.2013.6732288}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PangraciousMBMF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Perez-AndradeTCC13, author = {Roberto Perez{-}Andrade and C{\'{e}}sar Torres{-}Huitzil and Ren{\'{e}} Cumplido and Juan M. Campos}, title = {Processor arrays generation for matrix algorithms used in embedded platforms}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732322}, doi = {10.1109/RECONFIG.2013.6732322}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Perez-AndradeTCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PlumbridgeA13, author = {Gary Plumbridge and Neil C. Audsley}, title = {Programming {FPGA} based NoCs with Java}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732323}, doi = {10.1109/RECONFIG.2013.6732323}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PlumbridgeA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PonpandiZT13, author = {Swamy D. Ponpandi and Zhang Zhang and Akhilesh Tyagi}, title = {PolyNOC - {A} polymorphic thread simulator for NoC communication based embedded systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732320}, doi = {10.1109/RECONFIG.2013.6732320}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PonpandiZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RakossyAC13, author = {Zolt{\'{a}}n Endre R{\'{a}}kossy and Axel Acosta{-}Aponte and Anupam Chattopadhyay}, title = {Exploiting architecture description language for diverse {IP} synthesis in heterogeneous MPSoC}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732287}, doi = {10.1109/RECONFIG.2013.6732287}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RakossyAC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ReymondM13, author = {Guillaume Reymond and Victor Murillo}, title = {A hardware pipelined architecture of a scalable Montgomery modular multiplier over GF(2\({}^{\mbox{m}}\))}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732260}, doi = {10.1109/RECONFIG.2013.6732260}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ReymondM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Rodriguez-GarciaPPLG13, author = {Alberto Rodriguez{-}Garcia and Luis Pizano{-}Escalante and Ram{\'{o}}n Parra{-}Michel and Omar Humberto Longoria{-}Gandara and Joaqu{\'{\i}}n Cortez Gonz{\'{a}}lez}, title = {Fast fixed-point divider based on Newton-Raphson method and piecewise polynomial approximation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732291}, doi = {10.1109/RECONFIG.2013.6732291}, timestamp = {Mon, 15 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Rodriguez-GarciaPPLG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RueckauerMSOLB13, author = {Michael R{\"{u}}ckauer and Daniel M. Mu{\~{n}}oz and Timo Stripf and Oliver Oey and Carlos H. Llanos and J{\"{u}}rgen Becker}, title = {A flexible implementation of the {PSO} algorithm for fine- and coarse-grained reconfigurable embedded systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732293}, doi = {10.1109/RECONFIG.2013.6732293}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RueckauerMSOLB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SahooMC13, author = {Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Design of low area-overhead ring oscillator {PUF} with large challenge space}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732277}, doi = {10.1109/RECONFIG.2013.6732277}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SahooMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SannyP13, author = {Andrea Sanny and Viktor K. Prasanna}, title = {Energy-efficient Median filter on {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732283}, doi = {10.1109/RECONFIG.2013.6732283}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SannyP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchmidBHT13, author = {Moritz Schmid and Markus Blocherer and Frank Hannig and J{\"{u}}rgen Teich}, title = {Real-timerange image preprocessing on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732325}, doi = {10.1109/RECONFIG.2013.6732325}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SchmidBHT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchryverSWFP13, author = {Christian de Schryver and Philipp Schl{\"{a}}fer and Norbert Wehn and Thomas Fischer and Arnd Poetzsch{-}Heffter}, title = {Loopy - An open-source {TCP/IP} rapid prototyping and validation framework}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732305}, doi = {10.1109/RECONFIG.2013.6732305}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SchryverSWFP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchweizerRFR13, author = {Thomas Schweizer and Wolfgang Rosenstiel and Luigi Vaz Ferreira and Marcus Ritt}, title = {Timing error handling on CGRAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732333}, doi = {10.1109/RECONFIG.2013.6732333}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SchweizerRFR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ShanZYABG13, author = {Chuan Shan and Eldar Zianbetov and Weiqiang Yu and Fran{\c{c}}ois Anceau and Olivier Billoint and Dimitri Galayko}, title = {{FPGA} prototyping of large reconfigurable {ADPLL} network for distributed clock generation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732295}, doi = {10.1109/RECONFIG.2013.6732295}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ShanZYABG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Shoufan13, author = {Abdulhadi Shoufan}, title = {A fault attack on a hardware-based implementation of the secure hash algorithm {SHA-512}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732292}, doi = {10.1109/RECONFIG.2013.6732292}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Shoufan13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SinglaTA13, author = {Garbi Singla and F{\'{e}}lix Tobajas and Valentin de Armas}, title = {Video super resolution algorithm implemented on a low-cost NoC-based MPSoC platform}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732337}, doi = {10.1109/RECONFIG.2013.6732337}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SinglaTA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SkalickyLL13, author = {Sam Skalicky and Sonia L{\'{o}}pez and Marcin Lukowiak}, title = {Distributed execution of transmural electrophysiological imaging with CPU, GPU, and {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732278}, doi = {10.1109/RECONFIG.2013.6732278}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SkalickyLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SkalickyWLR13, author = {Sam Skalicky and Christopher A. Wood and Marcin Lukowiak and Matthew Ryan}, title = {High level synthesis: Where are we? {A} case study on matrix multiplication}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732298}, doi = {10.1109/RECONFIG.2013.6732298}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SkalickyWLR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ThomaDM13, author = {Yann Thoma and Alberto Dassatti and Daniel Molla}, title = {FPGA\({}^{\mbox{2}}\): An open source framework for {FPGA-GPU} PCIe communication}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732296}, doi = {10.1109/RECONFIG.2013.6732296}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ThomaDM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ThomasT13, author = {Kevin L. Thomas and Michael S. Thompson}, title = {Performance modeling of reconfigurable distributed systems based on the opensparc {FPGA} board and the {SIRC} communication framework}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732319}, doi = {10.1109/RECONFIG.2013.6732319}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ThomasT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TongP13, author = {Da Tong and Viktor K. Prasanna}, title = {Online heavy hitter detector on {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732297}, doi = {10.1109/RECONFIG.2013.6732297}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TongP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Trimberger13, author = {Steve Trimberger}, title = {Keynote 1 - Moore's law, programmable logic and reconfigurable systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732253}, doi = {10.1109/RECONFIG.2013.6732253}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Trimberger13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VarcholaDF13, author = {Michal Varchola and Milos Drutarovsk{\'{y}} and Viktor Fischer}, title = {New universal element with integrated {PUF} and {TRNG} capability}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732311}, doi = {10.1109/RECONFIG.2013.6732311}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VarcholaDF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VliegenMV13, author = {Jo Vliegen and Nele Mentens and Ingrid Verbauwhede}, title = {A single-chip solution for the secure remote configuration of FPGAs using bitstream compression}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732330}, doi = {10.1109/RECONFIG.2013.6732330}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VliegenMV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangS13, author = {Yu Wang and Hyunchul Shin}, title = {An effective window based legalization algorithm for {FPGA} placement}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732270}, doi = {10.1109/RECONFIG.2013.6732270}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WangS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangWL13, author = {Jun Rong Wang and Dan Wang and Jin{-}Mei Lai}, title = {A hierarchical parallel evolvable hardware based on network on chip}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732261}, doi = {10.1109/RECONFIG.2013.6732261}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WangWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WeberHL13, author = {Raymond J. Weber and Justin A. Hogan and Brock J. LaMeres}, title = {Power efficiency benchmarking of a partially reconfigurable, many-tile system implemented on a Xilinx Virtex-6 {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732321}, doi = {10.1109/RECONFIG.2013.6732321}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WeberHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WeinhardtKK13, author = {Markus Weinhardt and Alexander Krieger and Thomas Kinder}, title = {A framework for {PC} applications with portable and scalable {FPGA} accelerators}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732259}, doi = {10.1109/RECONFIG.2013.6732259}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/WeinhardtKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YuARL13, author = {Yu Bai and Mohammed Alawad and Michael Riera and Mingjie Lin}, title = {Improving memory performance in reconfigurable computing architecture through hardware-assisted dynamic graph}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732300}, doi = {10.1109/RECONFIG.2013.6732300}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/YuARL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZengA13, author = {Kevin Zeng and Peter Athanas}, title = {Enhancing productivity with back-end similarity matching of digital circuits for {IP} reuse}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732286}, doi = {10.1109/RECONFIG.2013.6732286}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZengA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2013, title = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6720231/proceeding}, isbn = {978-1-4799-2079-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AasaraaiM12, author = {Kaveh Aasaraai and Andreas Moshovos}, title = {{SPREX:} {A} soft processor with Runahead execution}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416786}, doi = {10.1109/RECONFIG.2012.6416786}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AasaraaiM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbdellatifCM12, author = {Karim M. Abdellatif and Roselyne Chotin{-}Avot and Habib Mehrez}, title = {Efficient parallel-pipelined {GHASH} for message authentication}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416742}, doi = {10.1109/RECONFIG.2012.6416742}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbdellatifCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbramsonD12, author = {Jeremy Abramson and Pedro C. Diniz}, title = {Resiliency-aware Scheduling for reconfigurable {VLIW} processors}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416784}, doi = {10.1109/RECONFIG.2012.6416784}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AbramsonD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlachiotisBS12, author = {Nikolaos Alachiotis and Simon A. Berger and Alexandros Stamatakis}, title = {A versatile {UDP/IP} based {PC} {\(\leftrightarrow\)} {FPGA} communication platform}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416725}, doi = {10.1109/RECONFIG.2012.6416725}, timestamp = {Mon, 09 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AlachiotisBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlamZS12, author = {Ashraful Alam and Zain{-}ul{-}Abdin and Bertil Svensson}, title = {Parallelization of the estimation algorithm of the 3D structure tensor}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416771}, doi = {10.1109/RECONFIG.2012.6416771}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AlamZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlnajiarHOM12, author = {Dawood Alnajiar and Masanori Hashimoto and Takao Onoye and Yukio Mitsuyama}, title = {Static voltage over-scaling and dynamic voltage variation tolerance with replica circuits and time redundancy in reconfigurable devices}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416787}, doi = {10.1109/RECONFIG.2012.6416787}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AlnajiarHOM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AndradeGRE12, author = {Hugo A. Andrade and Arkadeb Ghosal and Kaushik Ravindran and Brian L. Evans}, title = {A methodology for the design and deployment of reliable systems on heterogeneous platforms}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416722}, doi = {10.1109/RECONFIG.2012.6416722}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/AndradeGRE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Barron-ZambranoTR12, author = {Jose Hugo Barron{-}Zambrano and C{\'{e}}sar Torres{-}Huitzil and Horacio Rostro{-}Gonz{\'{a}}lez}, title = {Versatile FPGA-based locomotion platform for legged robots}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6417035}, doi = {10.1109/RECONFIG.2012.6417035}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Barron-ZambranoTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BirkBRB12, author = {Matthias Birk and Matthias Norbert Balzer and Nicole V. Ruiter and J{\"{u}}rgen Becker}, title = {Comparison of processing performance and architectural efficiency metrics for FPGAs and GPUs in 3D Ultrasound Computer Tomography}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416735}, doi = {10.1109/RECONFIG.2012.6416735}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BirkBRB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BirklykkeMAP12, author = {Alex A. Birklykke and Yannick Le Moullec and Lars K. Alminde and Ramjee Prasad}, title = {An automated test framework for experimenting with stochastic behavior in reconfigurable logic}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416729}, doi = {10.1109/RECONFIG.2012.6416729}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BirklykkeMAP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BogdanovKTY12, author = {Andrey Bogdanov and Elif Bilge Kavun and Elmar Tischhauser and Tolga Yal{\c{c}}in}, title = {Efficient reconfigurable hardware architecture for accurately computing success probability and data complexity of linear attacks}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416744}, doi = {10.1109/RECONFIG.2012.6416744}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BogdanovKTY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BogdanovMY12, author = {Andrey Bogdanov and Amir Moradi and Tolga Yal{\c{c}}in}, title = {Efficient and side-channel resistant authenticated encryption of {FPGA} bitstreams}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416743}, doi = {10.1109/RECONFIG.2012.6416743}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BogdanovMY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BonamyCBS12, author = {Robin Bonamy and Daniel Chillet and S{\'{e}}bastien Bilavarn and Olivier Sentieys}, title = {Power consumption model for partial and dynamic reconfiguration}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416772}, doi = {10.1109/RECONFIG.2012.6416772}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BonamyCBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Castells-RufasVC12, author = {David Castells{-}Rufas and Oscar Vila{-}Closas and Jordi Carrabina}, title = {Design of a multi-soft-core based Laser Marking controller}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416737}, doi = {10.1109/RECONFIG.2012.6416737}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Castells-RufasVC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DaigneaultD12, author = {Marc{-}Andr{\'{e}} Daigneault and Jean{-}Pierre David}, title = {Synchronized-transfer-level design methodology applied to hardware matrix multiplication}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416789}, doi = {10.1109/RECONFIG.2012.6416789}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DaigneaultD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DardaillonLR12, author = {Micka{\"{e}}l Dardaillon and C{\'{e}}dric Lauradoux and Tanguy Risset}, title = {Hardware implementation of the {GPS} authentication}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416754}, doi = {10.1109/RECONFIG.2012.6416754}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DardaillonLR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DataG12, author = {Andy Caley Data and Kent Gilson}, title = {Isolation of behavior design from system implementation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416758}, doi = {10.1109/RECONFIG.2012.6416758}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DataG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DriessenGKMPP12, author = {Benedikt Driessen and Tim G{\"{u}}neysu and Elif Bilge Kavun and Oliver Mischke and Christof Paar and Thomas P{\"{o}}ppelmann}, title = {IPSecco: {A} lightweight and reconfigurable IPSec core}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416757}, doi = {10.1109/RECONFIG.2012.6416757}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/DriessenGKMPP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ElarabyO12, author = {Nashwa Elaraby and Iyad Obeid}, title = {A model design of a 2560-channel neural spike detection platform}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416762}, doi = {10.1109/RECONFIG.2012.6416762}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ElarabyO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ErdemCL12, author = {Oguzhan Erdem and Aydin Carus and Hoang Le}, title = {Compact trie forest: Scalable architecture for {IP} lookup on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416734}, doi = {10.1109/RECONFIG.2012.6416734}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ErdemCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FrangiehA12, author = {Tannous Frangieh and Peter Athanas}, title = {A design assembly framework for {FPGA} back-end acceleration}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416718}, doi = {10.1109/RECONFIG.2012.6416718}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/FrangiehA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GantelBLV12, author = {Laurent Gantel and Mohamed El Amine Benkhelifa and Fabrice Lemonnier and Fran{\c{c}}ois Verdier}, title = {Module relocation in Heterogeneous Reconfigurable Systems-on-Chip using the Xilinx Isolation Design Flow}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416763}, doi = {10.1109/RECONFIG.2012.6416763}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GantelBLV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GarnicaLLAH12, author = {Jaime J. Garnica and Sergio L{\'{o}}pez{-}Buedo and V{\'{\i}}ctor L{\'{o}}pez and Javier Aracil and Jos{\'{e}} Mar{\'{\i}}a G{\'{o}}mez Hidalgo}, title = {A FPGA-based scalable architecture for {URL} legal filtering in 100GbE networks}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416719}, doi = {10.1109/RECONFIG.2012.6416719}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GarnicaLLAH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GasparFGJ12, author = {Lubos Gaspar and Viktor Fischer and Tim G{\"{u}}neysu and Zouha Cherif Jouini}, title = {Two {IP} protection schemes for multi-FPGA systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416790}, doi = {10.1109/RECONFIG.2012.6416790}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GasparFGJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GilCLGB12, author = {A. D. Santana Gil and Manuel Hernandez Calvi{\~{n}}o and Francisco Javier Quiles{-}Latorre and Ezequiel Herruzo Gomez and Jos{\'{e}} Ignacio Benavides Ben{\'{\i}}tez}, title = {Optimizing the physical implementation of a reconfigurable cache}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416768}, doi = {10.1109/RECONFIG.2012.6416768}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GilCLGB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gimmler-DumontSW12, author = {Christina Gimmler{-}Dumont and Philipp Schl{\"{a}}fer and Norbert Wehn}, title = {FPGA-based rapid prototyping platform for {MIMO-BICM} design space exploration}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416748}, doi = {10.1109/RECONFIG.2012.6416748}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Gimmler-DumontSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GriillKHK12, author = {Frederik Gr{\"{u}}ll and Michael Kunz and Michael Hausmann and Udo Kebschull}, title = {An implementation of 3D Electron Tomography on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416732}, doi = {10.1109/RECONFIG.2012.6416732}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GriillKHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HappeHAP12, author = {Markus Happe and Hendrik Hangmann and Andreas Agne and Christian Plessl}, title = {Eight ways to put your {FPGA} on fire - {A} systematic study of heat generators}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416745}, doi = {10.1109/RECONFIG.2012.6416745}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HappeHAP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HariaGP12, author = {Swapnil Haria and Thilan Ganegedara and Viktor K. Prasanna}, title = {Power-efficient and scalable virtual router architecture on {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416793}, doi = {10.1109/RECONFIG.2012.6416793}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HariaGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HeOTR12, author = {Wei He and Andr{\'{e}}s Otero and Eduardo de la Torre and Teresa Riesgo}, title = {Automatic generation of identical routing pairs for {FPGA} implemented {DPL} logic}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416733}, doi = {10.1109/RECONFIG.2012.6416733}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HeOTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IsaBC12, author = {Mohd Nazrin Md. Isa and Khaled Benkrid and Thomas Clayton}, title = {A novel efficient {FPGA} architecture for {HMMER} acceleration}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416723}, doi = {10.1109/RECONFIG.2012.6416723}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/IsaBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Jain-MendonS12, author = {Shweta Jain{-}Mendon and Ron Sass}, title = {A case study of streaming storage format for sparse matrices}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416788}, doi = {10.1109/RECONFIG.2012.6416788}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Jain-MendonS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KaradenizMGG12, author = {Turhan Karadeniz and Lotfi Mhamdi and Kees Goossens and J. J. Garcia{-}Luna{-}Aceves}, title = {Hardware design and implementation of a Network-on-Chip based load balancing switch fabric}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416753}, doi = {10.1109/RECONFIG.2012.6416753}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KaradenizMGG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KenterSP12, author = {Tobias Kenter and Henning Schmitz and Christian Plessl}, title = {Pragma based parallelization - Trading hardware efficiency for ease of use?}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416773}, doi = {10.1109/RECONFIG.2012.6416773}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KenterSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KliemV12, author = {Daniel Kliem and Sven{-}Ole Voigt}, title = {A multi-core FPGA-based SoC architecture with domain segregation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416764}, doi = {10.1109/RECONFIG.2012.6416764}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KliemV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KretzschmarALGS12, author = {Uli Kretzschmar and Armando Astarloa and Jes{\'{u}}s L{\'{a}}zaro and Mikel Garay and Javier Del Ser}, title = {Robustness of different {TMR} granularities in shared wishbone architectures on {SRAM} {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416785}, doi = {10.1109/RECONFIG.2012.6416785}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KretzschmarALGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LapotreGDHB12, author = {Vianney Lapotre and Guy Gogniat and Jean{-}Philippe Diguet and Salim Haddad and Amer Baghdadi}, title = {An analytical approach for sizing of heterogeneous multiprocessor flexible platforms for iterative demapping and channel decoding}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416728}, doi = {10.1109/RECONFIG.2012.6416728}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LapotreGDHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LifaEP12, author = {Adrian Alin Lifa and Petru Eles and Zebo Peng}, title = {Minimization of average execution time based on speculative {FPGA} configuration prefetch}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416761}, doi = {10.1109/RECONFIG.2012.6416761}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LifaEP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LiuBFGT12, author = {Ling Liu and Jeremia B{\"{a}}r and Felix Friedrich and J{\"{u}}rg Gutknecht and Shiao{-}Li Tsao}, title = {A low power configurable SoC for simulating delay-based audio effects}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416759}, doi = {10.1109/RECONFIG.2012.6416759}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LiuBFGT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LozanoPG12, author = {Pedro Cervantes Lozano and Luis Fernando Gonz{\'{a}}lez P{\'{e}}rez and Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a}, title = {A {VLSI} architecture for the K-best Sphere-Decoder in {MIMO} systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416791}, doi = {10.1109/RECONFIG.2012.6416791}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/LozanoPG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaHA12, author = {Sen Ma and Miaoqing Huang and David Andrews}, title = {Developing application-specific multiprocessor platforms on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416739}, doi = {10.1109/RECONFIG.2012.6416739}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MaHA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Martin12, author = {Grant Martin}, title = {Keynote 1 - The once and future {FPGA:} The confluence of configurable processing and reconfigurable technology}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416775}, doi = {10.1109/RECONFIG.2012.6416775}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Martin12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MirianC12, author = {Vincent Mirian and Paul Chow}, title = {An implementation of a directory protocol for a cache coherent system on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416731}, doi = {10.1109/RECONFIG.2012.6416731}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MirianC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Morales-VelazquezOR12, author = {Luis Morales{-}Velazquez and Roque Alfredo Osornio{-}Rios and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso}, title = {{FPGA} embedded single-cycle 16-bit microprocessor and tools}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416749}, doi = {10.1109/RECONFIG.2012.6416749}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Morales-VelazquezOR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NaneSB12, author = {Razvan Nane and Vlad Mihai Sima and Koen Bertels}, title = {A lightweight speculative and predicative scheme for hardware execution}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416721}, doi = {10.1109/RECONFIG.2012.6416721}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NaneSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NishitaniIAIKS12, author = {Yuki Nishitani and Kazuki Inoue and Motoki Amagasaki and Masahiro Iida and Morihiro Kuga and Toshinori Sueyoshi}, title = {A novel physical defects recovery technique for {FPGA-IP} cores}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416766}, doi = {10.1109/RECONFIG.2012.6416766}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/NishitaniIAIKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ochoa-RuizLBCMD12, author = {Gilberto Ochoa{-}Ruiz and Ouassila Labbani{-}Narsis and El{-}Bay Bourennane and Sana Cherif and Samy Meftali and Jean{-}Luc Dekeyser}, title = {Facilitating {IP} deployment in a MARTE-based {MDE} methodology using {IP-XACT:} {A} Xilinx {EDK} case study}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416751}, doi = {10.1109/RECONFIG.2012.6416751}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Ochoa-RuizLBCMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OteroTR12, author = {Andr{\'{e}}s Otero and Eduardo de la Torre and Teresa Riesgo}, title = {Dreams: {A} tool for the design of dynamically reconfigurable embedded and modular systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416740}, doi = {10.1109/RECONFIG.2012.6416740}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/OteroTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Pham-QuocAB12, author = {Cuong Pham{-}Quoc and Zaid Al{-}Ars and Koen Bertels}, title = {A heuristic-based communication-aware hardware optimization approach in heterogeneous multicore systems}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416720}, doi = {10.1109/RECONFIG.2012.6416720}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Pham-QuocAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PohlSKPWP12, author = {Matthias Pohl and Michael Schaeferling and Gundolf Kiefer and Plamen Petrow and Egmont Woitzel and Frank Papenfuss}, title = {An efficient and scalable architecture for real-time distortion removal and rectification of live camera images}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416730}, doi = {10.1109/RECONFIG.2012.6416730}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PohlSKPWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RakossyNC12, author = {Zolt{\'{a}}n Endre R{\'{a}}kossy and Tejas Naphade and Anupam Chattopadhyay}, title = {Design and analysis of layered coarse-grained reconfigurable architecture}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416736}, doi = {10.1109/RECONFIG.2012.6416736}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/RakossyNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ReichenbachSF12, author = {Marc Reichenbach and Ralf Seidler and Dietmar Fey}, title = {Heterogeneous computer architectures: An image processing pipeline for optical metrology}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416755}, doi = {10.1109/RECONFIG.2012.6416755}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ReichenbachSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RomothJHPR12, author = {Johannes Romoth and Dirk Jungewelter and Jens Hagemeyer and Mario Porrmann and Ulrich R{\"{u}}ckert}, title = {Optimizing inter-FPGA communication by automatic channel adaptation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416767}, doi = {10.1109/RECONFIG.2012.6416767}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RomothJHPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SantosAF12, author = {Pedro Vieira dos Santos and Jos{\'{e}} Carlos Alves and Jo{\~{a}}o Canas Ferreira}, title = {A scalable array for Cellular Genetic Algorithms: {TSP} as case study}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416724}, doi = {10.1109/RECONFIG.2012.6416724}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SantosAF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SantosNCAW12, author = {Paulo C. Santos and Gabriel L. Nazar and Luigi Carro and Fakhar Anjam and Stephan Wong}, title = {Adapting communication for adaptable processors: {A} multi-axis reconfiguration approach}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416726}, doi = {10.1109/RECONFIG.2012.6416726}, timestamp = {Wed, 31 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SantosNCAW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SatoILN12, author = {Yukinori Sato and Yasushi Inoguchi and Wayne Luk and Tadao Nakamura}, title = {Evaluating reconfigurable dataflow computing using the Himeno benchmark}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416746}, doi = {10.1109/RECONFIG.2012.6416746}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SatoILN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchmidtF12, author = {Michael Schmidt and Dietmar Fey}, title = {Akers's wavefront planner - One of the fastest stencil-based path planners on FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416727}, doi = {10.1109/RECONFIG.2012.6416727}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SchmidtF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SharmaRS12, author = {Rahul R. Sharma and Yamuna Rajasekhar and Ron Sass}, title = {Exploring hardware work queue support for lightweight threads in MPSoCs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416747}, doi = {10.1109/RECONFIG.2012.6416747}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SharmaRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaCB12, author = {Bruno de Abreu Silva and Lucas Albers Cuminato and Vanderlei Bonato}, title = {Reducing the overall cache miss rate using different cache sizes for Heterogeneous Multi-core Processors}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416783}, doi = {10.1109/RECONFIG.2012.6416783}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaFVN12, author = {Victor Silva and Jorge R. Fernandes and M{\'{a}}rio P. V{\'{e}}stias and Hor{\'{a}}cio C. Neto}, title = {A High-Performance Reconfigurable Computing architecture using a magnetic configuration memory}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416756}, doi = {10.1109/RECONFIG.2012.6416756}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaFVN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Sivertson12, author = {Eric Sivertson}, title = {Keynote 2 - "Reconfigurable Computing and Trust: Foundational technologies to enable trusted reconfigurable platforms"}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416776}, doi = {10.1109/RECONFIG.2012.6416776}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Sivertson12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TongYP12, author = {Da Tong and Yi{-}Hua E. Yang and Viktor K. Prasanna}, title = {A memory efficient IPv6 lookup engine on {FPGA}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416760}, doi = {10.1109/RECONFIG.2012.6416760}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TongYP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TradowskyCDHB12, author = {Carsten Tradowsky and Enrique Cordero and Thorsten Deuser and Michael H{\"{u}}bner and J{\"{u}}rgen Becker}, title = {Determination of on-chip temperature gradients on reconfigurable hardware}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416738}, doi = {10.1109/RECONFIG.2012.6416738}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TradowskyCDHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TurkiMM12, author = {Mariem Turki and Habib Mehrez and Zied Marrakchi}, title = {Multi-FPGA prototyping environment: Large benchmark generation and signals routing}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416765}, doi = {10.1109/RECONFIG.2012.6416765}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TurkiMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/UpeguiIC12, author = {Andres Upegui and Julien Izui and Gilles Curchod}, title = {Fault mitigation by means of dynamic partial reconfiguration of Virtex-5 FPGAs}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416752}, doi = {10.1109/RECONFIG.2012.6416752}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/UpeguiIC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ViswanathanNAND12, author = {Venkatasubramanian Viswanathan and Benjamin Nakache and Rabie Ben Atitallah and Maurice Nakache and Jean{-}Luc Dekeyser}, title = {Dynamic reconfiguration of modular {I/O} {IP} cores for avionic applications}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416741}, doi = {10.1109/RECONFIG.2012.6416741}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ViswanathanNAND12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZiermannBTZ12, author = {Tobias Ziermann and Alexander Butiu and J{\"{u}}rgen Teich and Daniel Ziener}, title = {FPGA-based testbed for timing behavior evaluation of the Controller Area Network {(CAN)}}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416750}, doi = {10.1109/RECONFIG.2012.6416750}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/ZiermannBTZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2012, title = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6410219/proceeding}, isbn = {978-1-4673-2919-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbdelhadiL11, author = {Ameer Abdelhadi and Guy G. F. Lemieux}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Configuration Bitstream Reduction for SRAM-based FPGAs by Enumerating {LUT} Input Permutations}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {20--26}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.20}, doi = {10.1109/RECONFIG.2011.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AbdelhadiL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AhlbergLESEA11, author = {Carl Ahlberg and J{\"{o}}rgen Lidholm and Fredrik Ekstrand and Giacomo Spampinato and Mikael Ekstr{\"{o}}m and Lars Asplund}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{GIMME} - {A} General Image Multiview Manipulation Engine}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.44}, doi = {10.1109/RECONFIG.2011.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AhlbergLESEA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Arce-NazarioO11, author = {Rafael A. Arce{-}Nazario and Jos{\'{e}} R. Ortiz{-}Ubarri}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Enumeration of Costas Arrays Using GPUs and FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {462--467}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.36}, doi = {10.1109/RECONFIG.2011.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Arce-NazarioO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Arnold11, author = {Mark G. Arnold}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Configuring Field-Programmable Robot Arrays}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {67--73}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.21}, doi = {10.1109/RECONFIG.2011.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Arnold11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AshrafMJD11, author = {Rizwan A. Ashraf and Ouns Mouri and Rami Jadaa and Ronald F. DeMara}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Design-for-Diversity for Improved Fault-Tolerance of {TMR} Systems on FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.26}, doi = {10.1109/RECONFIG.2011.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AshrafMJD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AylwardCILMNPSX11, author = {John Aylward and Catherine H. Crawford and Ken Inoue and Scott Lekuch and Kay M{\"{u}}ller and Mark Nutter and Hartmut Penner and Kai Schleupen and Jimi Xenidis}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Reconfigurable Systems and Flexible Programming for Hardware Design, Verification and Software Enablement for System-on-a-Chip Architectures}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {351--356}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.78}, doi = {10.1109/RECONFIG.2011.78}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AylwardCILMNPSX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BaeslerVT11, author = {Malte Baesler and Sven{-}Ole Voigt and Thomas Teufel}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementations of Radix-10 Digit Recurrence Fixed-Point and Floating-Point Dividers}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {13--19}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.41}, doi = {10.1109/RECONFIG.2011.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BaeslerVT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Barron-ZambranoTG11, author = {Jose Hugo Barron{-}Zambrano and C{\'{e}}sar Torres{-}Huitzil and Jose Juan Garcia{-}Hernandez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {FPGA-based {CPG} Robot Locomotion Modulation Using a Fuzzy Scheme and Visual Information}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {291--296}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.42}, doi = {10.1109/RECONFIG.2011.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Barron-ZambranoTG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BeckerJLW11, author = {Tobias Becker and Qiwei Jin and Wayne Luk and Stephen Weston}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Dynamic Constant Reconfiguration for Explicit Finite Difference Option Pricing}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {176--181}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.29}, doi = {10.1109/RECONFIG.2011.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BeckerJLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BekiarisESS11, author = {Dimitris Bekiaris and George Economakos and Efstathios Sotiriou{-}Xanthopoulos and Dimitrios Soudris}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Low-Power Reconfigurable Component Utilization in a High-Level Synthesis Flow}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {428--433}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.58}, doi = {10.1109/RECONFIG.2011.58}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BekiarisESS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BhasinGSGD11, author = {Shivam Bhasin and Sylvain Guilley and Youssef Souissi and Tarik Graba and Jean{-}Luc Danger}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Efficient Dual-Rail Implementations in {FPGA} Using Block RAMs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {261--267}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.32}, doi = {10.1109/RECONFIG.2011.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BhasinGSGD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BispoC11, author = {Jo{\~{a}}o Bispo and Jo{\~{a}}o M. P. Cardoso}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Techniques for Dynamically Mapping Computations to Coprocessors}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {505--508}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.86}, doi = {10.1109/RECONFIG.2011.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BispoC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BispoPCF11, author = {Jo{\~{a}}o Bispo and Nuno Miguel Cardanha Paulino and Jo{\~{a}}o M. P. Cardoso and Jo{\~{a}}o Canas Ferreira}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {From Instruction Traces to Specialized Reconfigurable Arrays}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {386--391}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.43}, doi = {10.1109/RECONFIG.2011.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BispoPCF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BoppuHTP11, author = {Srinivas Boppu and Frank Hannig and J{\"{u}}rgen Teich and Roberto Perez{-}Andrade}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Towards Symbolic Run-Time Reconfiguration in Tightly-Coupled Processor Arrays}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {392--397}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.91}, doi = {10.1109/RECONFIG.2011.91}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BoppuHTP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BusseuilBAOBSBRT11, author = {R{\'{e}}mi Busseuil and Lyonel Barthe and Gabriel Marchesan Almeida and Luciano Ost and Florent Bruguier and Gilles Sassatelli and Pascal Benoit and Michel Robert and Lionel Torres}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Open-Scale: {A} Scalable, Open-Source NOC-based MPSoC for Design Space Exploration}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {357--362}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.66}, doi = {10.1109/RECONFIG.2011.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BusseuilBAOBSBRT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CardonaAGOF11, author = {Luis Andr{\'{e}}s Cardona and Jharna Agrawal and Yi Guo and Joan Oliver and Carles Ferrer}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Performance-Area Improvement by Partial Reconfiguration for an Aerospace Remote Sensing Application}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {497--500}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.69}, doi = {10.1109/RECONFIG.2011.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CardonaAGOF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CerveroLSFA11, author = {Teresa Cervero and Sebasti{\'{a}}n L{\'{o}}pez and Roberto Sarmiento and Tannous Frangieh and Peter Athanas}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Scalable Models for Autonomous Self-Assembled Reconfigurable Systems}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {410--415}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.81}, doi = {10.1109/RECONFIG.2011.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CerveroLSFA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChaiS11, author = {Zhilei Chai and Jianbo Shi}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Improving {KLT} in Embedded Systems by Processing Oversampling Video Sequence in Real-Time}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {297--302}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.54}, doi = {10.1109/RECONFIG.2011.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChaiS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChannoufiLADG11, author = {Mal{\`{e}}k Channoufi and Pierre Lecoy and Rabah Attia and Bruno Delacressonniere and S. Garcia}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Toward All Optical Interconnections in Chip Multiprocessor {(2)}}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {501--504}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.90}, doi = {10.1109/RECONFIG.2011.90}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChannoufiLADG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChenS11, author = {Dongdong Chen and Mihai Sima}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Fixed-Point CORDIC-Based {QR} Decomposition by Givens Rotations on {FPGA}}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {327--332}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.38}, doi = {10.1109/RECONFIG.2011.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChenS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CondeD11, author = {Guillermo Conde and Gregory W. Donohoe}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Reconfigurable Block Floating Point Processing Elements in Virtex Platforms}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {509--512}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.76}, doi = {10.1109/RECONFIG.2011.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CondeD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CoronaMR11, author = {Cuaut{\"{e}}moc Ch{\"{a}}vez Corona and Edgar Ferrer Moreno and Francisco Rodr{\'{\i}}guez{-}Henr{\'{\i}}quez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Hardware Design of a 256-Bit Prime Field Multiplier Suitable for Computing Bilinear Pairings}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {229--234}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.46}, doi = {10.1109/RECONFIG.2011.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CoronaMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CouchA11, author = {Jacob Couch and Peter Athanas}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Analysis of Implanted Antennas in Xilinx FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.9}, doi = {10.1109/RECONFIG.2011.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CouchA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FellerMKH11, author = {Thomas Feller and Sunil Malipatlolla and Michael Kasper and Sorin A. Huss}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {dcTPM: {A} Generic Architecture for Dynamic Context Management}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.23}, doi = {10.1109/RECONFIG.2011.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FellerMKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GoedersLW11, author = {Jeffrey B. Goeders and Guy G. F. Lemieux and Steven J. E. Wilton}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Deterministic Timing-Driven Parallel Placement by Simulated Annealing Using Half-Box Window Decomposition}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.27}, doi = {10.1109/RECONFIG.2011.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GoedersLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GohringerMHB11, author = {Diana G{\"{o}}hringer and Lukas Meder and Michael H{\"{u}}bner and J{\"{u}}rgen Becker}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Adaptive Multi-client Network-on-Chip Memory}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.8}, doi = {10.1109/RECONFIG.2011.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GohringerMHB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HappeAP11, author = {Markus Happe and Andreas Agne and Christian Plessl}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Measuring and Predicting Temperature Distributions on FPGAs at Run-Time}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.59}, doi = {10.1109/RECONFIG.2011.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HappeAP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HeTR11, author = {Wei He and Eduardo de la Torre and Teresa Riesgo}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Precharge-Absorbed {DPL} Logic for Reducing Early Propagation Effects on {FPGA} Implementations}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {217--222}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.3}, doi = {10.1109/RECONFIG.2011.3}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HeTR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HironakaA11, author = {Kazuei Hironaka and Hideharu Amano}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Power Centric Application Mapping for Dynamically Reconfigurable Processor Array with Dual Vdd and Dual Vth}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {404--409}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.70}, doi = {10.1109/RECONFIG.2011.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HironakaA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HoriKKS11, author = {Yohei Hori and Hyunho Kang and Toshihiro Katashita and Akashi Satoh}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Pseudo-LFSR {PUF:} {A} Compact, Efficient and Reliable Physical Unclonable Function}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {223--228}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.72}, doi = {10.1109/RECONFIG.2011.72}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HoriKKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HubnerTGBTHB11, author = {Michael H{\"{u}}bner and Carsten Tradowsky and Diana G{\"{o}}hringer and Lars Braun and Florian Thoma and J{\"{o}}rg Henkel and J{\"{u}}rgen Becker}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Dynamic Processor Reconfiguration}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {123--128}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.30}, doi = {10.1109/RECONFIG.2011.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HubnerTGBTHB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HussainBES11, author = {Hanaa M. Hussain and Khaled Benkrid and Ahmet T. Erdogan and Huseyin Seker}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Highly Parameterized K-means Clustering on FPGAs: Comparative Results with GPPs and GPUs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {475--480}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.49}, doi = {10.1109/RECONFIG.2011.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HussainBES11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ImranD11, author = {Naveed Imran and Ronald F. DeMara}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Heterogeneous Concurrent Error Detection (hCED) Based on Output Anticipation}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.48}, doi = {10.1109/RECONFIG.2011.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ImranD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ImranD11a, author = {Naveed Imran and Ronald F. DeMara}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Self-Configuring {TMR} Scheme Utilizing Discrepancy Resolution}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {398--403}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.5}, doi = {10.1109/RECONFIG.2011.5}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ImranD11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IturbeBAHM11, author = {Xabier Iturbe and Khaled Benkrid and Tughrul Arslan and Chuan Hong and Imanol Martinez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Empty Resource Compaction Algorithms for Real-Time Hardware Tasks Placement on Partially Reconfigurable FPGAs Subject to Fault Ocurrence}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {27--34}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.34}, doi = {10.1109/RECONFIG.2011.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IturbeBAHM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IturbeBEHAM11, author = {Xabier Iturbe and Khaled Benkrid and Ali Ebrahim and Chuan Hong and Tughrul Arslan and Imanol Martinez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Snake: An Efficient Strategy for the Reuse of Circuitry and Partial Computation Results in High-Performance Reconfigurable Computing}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.82}, doi = {10.1109/RECONFIG.2011.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IturbeBEHAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JessaM11, author = {Mieczyslaw Jessa and Lukasz Matuszewski}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Enhancing the Randomness of a Combined True Random Number Generator Based on the Ring Oscillator Sampling Method}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {274--279}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.35}, doi = {10.1109/RECONFIG.2011.35}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JessaM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JozwikTEHT11, author = {Krzysztof Jozwik and Hiroyuki Tomiyama and Masato Edahiro and Shinya Honda and Hiroaki Takada}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Rainbow: An {OS} Extension for Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {416--421}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.73}, doi = {10.1109/RECONFIG.2011.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JozwikTEHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JungkA11, author = {Bernhard Jungk and J{\"{u}}rgen Apfelbeck}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Area-Efficient {FPGA} Implementations of the {SHA-3} Finalists}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {235--241}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.16}, doi = {10.1109/RECONFIG.2011.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JungkA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KavunY11, author = {Elif Bilge Kavun and Tolga Yal{\c{c}}in}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {RAM-Based Ultra-Lightweight {FPGA} Implementation of {PRESENT}}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {280--285}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.74}, doi = {10.1109/RECONFIG.2011.74}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KavunY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KiaA11, author = {Hamed Sajjadi Kia and Cristinel Ababei}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {363--368}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.52}, doi = {10.1109/RECONFIG.2011.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KiaA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KretzschmarALBJ11, author = {Uli Kretzschmar and Armando Astarloa and Jes{\'{u}}s L{\'{a}}zaro and Unai Bidarte and Jaime Jimenez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Robustness Analysis of Different {AES} Implementations on {SRAM} Based FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {255--260}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.80}, doi = {10.1109/RECONFIG.2011.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KretzschmarALBJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ledesma-CarrilloCRGOC11, author = {Luis Manuel Ledesma{-}Carrillo and Eduardo Cabal{-}Yepez and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso and Arturo Garcia{-}Perez and Roque Alfredo Osornio{-}Rios and Tobia D. Carozzi}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Reconfigurable FPGA-Based Unit for Singular Value Decomposition of Large m x n Matrices}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {345--350}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.77}, doi = {10.1109/RECONFIG.2011.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Ledesma-CarrilloCRGOC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LeonFC11, author = {German Leon and Germ{\'{a}}n Fabregat and Jos{\'{e}} M. Claver}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Automatic Type Inference for Resynthesis on Hardware Description Languages}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {455--461}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.17}, doi = {10.1109/RECONFIG.2011.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LeonFC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LiuEHP11, author = {Pei Liu and Fatemeh O. Ebrahim and Ahmed Hemani and Kolin Paul}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Coarse-Grained Reconfigurable Processor for Sequencing and Phylogenetic Algorithms in Bioinformatics}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {190--197}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.1}, doi = {10.1109/RECONFIG.2011.1}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LiuEHP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LombG11, author = {Benno Lomb and Tim G{\"{u}}neysu}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Decrypting HDCP-protected Video Streams Using Reconfigurable Hardware}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.24}, doi = {10.1109/RECONFIG.2011.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LombG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LozanoGG11, author = {Pedro Cervantes Lozano and Luis Fernando Gonz{\'{a}}lez P{\'{e}}rez and Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Analysis of Parallel Sorting Algorithms in K-best Sphere-Decoder Architectures for {MIMO} Systems}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {321--326}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.13}, doi = {10.1109/RECONFIG.2011.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LozanoGG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LuMS11, author = {Ye Lu and John V. McCanny and Sakir Sezer}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {The Impact of Global Routing on the Performance of NoCs in FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {369--374}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.87}, doi = {10.1109/RECONFIG.2011.87}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LuMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ManeJS11, author = {Suvarna Mane and Lyndon Judge and Patrick Schaumont}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Integrated Prime-Field {ECDLP} Hardware Accelerator with High-Performance Modular Arithmetic Units}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {198--203}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.12}, doi = {10.1109/RECONFIG.2011.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ManeJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NakamuraITHSI11, author = {Masatoshi Nakamura and Masato Inagi and Kazuya Tanigawa and Tetsuo Hironaka and Masayuki Sato and Takashi Ishiguro}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{EDA} Environment for Evaluating a New Switch-Block-Free Reconfigurable Architecture}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {448--454}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.31}, doi = {10.1109/RECONFIG.2011.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NakamuraITHSI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Nannarelli11, author = {Alberto Nannarelli}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{FPGA} Based Acceleration of Decimal Operations}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {146--151}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.39}, doi = {10.1109/RECONFIG.2011.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Nannarelli11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NarayananCPS11, author = {Surya Narayanan and Daniel Chillet and S{\'{e}}bastien Pillement and Ioannis Sourdis}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Hardware {OS} Communication Service and Dynamic Memory Management for RSoCs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {117--122}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.47}, doi = {10.1109/RECONFIG.2011.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NarayananCPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NazemiOM11, author = {Azadeh Nazemi and Cesar Ortega{-}Sanchez and Iain Murray}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Digital Talking Book Player for the Visually Impaired Using FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {493--496}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.28}, doi = {10.1109/RECONFIG.2011.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NazemiOM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OliverSCCGGGILMMSSWG11, author = {Neal Oliver and Rahul R. Sharma and Stephen Chang and Bhushan Chitlur and Elkin Garcia and Joseph Grecco and Aaron Grier and Nelson Ijih and Yaping Liu and Pratik Marolia and Henry Mitchel and Suchit Subhaschandra and Arthur Sheiman and Tim Whisonant and Prabhat Gupta}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Reconfigurable Computing System Based on a Cache-Coherent Fabric}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {80--85}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.4}, doi = {10.1109/RECONFIG.2011.4}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OliverSCCGGGILMMSSWG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ortega-Sanchez11, author = {Cesar Ortega{-}Sanchez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {MiniMIPS: An 8-Bit {MIPS} in an {FPGA} for Educational Purposes}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {152--157}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.62}, doi = {10.1109/RECONFIG.2011.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Ortega-Sanchez11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OstlerWJ11, author = {Patrick S. Ostler and Michael J. Wirthlin and Joshua E. Jensen}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{FPGA} Bootstrapping on PCIe Using Partial Reconfiguration}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {380--385}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.40}, doi = {10.1109/RECONFIG.2011.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OstlerWJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PacholikKMGF11, author = {Alexander Pacholik and Johannes Kl{\"{o}}ckner and Marcus M{\"{u}}ller and Irina Gushchina and Wolfgang Fengler}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {LiSARD: LabVIEW Integrated Softcore Architecture for Reconfigurable Devices}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {442--447}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.56}, doi = {10.1109/RECONFIG.2011.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PacholikKMGF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PacholikMFMF11, author = {Alexander Pacholik and Marcus M{\"{u}}ller and Wolfgang Fengler and Torsten Machleidt and Karl{-}Heinz Franke}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{GPU} vs {FPGA:} Example Application on White Light Interferometry}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {481--486}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.45}, doi = {10.1109/RECONFIG.2011.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PacholikMFMF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Pena-RamosP11, author = {J. C. Pe{\~{n}}a{-}Ramos and Ram{\'{o}}n Parra{-}Michel}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Network on Chip Architectures for High Performance Digital Signal Processing Using a Configurable Core}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {375--379}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.64}, doi = {10.1109/RECONFIG.2011.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Pena-RamosP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PereiraALF11, author = {Karl Pereira and Peter Athanas and Heshan Lin and Wu Feng}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Spectral Method Characterization on {FPGA} and {GPU} Accelerators}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {487--492}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.83}, doi = {10.1109/RECONFIG.2011.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PereiraALF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PerrettD11, author = {Marcus R. Perrett and Izzat Darwazeh}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Simple Ethernet Stack Implementation in {VHDL} to Enable {FPGA} Logic Reconfigurability}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {286--290}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.6}, doi = {10.1109/RECONFIG.2011.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PerrettD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PionteckOA11, author = {Thilo Pionteck and Christoph Osterloh and Carsten Albrecht}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Linking Formal Description and Simulation of Runtime Reconfigurable Systems}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {158--163}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.55}, doi = {10.1109/RECONFIG.2011.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PionteckOA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RakosiWC11, author = {Zoltan Endre Rakosi and Zheng Wang and Anupam Chattopadhyay}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Adaptive Energy-Efficient Architecture for {WCDMA} Channel Estimation}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {309--314}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.7}, doi = {10.1109/RECONFIG.2011.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RakosiWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Romero-AguirrePCO11, author = {Eduardo Romero{-}Aguirre and Ram{\'{o}}n Parra{-}Michel and Roberto Carrasco{-}Alvarez and Aldo G. Orozco{-}Lugo}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Architecture Based on Array Processors for Data-Dependent Superimposed Training Channel Estimation}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {303--308}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.15}, doi = {10.1109/RECONFIG.2011.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Romero-AguirrePCO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RossiBML11, author = {Drausio Linardi Rossi and Vanderlei Bonato and Eduardo Marques and Jo{\~{a}}o Miguel Gago Pontes de Brito Lima}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A {PID} Controller Applied to the Gain Control of a {CMOS} Camera Using Reconfigurable Computing}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {141--145}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.2}, doi = {10.1109/RECONFIG.2011.2}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RossiBML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SalmanRK11, author = {Ahmad Salman and Marcin Rogawski and Jens{-}Peter Kaps}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Efficient Hardware Accelerator for IPSec Based on Partial Reconfiguration on Xilinx FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {242--248}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.33}, doi = {10.1109/RECONFIG.2011.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SalmanRK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SalvadorOMTSR11, author = {Rub{\'{e}}n Salvador and Andr{\'{e}}s Otero and Javier Mora and Eduardo de la Torre and Luk{\'{a}}s Sekanina and Teresa Riesgo}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {164--169}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.37}, doi = {10.1109/RECONFIG.2011.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SalvadorOMTSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SanchesCD11, author = {Adriano K. Sanches and Jo{\~{a}}o M. P. Cardoso and Alexandre C. B. Delbem}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Identifying Merge-Beneficial Software Kernels for Hardware Implementation}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {74--79}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.51}, doi = {10.1109/RECONFIG.2011.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SanchesCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SavichM11, author = {Antony W. Savich and Medhat Moussa}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Resource Efficient Arithmetic Effects on {RBM} Neural Network Solution Quality Using {MNIST}}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.79}, doi = {10.1109/RECONFIG.2011.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SavichM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SayedJ11, author = {Moinuddin Sayed and Phillip H. Jones}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Characterizing Non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-Based Thermometers}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {92--98}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.18}, doi = {10.1109/RECONFIG.2011.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SayedJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchaeferlingK11, author = {Michael Schaeferling and Gundolf Kiefer}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Object Recognition on a Chip: {A} Complete SURF-Based System on a Single {FPGA}}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.65}, doi = {10.1109/RECONFIG.2011.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchaeferlingK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchmidtS11, author = {Andrew G. Schmidt and Ron Sass}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Improving {FPGA} Design and Evaluation Productivity with a Hardware Performance Monitoring Infrastructure}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {422--427}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.53}, doi = {10.1109/RECONFIG.2011.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchmidtS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchryverSKWMKK11, author = {Christian de Schryver and Ivan Shcherbakov and Frank Kienle and Norbert Wehn and Henning Marxen and Anton Kostiuk and Ralf Korn}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Energy Efficient {FPGA} Accelerator for Monte Carlo Option Pricing with the Heston Model}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {468--474}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.11}, doi = {10.1109/RECONFIG.2011.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchryverSKWMKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchweizerSEKR11, author = {Thomas Schweizer and Philipp Schlicker and Sven Eisenhardt and Tommy Kuhn and Wolfgang Rosenstiel}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Low-Cost {TMR} for Fault-Tolerance on Coarse-Grained Reconfigurable Architectures}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {135--140}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.57}, doi = {10.1109/RECONFIG.2011.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchweizerSEKR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SerresNE11, author = {Olivier Serres and Vikram K. Narayana and Tarek A. El{-}Ghazawi}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Architecture for Reconfigurable Multi-core Explorations}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.10}, doi = {10.1109/RECONFIG.2011.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SerresNE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SunLP11, author = {Lu Sun and Hoang Le and Viktor K. Prasanna}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Optimizing Decomposition-Based Packet Classification Implementation on FPGAs}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {170--175}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.67}, doi = {10.1109/RECONFIG.2011.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SunLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ThielmannWHK11, author = {Benjamin Thielmann and Thorsten Wink and Jens Huthmann and Andreas Koch}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{RAP:} More Efficient Memory Access in Highly Speculative Execution on Reconfigurable Adaptive Computers}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {434--441}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.75}, doi = {10.1109/RECONFIG.2011.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ThielmannWHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VarcholaGM11, author = {Michal Varchola and Tim G{\"{u}}neysu and Oliver Mischke}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {MicroECC: {A} Lightweight Reconfigurable Elliptic Curve Crypto-processor}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {204--210}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.61}, doi = {10.1109/RECONFIG.2011.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/VarcholaGM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Vela-GarciaCPA11, author = {L. R. Vela{-}Garcia and J. Vazquez Castillo and Ram{\'{o}}n Parra{-}Michel and Alejandro Castillo Atoche}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {High-Speed Stochastic Processes Generator Based on Sum-of-Sinusoids for Channel Emulation}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {315--320}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.50}, doi = {10.1109/RECONFIG.2011.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Vela-GarciaCPA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WebsterL11, author = {David M. Webster and Marcin Lukowiak}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Versatile {FPGA} Architecture for Skein Hashing Algorithm}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {268--273}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.93}, doi = {10.1109/RECONFIG.2011.93}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WebsterL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Yllescas-CalderonEPP11, author = {Lennin C. Yllescas{-}Calderon and Adrian J. Espino{-}Orozco and Ram{\'{o}}n Parra{-}Michel and Luis Fernando Gonz{\'{a}}lez P{\'{e}}rez}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Design and Implementation of a Simplified Turbo Decoder for 3GPP2}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {333--338}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.25}, doi = {10.1109/RECONFIG.2011.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Yllescas-CalderonEPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YunYP11, author = {Yun Qu and Yi{-}Hua E. Yang and Viktor K. Prasanna}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Multi-stream Regular Expression Matching on {FPGA}}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {86--91}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.63}, doi = {10.1109/RECONFIG.2011.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/YunYP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Zarate-MartinezPCP11, author = {R. Zarate{-}Mart{\"{\i}}nez and Fernando Pe{\~{n}}a{-}Campos and J. Vazquez Castillo and Ram{\'{o}}n Parra{-}Michel}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Arbitrary Distribution Random Variable Generator for Channel Emulators}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {339--344}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.14}, doi = {10.1109/RECONFIG.2011.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Zarate-MartinezPCP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhuBYO11, author = {Hui Zhu and S{\'{e}}bastien Le Beux and Nataliya Yakymets and Ian O'Connor}, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Using Self-Reconfiguration to Increase Manufacturing Yield of CNTFET-based Architectures}, booktitle = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ReConFig.2011.92}, doi = {10.1109/RECONFIG.2011.92}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhuBYO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2011, editor = {Peter M. Athanas and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6126158/proceeding}, isbn = {978-1-4577-1734-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AasaraaiM10, author = {Kaveh Aasaraai and Andreas Moshovos}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Efficient Non-blocking Data Cache for Soft Processors}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.61}, doi = {10.1109/RECONFIG.2010.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AasaraaiM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ababei10, author = {Cristinel Ababei}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Efficient Congestion-Oriented Custom Network-on-Chip Topology Synthesis}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {352--357}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.27}, doi = {10.1109/RECONFIG.2010.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Ababei10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AgwaAS10, author = {Shady O. Agwa and Hany H. Ahmad and Awad I. Saleh}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Hardware Pessimistic Run-Time Profiling for a Self-Reconfigurable Embedded Processor Architecture}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.12}, doi = {10.1109/RECONFIG.2010.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AgwaAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AkoushidehS10, author = {Ali Reza Akoushideh and Asadollah Shahbahrami}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Accelerating Texture Features Extraction Algorithms Using {FPGA} Architecture}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {232--237}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.64}, doi = {10.1109/RECONFIG.2010.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AkoushidehS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AlmeidaVBHSBTR10, author = {Gabriel Marchesan Almeida and Sameer Varyani and R{\'{e}}mi Busseuil and Nicolas Hebert and Gilles Sassatelli and Pascal Benoit and Lionel Torres and Michel Robert}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Providing Better Multi-processor Systems-on-Chip Resources Utilization by Means of Using a Control-Loop Feedback Mechanism}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {382--387}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.17}, doi = {10.1109/RECONFIG.2010.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AlmeidaVBHSBTR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AngermeierWST10, author = {Josef Angermeier and Stefan Wildermann and Eugen Sibirko and J{\"{u}}rgen Teich}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Placing Streaming Applications with Similarities on Dynamically Partially Reconfigurable Architectures}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {91--96}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.52}, doi = {10.1109/RECONFIG.2010.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AngermeierWST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BrancoSV10, author = {David Pedrosa Branco and Iouliia Skliarova and Jos{\'{e}} Vieira}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Reconfigurable Digital Audio Mixer for Electroacoustic Music}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {132--137}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.28}, doi = {10.1109/RECONFIG.2010.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BrancoSV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Cabal-YepezSGFPV10, author = {Eduardo Cabal{-}Yepez and Ricardo Saucedo{-}Gallaga and Armando G. Garcia{-}Ramirez and Arturo A. Fernandez{-}Jaramillo and Marcos Pena{-}Anaya and Martin Valtierra{-}Rodriguez}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {FPGA-Based Online Detection of Multiple-Combined Faults through Information Entropy and Neural Networks}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {244--249}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.31}, doi = {10.1109/RECONFIG.2010.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Cabal-YepezSGFPV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CargniniGTS10, author = {Luis Vit{\'{o}}rio Cargnini and Yoann Guillemenet and Lionel Torres and Gilles Sassatelli}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Improving the Reliability of a {FPGA} Using Fault-Tolerance Mechanism Based on Magnetic Memory {(MRAM)}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {150--155}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.10}, doi = {10.1109/RECONFIG.2010.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CargniniGTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CherifFDBGC10, author = {Zouha Cherif and Florent Flament and Jean{-}Luc Danger and Shivam Bhasin and Sylvain Guilley and Herv{\'{e}} Chabanne}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Evaluation of White-Box and Grey-Box Noekeon Implementations in {FPGA}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {310--315}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.36}, doi = {10.1109/RECONFIG.2010.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CherifFDBGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CosteaBFF10, author = {Crina Costea and Florent Bernard and Viktor Fischer and Robert Fouquet}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Analysis and Enhancement of Ring Oscillators Based Physical Unclonable Functions in FPGAs}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {262--267}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.63}, doi = {10.1109/RECONFIG.2010.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CosteaBFF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CravenLS10, author = {Stephen D. Craven and Daniel Long and Jason Smith}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Open Source Precision Timed Soft Processor for Cyber Physical System Applications}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {448--451}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.72}, doi = {10.1109/RECONFIG.2010.72}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CravenLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CristoJP10, author = {Rui A. L. de Cristo and Ricardo P. Jasinski and Volnei A. Pedroni}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Analysis and Preliminary Measurements of Radiated Emissions in an Asynchronous Circuit versus its Synchronous Counterpart}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {127--131}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.34}, doi = {10.1109/RECONFIG.2010.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CristoJP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DahmouneJ10, author = {Ouiza Dahmoune and Robert de B. Johnston}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Applying Model-Checking to Post-Silicon-Verification: Bridging the Specification-Realisation Gap}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.66}, doi = {10.1109/RECONFIG.2010.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DahmouneJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DavidsonBS10, author = {Tom Davidson and Karel Bruneel and Dirk Stroobandt}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Run-Time Reconfiguration for Automatic Hardware/Software Partitioning}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {424--429}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.57}, doi = {10.1109/RECONFIG.2010.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DavidsonBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Deo10, author = {Sasmita Deo}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Power Consumption Calculation of {AP-DCD} Algorithm Using {FPGA} Platform}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {388--393}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.9}, doi = {10.1109/RECONFIG.2010.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Deo10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DevauxPCD10, author = {Ludovic Devaux and S{\'{e}}bastien Pillement and Daniel Chillet and Didier Demigny}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {R2NoC: Dynamically Reconfigurable Routers for Flexible Networks on Chip}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {376--381}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.35}, doi = {10.1109/RECONFIG.2010.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DevauxPCD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/El-HadedyMGK10, author = {Mohamed El{-}Hadedy and Martin Margala and Danilo Gligoroski and Svein J. Knapskog}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Implementing the Blue Midnight Wish Hash Function on Xilinx Virtex-5 {FPGA} Platform}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {394--399}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.44}, doi = {10.1109/RECONFIG.2010.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/El-HadedyMGK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/El-HassanI10, author = {Fadi El{-}Hassan and Dan Ionescu}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Hardware Architecture of an XML/XPath Broker for Content-Based Publish/Subscribe Systems}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {138--143}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.23}, doi = {10.1109/RECONFIG.2010.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/El-HassanI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FakhariF10, author = {Azad Fakhari and Mahmood Fathy}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Two Level Architecture for High Throughput DCT-Processor and Implementing on {FPGA}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.67}, doi = {10.1109/RECONFIG.2010.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FakhariF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FlattBP10, author = {Holger Flatt and Holger Blume and Peter Pirsch}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Mapping of a Real-Time Object Detection Application onto a Configurable RISC/Coprocessor Architecture at Full {HD} Resolution}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {452--457}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.16}, doi = {10.1109/RECONFIG.2010.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FlattBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FrancqT10, author = {Julien Francq and C{\'{e}}line Thuillet}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Unfolding Method for Shabal on Virtex-5 FPGAs: Concrete Results}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {304--309}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.46}, doi = {10.1109/RECONFIG.2010.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FrancqT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GasparFBBC10, author = {Lubos Gaspar and Viktor Fischer and Florent Bernard and Lilian Bossuet and Pascal Cotret}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {HCrypt: {A} Novel Concept of Crypto-processor with Secured Key Management}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {280--285}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.38}, doi = {10.1109/RECONFIG.2010.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GasparFBBC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GilBCG10, author = {A. D. Santana Gil and Jos{\'{e}} Ignacio Benavides Ben{\'{\i}}tez and Manuel Hernandez Calvi{\~{n}}o and Ezequiel Herruzo Gomez}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Reconfigurable Cache Implemented on an {FPGA}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {250--255}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.26}, doi = {10.1109/RECONFIG.2010.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GilBCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GradP10, author = {Mariusz Grad and Christian Plessl}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Pruning the Design Space for Just-in-Time Processor Customization}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.19}, doi = {10.1109/RECONFIG.2010.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GradP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GuilletLRGD10, author = {S{\'{e}}bastien Guillet and Florent de Lamotte and {\'{E}}ric Rutten and Guy Gogniat and Jean{-}Philippe Diguet}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Modeling and Formal Control of Partial Dynamic Reconfiguration}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.56}, doi = {10.1109/RECONFIG.2010.56}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/GuilletLRGD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HebertABST10, author = {Nicolas Hebert and Gabriel Marchesan Almeida and Pascal Benoit and Gilles Sassatelli and Lionel Torres}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Cost-Effective Solution to Increase System Reliability and Maintain Global Performance under Unreliable Silicon in MPSoC}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {346--351}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.43}, doi = {10.1109/RECONFIG.2010.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HebertABST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HernandezNM10, author = {Marco Antonio Soto Hernandez and Oscar Alvarado Nava and Francisco Javier Zaragoza Mart{\'{\i}}nez}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Huffman Coding-Based Compression Unit for Embedded Systems}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {238--243}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.65}, doi = {10.1109/RECONFIG.2010.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HernandezNM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HoriYKS10, author = {Yohei Hori and Takahiro Yoshida and Toshihiro Katashita and Akashi Satoh}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Quantitative and Statistical Performance Evaluation of Arbiter Physical Unclonable Functions on FPGAs}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {298--303}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.24}, doi = {10.1109/RECONFIG.2010.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HoriYKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HuangAA10, author = {Miaoqing Huang and David Andrews and Jason Agron}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Operating System Structures for Multiprocessor Systems on Programmable Chip}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {358--363}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.15}, doi = {10.1109/RECONFIG.2010.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HuangAA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HurtadoAL10, author = {Ronald Hurtado{-}Velasco and Sadek Cris{\'{o}}stomo Absi Alfaro and Carlos H. Llanos}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {FPGA-Based Platform Development for Change Detection in {GTAW} Welding Process}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.78}, doi = {10.1109/RECONFIG.2010.78}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/HurtadoAL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JasinskiPGG10, author = {Ricardo P. Jasinski and Volnei A. Pedroni and Antonio Gortan and Walter Godoy Jr.}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Improved {GF(2)} Matrix Inverter with Linear Time Complexity}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {322--327}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.86}, doi = {10.1109/RECONFIG.2010.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JasinskiPGG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JessaJ10, author = {Mieczyslaw Jessa and Michal Jaworski}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {High-Speed FPGA-Based Pseudorandom Generators with Extremely Long Periods}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {286--291}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.32}, doi = {10.1109/RECONFIG.2010.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JessaJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JungkR10, author = {Bernhard Jungk and Steffen Reith}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {On FPGA-Based Implementations of the {SHA-3} Candidate Gr{\o}stl}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {316--321}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.21}, doi = {10.1109/RECONFIG.2010.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JungkR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KachrisNKPK10, author = {Christoforos Kachris and George Nikiforos and Stamatis G. Kavadias and Vassilis Papaefstathiou and Manolis Katevenis}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Network Processing in Multi-core FPGAs with Integrated Cache-Network Interface}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {328--333}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.51}, doi = {10.1109/RECONFIG.2010.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KachrisNKPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KhanPF10, author = {M. Ashfaquzzaman Khan and Richard Neil Pittman and Alessandro Forin}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {gNOSIS: {A} Board-Level Debugging and Verification Tool}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.71}, doi = {10.1109/RECONFIG.2010.71}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KhanPF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KriestenPH10, author = {Daniel Kriesten and Volker Pankalla and Ulrich Heinkel}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Application Example of a Run-Time Reconfigurable Embedded System}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.53}, doi = {10.1109/RECONFIG.2010.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KriestenPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LebedevCDMFBLW10, author = {Ilia A. Lebedev and Shaoyi Cheng and Austin Doupnik and James C. Martin and Christopher W. Fletcher and Daniel Burke and Mingjie Lin and John Wawrzynek}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{MARC:} {A} Many-Core Approach to Reconfigurable Computing}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.49}, doi = {10.1109/RECONFIG.2010.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LebedevCDMFBLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LinCW10, author = {Mingjie Lin and Shaoyi Cheng and John Wawrzynek}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Cascading Deep Pipelines to Achieve High Throughput in Numerical Reduction Operations}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.70}, doi = {10.1109/RECONFIG.2010.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LinCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LiuM10, author = {Ling Liu and Oleksii Morozov}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Process-Oriented Streaming System Design Paradigm for FPGAs}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {370--375}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.39}, doi = {10.1109/RECONFIG.2010.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LiuM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LusalaL10, author = {Angelo Kuti Lusala and Jean{-}Didier Legat}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Hybrid Router Combining SDM-Based Circuit Swictching with Packet Switching for On-chip Networks}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {340--345}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.22}, doi = {10.1109/RECONFIG.2010.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LusalaL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MartinGFR10, author = {Juan Carlos D{\'{\i}}az Mart{\'{\i}}n and Carolina G{\'{o}}mes{-}Tost{\'{o}}n Gutierrez and {\'{A}}lvaro Cort{\'{e}}s F{\'{a}}cila and Juan A. Rico{-}Gallego}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Issues on Building an {MPI} Cluster on Microblaze}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {220--225}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.74}, doi = {10.1109/RECONFIG.2010.74}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MartinGFR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/McGettrickG10, author = {S{\'{e}}amas McGettrick and Dermot Geraghty}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Hardware Computation of the PageRank Eigenvector}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {256--261}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.83}, doi = {10.1109/RECONFIG.2010.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/McGettrickG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MegalingamMTRP10, author = {Rajesh Kannan Megalingam and Ashwin Mohan and Shekhil Hassan Thavalengal and Tanmay Muralidhar Rao and Vivek Periye}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Low Power Dual Core Microcontroller}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {364--369}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.42}, doi = {10.1109/RECONFIG.2010.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MegalingamMTRP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MihhailovSSS10, author = {Dmitri Mihhailov and Valery Sklyarov and Iouliia Skliarova and Alexander Sudnitson}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Parallel FPGA-Based Implementation of Recursive Sorting Algorithms}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.30}, doi = {10.1109/RECONFIG.2010.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MihhailovSSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MonsonWH10, author = {Joshua S. Monson and Michael J. Wirthlin and Brad L. Hutchings}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Fault Injection Results of Linux Operating on an {FPGA} Embedded Platform}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.79}, doi = {10.1109/RECONFIG.2010.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MonsonWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Moreno-ArmendarizCL10, author = {Marco A. Moreno{-}Armend{\'{a}}riz and Nareli Cruz Cort{\'{e}}s and Alejandro Le{\'{o}}n{-}Javier}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Novel Hardware Implementation of the Compact Genetic Algorithm}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {156--161}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.54}, doi = {10.1109/RECONFIG.2010.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Moreno-ArmendarizCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Moreno-ArmendarizRP10, author = {Marco A. Moreno{-}Armend{\'{a}}riz and Elsa Rubio and C{\'{e}}sar A. P{\'{e}}rez{-}Olvera}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Design and Implementation of a Visual Fuzzy Control in {FPGA} for the Ball and Plate System}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {85--90}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.69}, doi = {10.1109/RECONFIG.2010.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Moreno-ArmendarizRP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MuhlbachK10, author = {Sascha M{\"{u}}hlbach and Andreas Koch}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Dynamically Reconfigured Network Platform for High-Speed Malware Collection}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.41}, doi = {10.1109/RECONFIG.2010.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MuhlbachK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MunozLCA10, author = {Daniel M. Mu{\~{n}}oz and Carlos H. Llanos and Leandro dos Santos Coelho and Mauricio Ayala{-}Rinc{\'{o}}n}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Hardware Particle Swarm Optimization Based on the Attractive-Repulsive Scheme for Embedded Applications}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.73}, doi = {10.1109/RECONFIG.2010.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MunozLCA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MushtaqSB10, author = {Hamid Mushtaq and Mojtaba Sabeghi and Koen Bertels}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Runtime Profiler: Toward Virtualization of Polymorphic Computing Platforms}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {144--149}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.45}, doi = {10.1109/RECONFIG.2010.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MushtaqSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NadeemANW10, author = {Faisal Nadeem and Mahmood Ahmadi and Muhammad Faisal Nadeem and Stephan Wong}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Modeling and Simulation of Reconfigurable Processors in Grid Networks}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {226--231}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.50}, doi = {10.1109/RECONFIG.2010.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NadeemANW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Pindter-MedinaPCGC10, author = {J. Pindter{-}Medina and Samuel Pichardo and Laura Curiel and Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a and Jes{\'{u}}s Enrique Chong{-}Quero}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Multi-channel Driving Systems for Therapeutic Applications Based-on Focused Ultrasound}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {168--172}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.81}, doi = {10.1109/RECONFIG.2010.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Pindter-MedinaPCGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/QuilesOBMHV10, author = {Francisco J. Quiles and Manuel Ortiz and Mar{\'{\i}}a Brox and Carlos Diego Moreno{-}Moreno and Javier Hormigo and Julio Villalba}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{UCORE:} Reconfigurable Platform for Educational Purposes}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.60}, doi = {10.1109/RECONFIG.2010.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/QuilesOBMHV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ramirez-ConejoDRPD10, author = {G. Ramirez{-}Conejo and Javier D{\'{\i}}az{-}Carmona and Agust{\'{\i}}n Ram{\'{\i}}rez{-}Agundis and Alfredo Padilla{-}Medina and Jos{\'{e}} G. Delgado{-}Frias}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of Adjustable Wideband Fractional Delay {FIR} Filters}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {406--411}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.68}, doi = {10.1109/RECONFIG.2010.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Ramirez-ConejoDRPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Romero-AguirrePLA10, author = {Eduardo Romero{-}Aguirre and Ram{\'{o}}n Parra{-}Michel and Omar Humberto Longoria{-}Gandara and M. Aguirre{-}Hernandez}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Hardware-Efficient Frequency Domain Correlator Architecture for Acquisition Stage in {GPS}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {412--417}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.47}, doi = {10.1109/RECONFIG.2010.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Romero-AguirrePLA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaldanaPLC10, author = {Manuel Salda{\~{n}}a and Arun Patel and Hao Jun Liu and Paul Chow}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Using Partial Reconfiguration in an Embedded Message-Passing System}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {418--423}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.37}, doi = {10.1109/RECONFIG.2010.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaldanaPLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SanderGBMB10, author = {Oliver Sander and Benjamin Glas and Lars Braun and Klaus D. M{\"{u}}ller{-}Glaser and J{\"{u}}rgen Becker}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Intrinsic Identification of Xilinx Virtex-5 {FPGA} Devices Using Uninitialized Parts of Configuration Memory Space}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.77}, doi = {10.1109/RECONFIG.2010.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SanderGBMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SauvageGFDM10, author = {Laurent Sauvage and Sylvain Guilley and Florent Flament and Jean{-}Luc Danger and Yves Mathieu}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Cross-Correlation Cartography}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {268--273}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.75}, doi = {10.1109/RECONFIG.2010.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SauvageGFDM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchaeferlingK10, author = {Michael Schaeferling and Gundolf Kiefer}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Flex-SURF: {A} Flexible Architecture for FPGA-Based Robust Feature Extraction for Optical Tracking Systems}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {458--463}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.11}, doi = {10.1109/RECONFIG.2010.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchaeferlingK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchmidtF10, author = {Michael Schmidt and Dietmar Fey}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {An Optimized {FPGA} Implementation for a Parallel Path Planning Algorithm Based on Marching Pixels}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {442--447}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.18}, doi = {10.1109/RECONFIG.2010.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchmidtF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchmidtKSAF10, author = {Andrew G. Schmidt and William V. Kritikos and Ron Sass and Erik K. Anderson and Matthew French}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Merging Programming Models and On-chip Networks to Meet the Programmable and Performance Needs of Multi-core Systems on a Programmable Chip}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {334--339}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.55}, doi = {10.1109/RECONFIG.2010.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchmidtKSAF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchorrL10, author = {Aric Schorr and Marcin Lukowiak}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Skein Tree Hashing on {FPGA}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {292--297}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.84}, doi = {10.1109/RECONFIG.2010.84}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchorrL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchryverSWKMK10, author = {Christian de Schryver and Daniel Schmidt and Norbert Wehn and Elke Korn and Henning Marxen and Ralf Korn}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A New Hardware Efficient Inversion Based Random Number Generator for Non-uniform Distributions}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {190--195}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.20}, doi = {10.1109/RECONFIG.2010.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchryverSWKMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ShahVKH10, author = {Shaunak Shah and Rajesh Velegalati and Jens{-}Peter Kaps and David Hwang}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Investigation of {DPA} Resistance of Block RAMs in Cryptographic Implementations on FPGAs}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {274--279}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.80}, doi = {10.1109/RECONFIG.2010.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ShahVKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SigdelGBTP10, author = {Kamana Sigdel and Carlo Galuzzi and Koen Bertels and Mark Thompson and Andy D. Pimentel}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Runtime Task Mapping Based on Hardware Configuration Reuse}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.82}, doi = {10.1109/RECONFIG.2010.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SigdelGBTP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaDSO10, author = {Bruno A. Silva and Maur{\'{\i}}cio Acconcia Dias and Jorge L. Silva and Fernando Santos Os{\'{o}}rio}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Genetic Algorithms and Artificial Neural Networks to Combinational Circuit Generation on Reconfigurable Hardware}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.25}, doi = {10.1109/RECONFIG.2010.25}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaDSO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SingarajuC10, author = {Janardhan Singaraju and John A. Chandy}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Parallel Data Sort Using Networked FPGAs}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {214--219}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.85}, doi = {10.1109/RECONFIG.2010.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SingarajuC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SklyarovSMS10, author = {Valery Sklyarov and Iouliia Skliarova and Dmitri Mihhailov and Alexander Sudnitson}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Synthesis and Implementation of Hierarchical Finite State Machines with Implicit Modules}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {436--441}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.29}, doi = {10.1109/RECONFIG.2010.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SklyarovSMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SobaihiHS10, author = {Khaled Sobaihi and Akram Hammoudeh and David Scammell}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of {OFDM} Transceiver for a 60GHz Wireless Mobile Radio System}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {185--189}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.40}, doi = {10.1109/RECONFIG.2010.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SobaihiHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StepienC10, author = {Piotr Stepien and John Cobb}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Configuration Sharing Optimized Placment and Routing}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {430--435}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.58}, doi = {10.1109/RECONFIG.2010.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/StepienC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StrunkHRS10, author = {Jochen Strunk and Johannes Hiltscher and Wolfgang Rehm and Heiko Schick}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Communication Architectures for Run-Time Reconfigurable Modules in a 2-D Mesh on FPGAs}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.33}, doi = {10.1109/RECONFIG.2010.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/StrunkHRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TheodoropoulosKG10, author = {Dimitris Theodoropoulos and Georgi Kuzmanov and Georgi Gaydadjiev}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {A Minimalistic Architecture for Reconfigurable WFS-Based Immersive-Audio}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.76}, doi = {10.1109/RECONFIG.2010.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TheodoropoulosKG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TianB10, author = {Xiang Tian and Khaled Benkrid}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Fixed-Point Arithmetic Error Estimation in Monte-Carlo Simulations}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {202--207}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.14}, doi = {10.1109/RECONFIG.2010.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TianB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Vera-SalasMOR10, author = {Luis A. Vera{-}Salas and Sandra V. Moreno{-}Tapia and Roque Alfredo Osornio{-}Rios and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Reconfigurable Node Processing Unit for a Low-Power Wireless Sensor Network}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.48}, doi = {10.1109/RECONFIG.2010.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Vera-SalasMOR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangCNJ10, author = {Yue Wang and Kevin Cunningham and Prawat Nagvajara and Jeremy Johnson}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Singular Value Decomposition Hardware for {MIMO:} State of the Art and Custom Design}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {400--405}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.62}, doi = {10.1109/RECONFIG.2010.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WangCNJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangDZZS10, author = {Wendi Wang and Bo Duan and Chunming Zhang and Peiheng Zhang and Ninghui Sun}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Accelerating 2D {FFT} with Non-Power-of-Two Problem Size on {FPGA}}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {208--213}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.13}, doi = {10.1109/RECONFIG.2010.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WangDZZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZarezadehB10, author = {Ali Akbar Zarezadeh and Christophe Bobda}, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {Performance Analysis of Hardware/Software Middleware in Network of Smart Camera Systems}, booktitle = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, pages = {196--201}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ReConFig.2010.59}, doi = {10.1109/RECONFIG.2010.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZarezadehB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2010, editor = {Viktor K. Prasanna and J{\"{u}}rgen Becker and Ren{\'{e}} Cumplido}, title = {ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5692850/proceeding}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AllardGD09, author = {Mathieu Allard and Patrick Grogan and Jean{-}Pierre David}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Scalable Architecture for Multivariate Polynomial Evaluation on {FPGA}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {107--112}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.22}, doi = {10.1109/RECONFIG.2009.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AllardGD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AmouriMMM09, author = {Emna Amouri and Hayder Mrabet and Zied Marrakchi and Habib Mehrez}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Improving the Security of Dual Rail Logic in {FPGA} Using Controlled Placement and Routing}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {201--206}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.44}, doi = {10.1109/RECONFIG.2009.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AmouriMMM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Arce-NazarioOB09, author = {Rafael A. Arce{-}Nazario and Edusmildo Orozco and Dorothy Bollman}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Systolic Array Based Architecture for Implementing Multivariate Polynomial Interpolation Tasks}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {77--82}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.70}, doi = {10.1109/RECONFIG.2009.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Arce-NazarioOB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ArnoldC09, author = {Mark G. Arnold and Jung H. Cho}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Parallax-Docking and Reconfiguration of Field Programmable Robot Arrays Using an Intermittently-Powered One-Hot Controller}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {398--403}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.36}, doi = {10.1109/RECONFIG.2009.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ArnoldC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AsadZSSF09, author = {Arghavan Asad and Amir Ehsani Zonouz and Mehrdad Seyrafi and Mohsen Soryani and Mahmood Fathy}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Modeling and Analyzing of Blocking Time Effects on Power Consumption in Network-on-Chips}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {290--295}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.48}, doi = {10.1109/RECONFIG.2009.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AsadZSSF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AstarloaLBZJ09, author = {Armando Astarloa and Jes{\'{u}}s L{\'{a}}zaro and Unai Bidarte and Aitzol Zuloaga and Jaime Jimenez}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{PCIREX:} {A} Fast Prototyping Platform for {TMR} Dynamically Reconfigurable Systems}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {54--58}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.33}, doi = {10.1109/RECONFIG.2009.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AstarloaLBZJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BaeslerT09, author = {Malte Baesler and Thomas Teufel}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of a Decimal Floating-Point Accurate Scalar Product Unit with a Parallel Fixed-Point Multiplier}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {6--11}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.17}, doi = {10.1109/RECONFIG.2009.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BaeslerT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BaldwinMG09, author = {Brian Baldwin and William P. Marnane and Robert Granger}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Reconfigurable Hardware Implementation of Arithmetic Modulo Minimal Redundancy Cyclotomic Primes for {ECC}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {255--260}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.67}, doi = {10.1109/RECONFIG.2009.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BaldwinMG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BhasinDFGGMNSS09, author = {Shivam Bhasin and Jean{-}Luc Danger and Florent Flament and Tarik Graba and Sylvain Guilley and Yves Mathieu and Maxime Nassar and Laurent Sauvage and Nidhal Selmane}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Combined {SCA} and {DFA} Countermeasures Integrable in a {FPGA} Design Flow}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.50}, doi = {10.1109/RECONFIG.2009.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BhasinDFGGMNSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BochardBF09, author = {Nathalie Bochard and Florent Bernard and Viktor Fischer}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Observing the Randomness in RO-Based {TRNG}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.57}, doi = {10.1109/RECONFIG.2009.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BochardBF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Borrayo-SandovalPGPU09, author = {Hector Borrayo{-}Sandoval and Ram{\'{o}}n Parra{-}Michel and Luis F. Gonzalez{-}Perez and Fernando Landeros Printzen and Claudia Feregrino Uribe}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Design and Implementation of a Configurable Interleaver/Deinterleaver for Turbo Codes in 3GPP Standard}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {320--325}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.16}, doi = {10.1109/RECONFIG.2009.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Borrayo-SandovalPGPU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BringerCD09, author = {Julien Bringer and Herv{\'{e}} Chabanne and Jean{-}Luc Danger}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Protecting the {NOEKEON} Cipher against {SCARE} Attacks in FPGAs by Using Dynamic Implementations}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {183--188}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.19}, doi = {10.1109/RECONFIG.2009.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BringerCD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Cabal-YepezORRL09, author = {Eduardo Cabal{-}Yepez and Roque Alfredo Osornio{-}Rios and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso and J. R. Razo{-}Hernandez and R. Lopez{-}Garcia}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {FPGA-Based Online Induction Motor Multiple-Fault Detection with Fused {FFT} and Wavelet Analysis}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.9}, doi = {10.1109/RECONFIG.2009.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Cabal-YepezORRL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CastroLFC09, author = {C. Yesid E. Castro and Carlos H. Llanos and Walter de Britto Vidal Filho and Leandro dos Santos Coelho}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Fuzzy Control for Cyclist Robot Stability Using FPGAs}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {410--415}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.53}, doi = {10.1109/RECONFIG.2009.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CastroLFC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ColavitoS09, author = {Leonard Colavito and Dennis Silage}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Efficient {PGA} {LFSR} Implementation Whitens Pseudorandom Numbers}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {308--313}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.11}, doi = {10.1109/RECONFIG.2009.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ColavitoS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ColavitoS09a, author = {Leonard Colavito and Dennis Silage}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Composite Look-Up Table Gaussian Pseudo-Random Number Generator}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {314--319}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.12}, doi = {10.1109/RECONFIG.2009.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ColavitoS09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CondeDM09, author = {Guillermo Conde and Gregory W. Donohoe and Siva Maheswaran}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Low Power, Reconfigurable Computing Platform for Spacecraft}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.71}, doi = {10.1109/RECONFIG.2009.71}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CondeDM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CooleWS09, author = {James Coole and John Robert Wernsing and Greg Stitt}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Traversal Cache Framework for {FPGA} Acceleration of Pointer Data Structures: {A} Case Study on Barnes-Hut N-body Simulation}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.68}, doi = {10.1109/RECONFIG.2009.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CooleWS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DafaliD09, author = {Rachid Dafali and Jean{-}Philippe Diguet}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Self-Adaptive Network Interface {(SANI):} Local Component of a NoC Configuration Manager}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {296--301}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.62}, doi = {10.1109/RECONFIG.2009.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DafaliD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DattaS09, author = {Siddhartha Datta and Ron Sass}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Scalability Studies of the BLASTn Scan and Ungapped Extension Functions}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.60}, doi = {10.1109/RECONFIG.2009.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DattaS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DelormeNLM09, author = {Julien Delorme and Amor Nafkha and Pierre Leray and Christophe Moy}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {New {OPBHWICAP} Interface for Realtime Partial Reconfiguration of {FPGA}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {386--391}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.69}, doi = {10.1109/RECONFIG.2009.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DelormeNLM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DobrichH09, author = {Stefan D{\"{o}}brich and Christian Hochberger}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Effects of Simplistic Online Synthesis for {AMIDAR} Processors}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {433--438}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.21}, doi = {10.1109/RECONFIG.2009.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DobrichH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DortaJMBA09, author = {Taho Dorta and Jaime Jimenez and Jos{\'{e}} Luis Mart{\'{\i}}n and Unai Bidarte and Armando Astarloa}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Overview of FPGA-Based Multiprocessor Systems}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {273--278}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.15}, doi = {10.1109/RECONFIG.2009.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DortaJMBA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DrzevitzkyKP09, author = {Stephanie Drzevitzky and Uwe Kastens and Marco Platzner}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Proof-Carrying Hardware: Towards Runtime Verification of Reconfigurable Modules}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {189--194}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.31}, doi = {10.1109/RECONFIG.2009.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DrzevitzkyKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DunhamBSPGSP09, author = {Mark E. Dunham and Zachary K. Baker and Matthew Stettler and Michael Pigue and Paul S. Graham and Eric N. Schmierer and John Power}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {High Efficiency Space-Based Software Radio Architectures: {A} Minimum Size, Weight, and Power TeraOps Processor}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {326--331}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.42}, doi = {10.1109/RECONFIG.2009.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DunhamBSPGSP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DurahimSY09, author = {Ahmet Onur Durahim and Erkay Savas and Kazim Yumbul}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Implementing a Protected Zone in a Reconfigurable Processor for Isolated Execution of Cryptographic Algorithms}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {207--212}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.46}, doi = {10.1109/RECONFIG.2009.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DurahimSY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EisenhardtSBKR09, author = {Sven Eisenhardt and Thomas Schweizer and Andreas Bernauer and Tommy Kuhn and Wolfgang Rosenstiel}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Prevention of Hot Spot Development on Coarse-Grained Dynamically Reconfigurable Architectures}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {12--17}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.18}, doi = {10.1109/RECONFIG.2009.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/EisenhardtSBKR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GantelLBVC09, author = {Laurent Gantel and Salah Layouni and Mohamed El Amine Benkhelifa and Fran{\c{c}}ois Verdier and St{\'{e}}phanie Chauvet}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Multiprocessor Task Migration Implementation in a Reconfigurable Platform}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {362--367}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.37}, doi = {10.1109/RECONFIG.2009.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GantelLBVC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GeninattiBCMG09, author = {Sergio Ruben Geninatti and Jos{\'{e}} Ignacio Benavides Ben{\'{\i}}tez and Manuel Hernandez Calvi{\~{n}}o and Nicol{\'{a}}s Guil Mata and Juan G{\'{o}}mez{-}Luna}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of the Generalized Hough Transform}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {172--177}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.78}, doi = {10.1109/RECONFIG.2009.78}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GeninattiBCMG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GhaznaviGE09, author = {Solmaz Ghaznavi and Catherine H. Gebotys and Reouven Elbaz}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Efficient Technique for the {FPGA} Implementation of the {AES} MixColumns Transformation}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {219--224}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.52}, doi = {10.1109/RECONFIG.2009.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GhaznaviGE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GiraldoJ09, author = {Juan Fernando Eusse Giraldo and Ricardo Pezzuol Jacobi}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Signal Processing Domain Application Mapping on the Brick Reconfigurable Array}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {356--361}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.85}, doi = {10.1109/RECONFIG.2009.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GiraldoJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GrossschadlSY09, author = {Johann Gro{\ss}sch{\"{a}}dl and Erkay Savas and Kazim Yumbul}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Realizing Arbitrary-Precision Modular Multiplication with a Fixed-Precision Multiplier Datapath}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.83}, doi = {10.1109/RECONFIG.2009.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GrossschadlSY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GuillemenetATMECRS09, author = {Yoann Guillemenet and Syed Zahid Ahmed and Lionel Torres and Alexandre Martheley and Julien Eydoux and Jean{-}Baptiste Cuelle and Laurent Rouge and Gilles Sassatelli}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{MRAM} Based eFPGAs: Programming and Silicon Flows, Exploration Environments, {MRAM} Current State in Industry and Its Unique Potentials for FPGAs}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {18--23}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.25}, doi = {10.1109/RECONFIG.2009.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GuillemenetATMECRS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GuindaniFOCPM09, author = {Guilherme Montez Guindani and Frederico Ferlini and Jeferson Oliveira and Ney Laert Vilar Calazans and Daniel V. Pigatto and Fernando Gehm Moraes}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A 10 Gbps {OTN} Framer Implementation Targeting {FPGA} Devices}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {30--35}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.27}, doi = {10.1109/RECONFIG.2009.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GuindaniFOCPM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GupteJ09, author = {Adwait Gupte and Phillip H. Jones}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Hotspot Mitigation Using Dynamic Partial Reconfiguration for Improved Performance}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.80}, doi = {10.1109/RECONFIG.2009.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GupteJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HamiltonM09, author = {Mark Hamilton and William P. Marnane}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of an Elliptic Curve Processor Using the {GLV} Method}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.66}, doi = {10.1109/RECONFIG.2009.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HamiltonM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HuertaCPCM09, author = {Pablo Huerta and Javier Castillo and C{\'{e}}sar Pedraza and Javier Cano and Jos{\'{e}} Ignacio Mart{\'{\i}}nez}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Symmetric Multiprocessor Systems on {FPGA}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {279--283}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.20}, doi = {10.1109/RECONFIG.2009.20}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HuertaCPCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Jara-BerrocalG09, author = {Abelardo Jara{-}Berrocal and Ann Gordon{-}Ross}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Runtime Temporal Partitioning Assembly to Reduce {FPGA} Reconfiguration Time}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {374--379}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.61}, doi = {10.1109/RECONFIG.2009.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Jara-BerrocalG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JeitlerL09, author = {Marcus Jeitler and Jakob Lechner}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Speeding up Fault Injection for Asynchronous Logic by FPGA-Based Emulation}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {65--70}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.35}, doi = {10.1109/RECONFIG.2009.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JeitlerL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JiangMTCX09, author = {Jiang Jiang and Vincent Mirian and Kam Pui Tang and Paul Chow and Zuocheng Xing}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Matrix Multiplication Based on Scalable Macro-Pipelined {FPGA} Accelerator Architecture}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.30}, doi = {10.1109/RECONFIG.2009.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JiangMTCX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JuliatoG09, author = {Marcio Juliato and Catherine H. Gebotys}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Tailoring a Reconfigurable Platform to {SHA-256} and {HMAC} through Custom Instructions and Peripherals}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {195--200}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.40}, doi = {10.1109/RECONFIG.2009.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JuliatoG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KalomirosL09, author = {John A. Kalomiros and John N. Lygouras}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Reconfigurable Architecture for Stereo-Assisted Detection of Point-Features for Robot Mapping}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {404--409}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.41}, doi = {10.1109/RECONFIG.2009.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KalomirosL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KirischianDC09, author = {Lev Kirischian and Victor Dumitriu and Pil Woo Chun}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Virtualization of Computing Resources in {RCS} for Multi-task Stream Applications}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {368--373}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.51}, doi = {10.1109/RECONFIG.2009.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KirischianDC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KoizumiIHIMY09, author = {Kenichi Koizumi and Mary Inaba and Kei Hiraki and Yasuo Ishii and Takefumi Miyoshi and Kazuki Yoshizoe}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Triple Line-Based Playout for Go - An Accelerator for Monte Carlo Go}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {161--166}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.75}, doi = {10.1109/RECONFIG.2009.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KoizumiIHIMY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LinM09, author = {Mingjie Lin and Yaling Ma}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Base-Calling in {DNA} Pyrosequencing with Reconfigurable Bayesian Network}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.79}, doi = {10.1109/RECONFIG.2009.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LinM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LiuLKYJ09, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Shuo Yang and Axel Jantsch}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Reconfigurable Design Framework for {FPGA} Adaptive Computing}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {439--444}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.39}, doi = {10.1109/RECONFIG.2009.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LiuLKYJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LlamoccaPV09, author = {Daniel Llamocca and Marios S. Pattichis and G. Alonzo Vera}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Dynamically Reconfigurable Platform for Fixed-Point {FIR} Filters}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {332--337}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.43}, doi = {10.1109/RECONFIG.2009.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LlamoccaPV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Mayer-Lindenberg09, author = {Fritz Mayer{-}Lindenberg}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {High-Level {FPGA} Programming through Mapping Process Networks to {FPGA} Resources}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {302--307}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.73}, doi = {10.1109/RECONFIG.2009.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Mayer-Lindenberg09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MincholaS09, author = {Carlos Minchola and Gustavo Sutter}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A {FPGA} {IEEE-754-2008} Decimal64 Floating-Point Multiplier}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {59--64}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.34}, doi = {10.1109/RECONFIG.2009.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MincholaS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NavaD09, author = {Oscar Alvarado Nava and Arturo D{\'{\i}}az{-}P{\'{e}}rez}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Acceleration of Fractal Image Compression Using the Hardware-Software Co-design Methodology}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {167--171}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.76}, doi = {10.1109/RECONFIG.2009.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NavaD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NussleGFB09, author = {Mondrian N{\"{u}}ssle and Benjamin Geib and Holger Fr{\"{o}}ning and Ulrich Br{\"{u}}ning}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {An FPGA-Based Custom High Performance Interconnection Network}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {113--118}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.23}, doi = {10.1109/RECONFIG.2009.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NussleGFB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PauloA09, author = {Vitor de Paulo and Cristinel Ababei}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Framework for 2.5D NoC Exploration Using Homogeneous Networks over Heterogeneous Floorplans}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {267--272}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.14}, doi = {10.1109/RECONFIG.2009.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PauloA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PhamPD09, author = {Hung{-}Manh Pham and S{\'{e}}bastien Pillement and Didier Demigny}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Fault-Tolerant Layer for Dynamically Reconfigurable Multi-processor System-on-Chip}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {284--289}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.47}, doi = {10.1109/RECONFIG.2009.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PhamPD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RiceBTVS09, author = {Kenneth L. Rice and Mohammad Ashraf Bhuiyan and Tarek M. Taha and Christopher N. Vutsinas and Melissa C. Smith}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of Izhikevich Spiking Neural Networks for Character Recognition}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {451--456}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.77}, doi = {10.1109/RECONFIG.2009.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RiceBTVS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RodolfoCM09, author = {Taciano A. Rodolfo and Ney Laert Vilar Calazans and Fernando Gehm Moraes}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Floating Point Hardware for Embedded Processors in FPGAs: Design Space Exploration for Performance and Area}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {24--29}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.26}, doi = {10.1109/RECONFIG.2009.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RodolfoCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RohaniZ09, author = {Alireza Rohani and Hamid R. Zarandi}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A New {CLB} Architecture for Tolerating {SEU} in SRAM-Based FPGAs}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {83--88}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.72}, doi = {10.1109/RECONFIG.2009.72}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RohaniZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SanchezMLM09, author = {Diego F. S{\'{a}}nchez and Daniel M. Mu{\~{n}}oz and Carlos H. Llanos and Jose M. Motta}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation for Direct Kinematics of a Spherical Robot Manipulator}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {416--421}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.65}, doi = {10.1109/RECONFIG.2009.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SanchezMLM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SauvageNGFDM09, author = {Laurent Sauvage and Maxime Nassar and Sylvain Guilley and Florent Flament and Jean{-}Luc Danger and Yves Mathieu}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{DPL} on Stratix {II} {FPGA:} What to Expect?}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {243--248}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.58}, doi = {10.1109/RECONFIG.2009.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SauvageNGFDM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SchumacherSPP09, author = {Tobias Schumacher and Tim S{\"{u}}{\ss} and Christian Plessl and Marco Platzner}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Communication Performance Characterization for Reconfigurable Accelerator Design on the {XD1000}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.32}, doi = {10.1109/RECONFIG.2009.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SchumacherSPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ShahbahramiAWB09, author = {Asadollah Shahbahrami and Mahmood Ahmadi and Stephan Wong and Koen Bertels}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A New Approach to Implement Discrete Wavelet Transform Using Collaboration of Reconfigurable Elements}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {344--349}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.59}, doi = {10.1109/RECONFIG.2009.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ShahbahramiAWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Silva-FilhoLC09, author = {Abel G. Silva{-}Filho and Sidney M. L. Lima and F. C. L. Cox}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Low Power {RTL} Exploration Mechanism Based on the Cache Parameters}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.63}, doi = {10.1109/RECONFIG.2009.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Silva-FilhoLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SimaB09, author = {Vlad Mihai Sima and Koen Bertels}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Runtime Memory Allocation in a Heterogeneous Reconfigurable Platform}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {71--76}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.38}, doi = {10.1109/RECONFIG.2009.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SimaB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StaufferR09, author = {Andr{\'{e}} Stauffer and Jo{\"{e}}l Rossier}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Bio-inspired Self-Testing and Self-Organizing Bit Slice Processors}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {427--432}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.10}, doi = {10.1109/RECONFIG.2009.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/StaufferR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/StrunkVRS09, author = {Jochen Strunk and Toni Volkmer and Wolfgang Rehm and Heiko Schick}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Design and Performance of a Grid of Asynchronously Clocked Run-Time Reconfigurable Modules on a {FPGA}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {392--397}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.24}, doi = {10.1109/RECONFIG.2009.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/StrunkVRS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SurisRA09, author = {Jorge Sur{\'{\i}}s and Adolfo Recio and Peter Athanas}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Enhancing the Productivity of Radio Designers with RapidRadio}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {350--355}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.84}, doi = {10.1109/RECONFIG.2009.84}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SurisRA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SutterTBVD09, author = {Gustavo Sutter and Elias Todorovich and Gery Bioul and Mart{\'{\i}}n V{\'{a}}zquez and Jean{-}Pierre Deschamps}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementations of {BCD} Multipliers}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {36--41}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.28}, doi = {10.1109/RECONFIG.2009.28}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SutterTBVD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TanidaIHY09, author = {Naoki Tanida and Mary Inaba and Kei Hiraki and Takeshi Yoshino}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Hardware Accelerator for Full-Text Search {(HAFTS)} with Succinct Data Structure}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {155--160}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.74}, doi = {10.1109/RECONFIG.2009.74}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TanidaIHY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Torres-Huitzil09, author = {C{\'{e}}sar Torres{-}Huitzil}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {On the Implementation of Central Pattern Generators for Periodic Rhythmic Locomotion}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {422--426}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.81}, doi = {10.1109/RECONFIG.2009.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Torres-Huitzil09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TrouveGKRPRYM09, author = {Antoine Trouv{\'{e}} and Lovic Gauthier and Takayuki Kando and Benoit Ryder and Sebastien Pouzols and Pradeep Rao and Norifumi Yoshimatsu and Kazuaki J. Murakami}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Accelerating Cryptographic Applications Using Dynamically Reconfigurable Functional Units}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.56}, doi = {10.1109/RECONFIG.2009.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TrouveGKRPRYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VargasMMC09, author = {Javier Soto Vargas and Juan Manuel Moreno and Jordi Madrenas and Joan Cabestany}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Implementation of a Dynamic Fault-Tolerance Scaling Technique on a Self-Adaptive Hardware Architecture}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {445--450}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.45}, doi = {10.1109/RECONFIG.2009.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/VargasMMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VazquezSBD09, author = {Mart{\'{\i}}n V{\'{a}}zquez and Gustavo Sutter and Gery Bioul and Jean{-}Pierre Deschamps}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Decimal Adders/Subtractors in {FPGA:} Efficient 6-input {LUT} Implementations}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {42--47}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.29}, doi = {10.1109/RECONFIG.2009.29}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/VazquezSBD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WahlahG09, author = {Muhammad Aqeel Wahlah and Kees Goossens}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Composable and Persistent-State Application Swapping on FPGAs Using Hardwired Network on Chip}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {380--385}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.64}, doi = {10.1109/RECONFIG.2009.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WahlahG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangCWSW09, author = {Lei Wang and Lei Chen and Zhiping Wen and Huabo Sun and Shuo Wang}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Novel High-Density Single-Event Upset Hardened Configurable {SRAM} Applied to {FPGA}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.13}, doi = {10.1109/RECONFIG.2009.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WangCWSW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YallaK09, author = {Panasayya Yalla and Jens{-}Peter Kaps}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Lightweight Cryptography for FPGAs}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {225--230}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.54}, doi = {10.1109/RECONFIG.2009.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/YallaK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YamadaOIOYNFHASO09, author = {Hideki Yamada and Yasunori Osana and Tomoya Ishimori and Tomonori Ooya and Masato Yoshimi and Yuri Nishikawa and Akira Funahashi and Noriko Hiroi and Hideharu Amano and Yuichiro Shibata and Kiyoshi Oguri}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Modular Approach to Heterogeneous Biochemical Model Simulation on an {FPGA}}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.55}, doi = {10.1109/RECONFIG.2009.55}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/YamadaOIOYNFHASO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YilmazG09, author = {{\c{C}}aglar Yilmaz and Mustafa G{\"{o}}k}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {An Optimized System for Multiple Sequence Alignment}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {178--182}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.82}, doi = {10.1109/RECONFIG.2009.82}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/YilmazG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhangLSO09, author = {Chenxin Zhang and Thomas Lenart and Henrik Svensson and Viktor {\"{O}}wall}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {Design of Coarse-Grained Dynamically Reconfigurable Architecture for {DSP} Applications}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {338--343}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.49}, doi = {10.1109/RECONFIG.2009.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhangLSO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2009, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5379688/proceeding}, isbn = {978-0-7695-3917-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AhmedEFRST08, author = {Syed Zahid Ahmed and Julien Eydoux and Michael Fern{\'{a}}ndez and Laurent Rouge and Gilles Sassatelli and Lionel Torres}, title = {Power Consumption Reduction Explorations in Processors by Enhancing Performance Using Small {ESL} Reprogrammable eFPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {313--318}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.44}, doi = {10.1109/RECONFIG.2008.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AhmedEFRST08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Algredo-BadilloUCM08, author = {Ignacio Algredo{-}Badillo and Claudia Feregrino Uribe and Ren{\'{e}} Cumplido and Miguel Morales{-}Sandoval}, title = {{FPGA} Implementation and Performance Evaluation of {AES-CCM} Cores for Wireless Networks}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {421--426}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.54}, doi = {10.1109/RECONFIG.2008.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Algredo-BadilloUCM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Arce-NazarioJR08, author = {Rafael A. Arce{-}Nazario and Manuel Jim{\'{e}}nez and Domingo Rodr{\'{\i}}guez}, title = {Architectural Model and Resource Estimation for Distributed Hardware Implementation of Discrete Signal Transforms}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.70}, doi = {10.1109/RECONFIG.2008.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Arce-NazarioJR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AstarloaBLAM08, author = {Armando Astarloa and Unai Bidarte and Jes{\'{u}}s L{\'{a}}zaro and Jon Andreu and Jos{\'{e}} Luis Mart{\'{\i}}n}, title = {Configurable-System-on-Programmable-Chip for Power Electronics Control Applications}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {169--174}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.18}, doi = {10.1109/RECONFIG.2008.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AstarloaBLAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BanksBF08, author = {Simon Banks and Philip Beadling and Andras Ferencz}, title = {{FPGA} Implementation of Pseudo Random Number Generators for Monte Carlo Methods in Quantitative Finance}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {271--276}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.38}, doi = {10.1109/RECONFIG.2008.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BanksBF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Barron-ZambranoCA08, author = {Jose Hugo Barron{-}Zambrano and Fernando Martin del Campo{-}Ramirez and Miguel O. Arias{-}Estrada}, title = {Parallel Processor for 3D Recovery from Optical Flow}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.60}, doi = {10.1109/RECONFIG.2008.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Barron-ZambranoCA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Barron-ZambranoTC08, author = {Jose Hugo Barron{-}Zambrano and C{\'{e}}sar Torres{-}Huitzil and Mauricio Cerda}, title = {Flexible Architecture for Three Classes of Optical Flow Extraction Algorithms}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.61}, doi = {10.1109/RECONFIG.2008.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Barron-ZambranoTC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BinottoFGPSL08, author = {Al{\'{e}}cio Pedro Delazari Binotto and Edison Pignaton de Freitas and Marcelo G{\"{o}}tz and Carlos Eduardo Pereira and Andr{\'{e}} Stork and Tony Larsson}, title = {Dynamic Self-Rescheduling of Tasks over a Heterogeneous Platform}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {253--258}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.69}, doi = {10.1109/RECONFIG.2008.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BinottoFGPSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BruneelS08, author = {Karel Bruneel and Dirk Stroobandt}, title = {Reconfigurability-Aware Structural Mapping for LUT-Based FPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {223--228}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.26}, doi = {10.1109/RECONFIG.2008.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BruneelS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CaffarenaLLCN08, author = {Gabriel Caffarena and Juan A. L{\'{o}}pez and Gerardo Leyva and Carlos Carreras and Octavio Nieto{-}Taladriz}, title = {Optimized Architectural Synthesis of Fixed-Point Datapaths}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {85--90}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.48}, doi = {10.1109/RECONFIG.2008.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CaffarenaLLCN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CalderonOF08, author = {Humberto Calderon and Jes{\'{u}}s Ortiz and Jean{-}Guy Fontaine}, title = {Disparity Map Hardware Accelerator}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {295--300}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.29}, doi = {10.1109/RECONFIG.2008.29}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CalderonOF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CalvinoGB08, author = {Manuel Hernandez Calvi{\~{n}}o and Sergio Ruben Geninatti and Jos{\'{e}} Ignacio Benavides Ben{\'{\i}}tez}, title = {Developing an {MMX} Extension for the MicroBlaze Soft Processor}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {91--96}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.9}, doi = {10.1109/RECONFIG.2008.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CalvinoGB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CambreBT08, author = {David M. Cambre and Eduardo I. Boemo and Elias Todorovich}, title = {Arithmetic Operations and Their Energy Consumption in the Nios {II} Embedded Processor}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.37}, doi = {10.1109/RECONFIG.2008.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CambreBT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CampoCPO08, author = {Fernando Martin del Campo and Ren{\'{e}} Cumplido and Roberto Perez{-}Andrade and Aldo G. Orozco{-}Lugo}, title = {Hybrid Architecture for Data-Dependent Superimposed Training in Digital Receivers}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.52}, doi = {10.1109/RECONFIG.2008.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CampoCPO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChampagneEGTL08, author = {David Champagne and Reouven Elbaz and Catherine H. Gebotys and Lionel Torres and Ruby B. Lee}, title = {Forward-Secure Content Distribution to Reconfigurable Hardware}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {450--455}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.51}, doi = {10.1109/RECONFIG.2008.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChampagneEGTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChengTC08, author = {Sheng Cheng and Chien{-}Hsun Tseng and Marina Cole}, title = {A Novel {FPGA} Implementation of a Wideband Sonar System for Target Motion Estimation}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {349--354}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.71}, doi = {10.1109/RECONFIG.2008.71}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChengTC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ClementeGRM08, author = {Juan Antonio Clemente and Carlos Gonz{\'{a}}lez and Javier Resano and Daniel Mozos}, title = {A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.31}, doi = {10.1109/RECONFIG.2008.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ClementeGRM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CoutinhoMM08, author = {Luiza M. N. Coutinho and Jos{\'{e}} Leandro D. Mendes and Carlos A. P. S. Martins}, title = {Dynamically Reconfigurable Split Cache Architecture}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.46}, doi = {10.1109/RECONFIG.2008.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CoutinhoMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DafaliDS08, author = {Rachid Dafali and Jean{-}Philippe Diguet and Marc Sevaux}, title = {Key Research Issues for Reconfigurable Network-on-Chip}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {181--186}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.72}, doi = {10.1109/RECONFIG.2008.72}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DafaliDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DegryseBDS08, author = {Tom Degryse and Karel Bruneel and Harald Devos and Dirk Stroobandt}, title = {Loop Transformations to Reduce the Dynamic {FPGA} Recon?guration Overhead}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.25}, doi = {10.1109/RECONFIG.2008.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DegryseBDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DesmouliersOS08, author = {Christophe Desmouliers and Erdal Oruklu and Jafar Saniie}, title = {Universal Wavelet Kernel Implementation Using Reconfigurable Hardware}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {373--378}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.32}, doi = {10.1109/RECONFIG.2008.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DesmouliersOS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/EisenhardtOSR08, author = {Sven Eisenhardt and Tobias Oppold and Thomas Schweizer and Wolfgang Rosenstiel}, title = {Optimizing Partial Reconfiguration of Multi-context Architectures}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.21}, doi = {10.1109/RECONFIG.2008.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/EisenhardtOSR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/El-HadedyGK08, author = {Mohamed El{-}Hadedy and Danilo Gligoroski and Svein J. Knapskog}, title = {High Performance Implementation of a Public Key Block Cipher - MQQ, for {FPGA} Platforms}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {427--432}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.11}, doi = {10.1109/RECONFIG.2008.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/El-HadedyGK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Fahmy08, author = {Suhaib A. Fahmy}, title = {Generalised Parallel Bilinear Interpolation Architecture for Vision Systems}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {331--336}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.15}, doi = {10.1109/RECONFIG.2008.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Fahmy08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FarooqMMM08, author = {Umer Farooq and Zied Marrakchi and Hayder Mrabet and Habib Mehrez}, title = {The Effect of {LUT} and Cluster Size on a Tree Based {FPGA} Architecture}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.28}, doi = {10.1109/RECONFIG.2008.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FarooqMMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FrontePP08, author = {Daniele Fronte and Annie P{\'{e}}rez and Eric Payrat}, title = {Celator: {A} Multi-algorithm Cryptographic Co-processor}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {438--443}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.76}, doi = {10.1109/RECONFIG.2008.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FrontePP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GalindoPLR08, author = {Juan Galindo and Eric Peskin and Brad Larson and Gene Roylance}, title = {Leveraging Firmware in Multichip Systems to Maximize {FPGA} Resources: An Application of Self-Partial Reconfiguration}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.81}, doi = {10.1109/RECONFIG.2008.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GalindoPLR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GaluzziTMB08, author = {Carlo Galuzzi and Dimitris Theodoropoulos and Roel Meeuws and Koen Bertels}, title = {Automatic Instruction-Set Extensions with the Linear Complexity Spiral Search}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.79}, doi = {10.1109/RECONFIG.2008.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GaluzziTMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Garcia-HernandezUC08, author = {Jose Juan Garcia{-}Hernandez and Claudia Feregrino Uribe and Ren{\'{e}} Cumplido}, title = {{FPGA} Implementation of a Modulated Complex Lapped Transform for Watermarking Systems}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {367--372}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.35}, doi = {10.1109/RECONFIG.2008.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Garcia-HernandezUC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GirauT08, author = {Bernard Girau and C{\'{e}}sar Torres{-}Huitzil}, title = {Fast Implementation of a Bio-inspired Model for Decentralized Gathering}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {229--234}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.68}, doi = {10.1109/RECONFIG.2008.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GirauT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Gonzalez-ConcejeroRMIV08, author = {Coral Gonzalez{-}Concejero and Victoria Rodellar and Agust{\'{\i}}n {\'{A}}lvarez Marquina and Elvira Mart{\'{\i}}nez de Icaya and Pedro G{\'{o}}mez Vilda}, title = {An {FFT/IFFT} Design versus Altera and Xilinx Cores}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {337--342}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.65}, doi = {10.1109/RECONFIG.2008.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Gonzalez-ConcejeroRMIV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HanounMS08, author = {Abdulrahman Hanoun and Friedrich Mayer{-}Lindenberg and Bassel Soudan}, title = {Reconfigurable Cell Architecture for Systolic and Pipelined Computing Datapaths}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {319--324}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.67}, doi = {10.1109/RECONFIG.2008.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HanounMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/HuertaCSM08, author = {Pablo Huerta and Javier Castillo and Carlos Sanchez and Jos{\'{e}} Ignacio Mart{\'{\i}}nez}, title = {Operating System for Symmetric Multiprocessors on {FPGA}}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.43}, doi = {10.1109/RECONFIG.2008.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/HuertaCSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IshebabiMB08, author = {Harold Ishebabi and Philipp Mahr and Christophe Bobda}, title = {Automatic Synthesis of Multiprocessor Systems from Parallel Programs under Preemptive Scheduling}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.8}, doi = {10.1109/RECONFIG.2008.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IshebabiMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JensenKARK08, author = {Lars Baunegaard With Jensen and Anders Kj{\ae}r{-}Nielsen and Javier D{\'{\i}}az Alonso and Eduardo Ros and Norbert Kr{\"{u}}ger}, title = {A Hybrid FPGA/Coarse Parallel Processing Architecture for Multi-modal Visual Feature Descriptors}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {241--246}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.23}, doi = {10.1109/RECONFIG.2008.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JensenKARK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JevticCH08, author = {Ruzica Jevtic and Carlos Carreras and Domenik Helms}, title = {A Comparison of Approaches for High-Level Power Estimation of LUT-Based {DSP} Components}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {361--366}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.19}, doi = {10.1109/RECONFIG.2008.19}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JevticCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JiaBNGH08, author = {Zai Jian Jia and Tom{\'{a}}s Bautista and Antonio N{\'{u}}{\~{n}}ez and Cayetano Guerra and Mario Hern{\'{a}}ndez}, title = {Design Space Exploration and Performance Analysis for the Modular Design of {CVS} in a Heterogeneous MPSoC}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {193--198}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.33}, doi = {10.1109/RECONFIG.2008.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/JiaBNGH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KatashitaSSHA08, author = {Toshihiro Katashita and Akashi Satoh and Takeshi Sugawara and Naofumi Homma and Takafumi Aoki}, title = {Enhanced Correlation Power Analysis Using Key Screening Technique}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {403--408}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.16}, doi = {10.1109/RECONFIG.2008.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KatashitaSSHA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KishimotoHA08, author = {Yuken Kishimoto and Shinichiro Haruyama and Hideharu Amano}, title = {Design and Implementation of Adaptive Viterbi Decoder for Using {A} Dynamic Reconfigurable Processor}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {247--252}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.39}, doi = {10.1109/RECONFIG.2008.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KishimotoHA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Kjaer-NielsenJSK08, author = {Anders Kj{\ae}r{-}Nielsen and Lars Baunegaard With Jensen and Anders Stengaard S{\o}rensen and Norbert Kr{\"{u}}ger}, title = {A Real-Time Embedded System for Stereo Vision Preprocessing Using an {FPGA}}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.63}, doi = {10.1109/RECONFIG.2008.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Kjaer-NielsenJSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KnittelMR08, author = {G{\"{u}}nter Knittel and Stefanie Mayer and Christian Rothl{\"{a}}nder}, title = {Integrating Logic Analyzer Functionality into {VHDL} Designs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.77}, doi = {10.1109/RECONFIG.2008.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KnittelMR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KocabasSG08, author = {{\"{O}}v{\"{u}}n{\c{c}} Kocabas and Erkay Savas and Johann Gro{\ss}sch{\"{a}}dl}, title = {Enhancing an Embedded Processor Core with a Cryptographic Unit for Speed and Security}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {409--414}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.59}, doi = {10.1109/RECONFIG.2008.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KocabasSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KrastevaCTR08, author = {Yana Esteves Krasteva and Francisco Criado and Eduardo de la Torre and Teresa Riesgo}, title = {A Fast Emulation-Based NoC Prototyping Framework}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.74}, doi = {10.1109/RECONFIG.2008.74}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KrastevaCTR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LloydS08, author = {Scott Lloyd and Quinn Snell}, title = {Sequence Alignment with Traceback on Reconfigurable Hardware}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {259--264}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.30}, doi = {10.1109/RECONFIG.2008.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LloydS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LomneOMTRSC08, author = {Victor Lomn{\'{e}} and Thomas Ordas and Philippe Maurine and Lionel Torres and Michel Robert and Rafael Soares and Ney Calazans}, title = {Triple Rail Logic Robustness against {DPA}}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {415--420}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.75}, doi = {10.1109/RECONFIG.2008.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LomneOMTRSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MahrLIB08, author = {Philipp Mahr and Christian Lorchner and Harold Ishebabi and Christophe Bobda}, title = {SoC-MPI: {A} Flexible Message Passing Library for Multiprocessor Systems-on-Chips}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {187--192}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.27}, doi = {10.1109/RECONFIG.2008.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MahrLIB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MeintanisP08, author = {Dimitrios Meintanis and Ioannis Papaefstathiou}, title = {Power Consumption Estimations vs Measurements for FPGA-Based Security Cores}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {433--437}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.45}, doi = {10.1109/RECONFIG.2008.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MeintanisP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MendonS08, author = {Ashwin A. Mendon and Ron Sass}, title = {A Hardware Filesystem Implementation for High-Speed Secondary Storage}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.49}, doi = {10.1109/RECONFIG.2008.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MendonS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MontoneRSM08, author = {Alessio Montone and Francesco Redaelli and Marco D. Santambrogio and Seda Ogrenci Memik}, title = {A Reconfiguration-Aware Floorplacer for FPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.36}, doi = {10.1109/RECONFIG.2008.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MontoneRSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Morales-CortesPGT08, author = {Anabel Morales{-}Cortes and Ram{\'{o}}n Parra{-}Michel and Luis F. Gonzalez{-}Perez and Gabriela Cervantes T.}, title = {Finite Precision Analysis of the 3GPP Standard Turbo Decoder for Fixed-Point Implementation in {FPGA} Devices}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.82}, doi = {10.1109/RECONFIG.2008.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Morales-CortesPGT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MorillasCPPR08, author = {Christian A. Morillas and Juan Pedro Cobos and Francisco J. Pelayo and Alberto Prieto and Samuel F. Romero}, title = {{VIS2SOUND} on Reconfigurable Hardware}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {205--210}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.7}, doi = {10.1109/RECONFIG.2008.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MorillasCPPR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/NascimentoMSBL08, author = {Paulo S{\'{e}}rgio Brand{\~{a}}o do Nascimento and Victor Wanderley Costa de Medeiros and Viviane Lucy Santos de Souza and Abner Corr{\^{e}}a Barros and Manoel Eus{\'{e}}bio de Lima}, title = {A Temporal Partitioning Methodology for Reconfigurable High Performance Computers}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {307--312}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.73}, doi = {10.1109/RECONFIG.2008.73}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/NascimentoMSBL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OksuzogluS08, author = {Ersin {\"{O}}ks{\"{u}}zoglu and Erkay Savas}, title = {Parametric, Secure and Compact Implementation of {RSA} on {FPGA}}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {391--396}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.13}, doi = {10.1109/RECONFIG.2008.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OksuzogluS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Osornio-RiosRMSRR08, author = {Roque Alfredo Osornio{-}Rios and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso and Luis Morales{-}Velazquez and J. Jesus de Santiago{-}Perez and Jesus Rooney Rivera{-}Guillen and Jose de Jesus Rangel{-}Magdaleno}, title = {A Real-Time {FPGA} Based Platform for Applications in Mechatronics}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.55}, doi = {10.1109/RECONFIG.2008.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Osornio-RiosRMSRR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ParvezMM08, author = {Husain Parvez and Zied Marrakchi and Habib Mehrez}, title = {Enhanced Methodology and Tools for Exploring Domain-Specific Coarse-Grained FPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.53}, doi = {10.1109/RECONFIG.2008.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ParvezMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PrevotetBGHMVCP08, author = {Jean{-}Christophe Pr{\'{e}}votet and Mohamed El Amine Benkhelifa and Bertrand Granado and Emmanuel Huck and Beno{\^{\i}}t Miramond and Fran{\c{c}}ois Verdier and Daniel Chillet and S{\'{e}}bastien Pillement}, title = {A Framework for the Exploration of {RTOS} Dedicated to the Management of Hardware Reconfigurable Resources}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.40}, doi = {10.1109/RECONFIG.2008.40}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/PrevotetBGHMVCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PurohitCMC08, author = {Sohan Purohit and Sai Rahul Chalamalasetti and Martin Margala and Pasquale Corsonello}, title = {Power-Efficient High Throughput Reconfigurable Datapath Design for Portable Multimedia Devices}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {217--222}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.58}, doi = {10.1109/RECONFIG.2008.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PurohitCMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PuschiniCBST08, author = {Diego Puschini and Fabien Clermidy and Pascal Benoit and Gilles Sassatelli and Lionel Torres}, title = {Game-Theoretic Approach for Temperature-Aware Frequency Assignment with Task Synchronization on MP-SoC}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {235--240}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.22}, doi = {10.1109/RECONFIG.2008.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PuschiniCBST08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RedaelliSM08, author = {Francesco Redaelli and Marco D. Santambrogio and Seda Ogrenci Memik}, title = {An {ILP} Formulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfigurable Architectures}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.42}, doi = {10.1109/RECONFIG.2008.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RedaelliSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Rico-GallegoLPAM08, author = {Juan A. Rico{-}Gallego and Jes{\'{u}}s M. {\'{A}}lvarez Llorente and Francisco J. Perogil{-}Duque and Pedro P. Antunez{-}Gomez and Juan Carlos D{\'{\i}}az Mart{\'{\i}}n}, title = {A Pthreads-Based {MPI-1} Implementation for MMU-Less Machines}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {277--282}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.24}, doi = {10.1109/RECONFIG.2008.24}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Rico-GallegoLPAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaldanaRC08, author = {Manuel Salda{\~{n}}a and Emanuel Ramalho and Paul Chow}, title = {A Message-Passing Hardware/Software Co-simulation Environment to Aid in Reconfigurable Computing Design Using {TMD-MPI}}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {265--270}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.10}, doi = {10.1109/RECONFIG.2008.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaldanaRC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Sanchez-OrtizPG08, author = {Carlos R. Sanchez{-}Ortiz and Ram{\'{o}}n Parra{-}Michel and Manuel E. Guzman{-}Renteria}, title = {Design and Implementation of a Multi-standard Interleaver for 802.11a, 802.11n, 802.16e {\&} {DVB} Standards}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {379--384}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.14}, doi = {10.1109/RECONFIG.2008.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Sanchez-OrtizPG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaraswatE08, author = {Rohit Saraswat and Brandon Eames}, title = {Finite Domain Constraints Based Delay Aware Placement Tool for FPOAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.50}, doi = {10.1109/RECONFIG.2008.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaraswatE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ShoufanHKS08, author = {Abdulhadi Shoufan and Sorin A. Huss and Oliver Kelm and Sebastian Schipp}, title = {A Novel Rekeying Message Authentication Procedure Based on Winternitz {OTS} and Reconfigurable Hardware Architectures}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {301--306}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.20}, doi = {10.1109/RECONFIG.2008.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ShoufanHKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Sorokin08, author = {Nikolay Yu. Sorokin}, title = {Parallel Backprojector for Cone-Beam Computer Tomography}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {175--180}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.12}, doi = {10.1109/RECONFIG.2008.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Sorokin08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SunSZ08, author = {Song Sun and Michael Steffen and Joseph Zambreno}, title = {A Reconfigurable Platform for Frequent Pattern Mining}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.80}, doi = {10.1109/RECONFIG.2008.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SunSZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Torres-HuitzilGBS08, author = {C{\'{e}}sar Torres{-}Huitzil and Bernard Girau and Amine M. Boumaza and Bruno Scherrer}, title = {Embedded Harmonic Control for Trajectory Planning in Large Environments}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.78}, doi = {10.1109/RECONFIG.2008.78}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Torres-HuitzilGBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WangT08, author = {Xiaofang Wang and Swetha Thota}, title = {Design and Implementation of a Resource-Efficient Communication Architecture for Multiprocessors on FPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.57}, doi = {10.1109/RECONFIG.2008.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WangT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WeberDM08, author = {Elmar Weber and Florian Dittmann and Norma Montealegre}, title = {Part-E - {A} Tool for Reconfigurable System Design}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {199--204}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.64}, doi = {10.1109/RECONFIG.2008.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WeberDM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/WoldT08, author = {Knut Wold and Chik How Tan}, title = {Analysis and Enhancement of Random Number Generator in {FPGA} Based on Oscillator Rings}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {385--390}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.17}, doi = {10.1109/RECONFIG.2008.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/WoldT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YangP08, author = {Yi{-}Hua E. Yang and Viktor K. Prasanna}, title = {Automatic Construction of Large-Scale Regular Expression Matching Engines on {FPGA}}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.47}, doi = {10.1109/RECONFIG.2008.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/YangP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/YavuzYK08, author = {Ilker Yavuz and Siddika Berna {\"{O}}rs Yal{\c{c}}in and {\c{C}}etin Kaya Ko{\c{c}}}, title = {{FPGA} Implementation of an Elliptic Curve Cryptosystem over GF(3m)}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {397--402}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.66}, doi = {10.1109/RECONFIG.2008.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/YavuzYK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Zain-ul-AbdinS08, author = {Zain{-}ul{-}Abdin and Bertil Svensson}, title = {Using a {CSP} Based Programming Model for Reconfigurable Processor Arrays}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {343--348}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.41}, doi = {10.1109/RECONFIG.2008.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Zain-ul-AbdinS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZavaletaUC08, author = {Zobeida Jezabel Guzman{-}Zavaleta and Claudia Feregrino Uribe and Ren{\'{e}} Cumplido}, title = {A Reversible Data Hiding Algorithm for Radiological Medical Images and Its Hardware Implementation}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {444--449}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.34}, doi = {10.1109/RECONFIG.2008.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZavaletaUC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhangOM08, author = {Xuan Zhang and Cesar Ortega{-}Sanchez and Iain Murray}, title = {Reconfigurable {PDA} for the Visually Impaired Using FPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.62}, doi = {10.1109/RECONFIG.2008.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhangOM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZhouH08, author = {Bin Zhou and David Hwang}, title = {Implementations and Optimizations of Pipeline FFTs on Xilinx FPGAs}, booktitle = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, pages = {325--330}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ReConFig.2008.56}, doi = {10.1109/RECONFIG.2008.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZhouH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2008, title = {ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4731750/proceeding}, isbn = {978-0-7695-3474-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AbhyankarSASP06, author = {Yogindra Abhyankar and C. Sajish and Yogesh Agarwal and C. R. Subrahmanya and Peeyush Prasad}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {High Performance Power Spectrum Analysis Using a {FPGA} Based Reconfigurable Computing Platform}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {328--331}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307786}, doi = {10.1109/RECONF.2006.307786}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AbhyankarSASP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Alba-RosanoG06, author = {Marcos R. de Alba{-}Rosano and Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Measuring Leakage Power in Nanometer {CMOS} 6T-SRAM Cells}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {149--155}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307765}, doi = {10.1109/RECONF.2006.307765}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Alba-RosanoG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ArmstrongLL06, author = {Nilton B. Armstrong and Heitor S. Lopes and Carlos Raimundo Erig Lima}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Preliminary Steps Towards Protein Folding Prediction Using Reconfigurable Computing}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {92--98}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307758}, doi = {10.1109/RECONF.2006.307758}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ArmstrongLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BishopRGTV06, author = {Steven Bishop and Suresh Rai and Bahadir K. Gunturk and Jerry L. Trahan and Ramachandran Vaidyanathan}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Reconfigurable Implementation of Wavelet Integer Lifting Transforms for Image Compression}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {208--216}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307772}, doi = {10.1109/RECONF.2006.307772}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/BishopRGTV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BowerTLM06, author = {Jacob A. Bower and David B. Thomas and Wayne Luk and Oskar Mencer}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {A Reconfigurable Simulation Framework for Financial Computation}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {30--38}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307750}, doi = {10.1109/RECONF.2006.307750}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BowerTLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CastilloHPM06, author = {Javier Castillo and Pablo Huerta and C{\'{e}}sar Pedraza and Jos{\'{e}} Ignacio Mart{\'{\i}}nez}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {A Self-Reconfigurable Multimedia Player on {FPGA}}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {6--11}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307747}, doi = {10.1109/RECONF.2006.307747}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CastilloHPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChaudhuriDGH06, author = {Sumanta Chaudhuri and Jean{-}Luc Danger and Sylvain Guilley and Philippe Hoogvorst}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {{FASE:} An Open Run-Time Reconfigurable {FPGA} Architecture for Tamper-Resistant and Secure Embedded Systems}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {47--55}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307752}, doi = {10.1109/RECONF.2006.307752}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChaudhuriDGH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ChavanDGM06, author = {Ameet Chavan and Gaurav Dukle and Ben Graniello and Eric W. MacDonald}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Robust Ultra-Low Power Subthreshold Logic Flip-Flop Design for Reconfigurable Architectures}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {142--148}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307764}, doi = {10.1109/RECONF.2006.307764}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ChavanDGM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CicuttinCSA06, author = {Andres Cicuttin and Maria Liz Crespo and Alexander Shapiro and Nizar Abdallah}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {A Block-Based Open Source Approach for a Reconfigurable Virtual Instrumentation Platform Using {FPGA} Technology}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {39--46}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307751}, doi = {10.1109/RECONF.2006.307751}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CicuttinCSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/DittmannRW06, author = {Florian Dittmann and Achim Rettberg and Raphael Weber}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Towards the Implementation of Path Concepts for a Reconfigurable Bit-Serial Synchronous Architecture}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {262--269}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307778}, doi = {10.1109/RECONF.2006.307778}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/DittmannRW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ElhossiniAD06, author = {Ahmed Elhossini and Shawki Areibi and Robert D. Dony}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {An {FPGA} Implementation of the {LMS} Adaptive Filter for Audio Processing}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {168--175}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307767}, doi = {10.1109/RECONF.2006.307767}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ElhossiniAD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/FilhoSOKR06, author = {Julio A. de Oliveira Filho and Thomas Schweizer and Tobias Oppold and Tommy Kuhn and Wolfgang Rosenstiel}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Tuning Coarse-Grained Reconfigurable Architectures towards an Application Domain}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {71--77}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307755}, doi = {10.1109/RECONF.2006.307755}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/FilhoSOKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GotzD06, author = {Marcelo G{\"{o}}tz and Florian Dittmann}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Reconfigurable Microkernel-based {RTOS:} Mechanisms and Methods for Run-Time Reconfiguration}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {12--19}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307748}, doi = {10.1109/RECONF.2006.307748}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GotzD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/IcayaRGPG06, author = {Elvira Mart{\'{\i}}nez de Icaya and Victoria Rodellar and Coral Gonzalez{-}Concejero and Virginia Peinado and Vicente Angel Garc{\'{\i}}a}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Design Space Exploration for an Adaptive Noise Cancellation Algorithm}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {184--190}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307769}, doi = {10.1109/RECONF.2006.307769}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/IcayaRGPG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KawaiYY06, author = {Hiroyuki Kawai and Yoshiki Yamaguchi and Moritoshi Yasunaga}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Realization of the sound space environment for the radiation-tolerant space craft}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {198--205}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307771}, doi = {10.1109/RECONF.2006.307771}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KawaiYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KellerRMM06, author = {Maurice Keller and Robert Ronan and William P. Marnane and Colin C. Murphy}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {A GF(2\({}^{\mbox{4m}}\)) Inverter and its Application in a Reconfigurable Tate Pairing Processor}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {158--167}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307766}, doi = {10.1109/RECONF.2006.307766}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/KellerRMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LantzP06, author = {Timothy Lantz and Eric Peskin}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {A {QCA} Implementation of a Configurable Logic Block for an {FPGA}}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {132--141}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307763}, doi = {10.1109/RECONF.2006.307763}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LantzP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Lopez-EstradaC06, author = {Santos L{\'{o}}pez{-}Estrada and Ren{\'{e}} Cumplido}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Decision Tree Based FPGA-Architecture for Texture Sea State Classification}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {191--197}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307770}, doi = {10.1109/RECONF.2006.307770}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Lopez-EstradaC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Martinez-TorresLB06, author = {Gerardo Eli Mart{\'{\i}}nez{-}Torres and J. M. Luna{-}Rivera and Raul E. Balderas{-}Navarro}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {FPGA-Based Educational Platform for Wireless Transmission Using System Generator}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {304--313}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307783}, doi = {10.1109/RECONF.2006.307783}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Martinez-TorresLB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MartinezR06, author = {Jos{\'{e}} Mart{\'{\i}}nez and Leopoldo Altamirano Robles}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {FPGA-based Pipeline Architecture to Transform Cartesian Images into Foveal Images by Using a new Foveation Approach}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {227--236}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307774}, doi = {10.1109/RECONF.2006.307774}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MartinezR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Mendoza-CamarenaR06, author = {Ulises S. Mendoza{-}Camarena and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {{VHDL} Core for the Computation of the One-Dimensional Discrete Cosine Transform}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {332--339}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307787}, doi = {10.1109/RECONF.2006.307787}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Mendoza-CamarenaR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Morales-SandovalU06, author = {Miguel Morales{-}Sandoval and Claudia Feregrino Uribe}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {GF(2\({}^{\mbox{m}}\)) Arithmetic Modules for Elliptic Curve Cryptography}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {176--183}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307768}, doi = {10.1109/RECONF.2006.307768}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Morales-SandovalU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MoritzJLL06, author = {Guilherme Luiz Moritz and Cristiano Jory and Heitor S. Lopes and Carlos Raimundo Erig Lima}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Implementation of a Parallel Algorithm for Protein Pairwise Alignment Using Reconfigurable Computing}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {99--105}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307759}, doi = {10.1109/RECONF.2006.307759}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MoritzJLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MunozLAE06, author = {Daniel M. Mu{\~{n}}oz and Carlos H. Llanos and Mauricio Ayala{-}Rinc{\'{o}}n and Rudi H. van Els}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Implementation, Simulation and Validation of Dispatching Algorithms for Elevator Systems}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {290--297}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307781}, doi = {10.1109/RECONF.2006.307781}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MunozLAE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/OreifejSD06, author = {Rashad S. Oreifej and Carthik A. Sharma and Ronald F. DeMara}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Expediting GA-Based Evolution Using Group Testing Techniques for Reconfigurable Hardware}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {106--113}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307760}, doi = {10.1109/RECONF.2006.307760}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/OreifejSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PenaVV06, author = {Jorge Pe{\~{n}}a and Mauricio Vanegas and Andr{\'{e}}s Valencia}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Digital Hardware Architectures of Kohonen's Self Organizing Feature Maps with Exponential Neighboring Function}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {114--121}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307761}, doi = {10.1109/RECONF.2006.307761}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PenaVV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Pimentel06, author = {Julio C. G. Pimentel}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Implementation of Simulation Algorithms in {FPGA} for Real Time Simulation of Electrical Networks with Power Electronics Devices}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {320--327}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307785}, doi = {10.1109/RECONF.2006.307785}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Pimentel06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Pina-RamirezVY06, author = {Omar Pi{\~{n}}a{-}Ramirez and Raquel Vald{\'{e}}s{-}Cristerna and Oscar Y{\'{a}}{\~{n}}ez{-}Su{\'{a}}rez}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {An {FPGA} Implementation of Linear Kernel Support Vector Machines}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {314--319}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307784}, doi = {10.1109/RECONF.2006.307784}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Pina-RamirezVY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Romero-TroncosoOVG06, author = {Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso and Alejandro Ordaz{-}Moreno and Jose Alberto Vite{-}Frias and Arturo Garcia{-}Perez}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {8-bit {CISC} Microprocessor Core for Teaching Applications in the Digital Systems Laboratory}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {300--303}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307782}, doi = {10.1109/RECONF.2006.307782}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Romero-TroncosoOVG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaghirEA06, author = {Mazen A. R. Saghir and Mohamad El{-}Majzoub and Patrick Akl}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Datapath and {ISA} Customization for Soft {VLIW} Processors}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {280--289}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307780}, doi = {10.1109/RECONF.2006.307780}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaghirEA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaldanaA06, author = {Griselda Salda{\~{n}}a and Miguel Arias{-}Estrada}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Real Time FPGA-based Architecture for Video Applications}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {217--226}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307773}, doi = {10.1109/RECONF.2006.307773}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaldanaA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaldanaNRC06, author = {Manuel Salda{\~{n}}a and Daniel Nunes and Emanuel Ramalho and Paul Chow}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Configuration and Programming of Heterogeneous Multiprocessors on a Multi-FPGA System Using {TMD-MPI}}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {260--279}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307779}, doi = {10.1109/RECONF.2006.307779}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaldanaNRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SalmeronGA06, author = {Carlos E. Guti{\'{e}}rrez Salmeron and Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a and Reynaldo F{\'{e}}lix Acu{\~{n}}a}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Bio - Inspired {\&} Traditional Approaches to Obtain Fault Tolerance}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {122--129}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307762}, doi = {10.1109/RECONF.2006.307762}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SalmeronGA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SamahiBB06, author = {AbdelHalim Samahi and El{-}Bay Bourennane and Sami Boukhechem}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Communication Interface Generation For {HW/SW} Architecture In The STARSoC Environment}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {58--63}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307753}, doi = {10.1109/RECONF.2006.307753}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SamahiBB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaFGC06, author = {Marcos Vin{\'{\i}}cius da Silva and Ricardo S. Ferreira and Alisson Garcia and Jo{\~{a}}o M. P. Cardoso}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Mesh Mapping Exploration for Coarse-Grained Reconfigurable Array Architectures}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {20--29}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307749}, doi = {10.1109/RECONF.2006.307749}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaFGC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SilvaM06, author = {Jorge Luiz e Silva and Eduardo Marques}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Executing Algorithms for Dynamic Dataflow Reconfigurable Hardware -The Operators Protocol}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {64--70}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307754}, doi = {10.1109/RECONF.2006.307754}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SilvaM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SosaGBP06, author = {Julio C. Sosa and Roc{\'{\i}}o G{\'{o}}mez{-}Fabela and Jose Antonio Boluda and Fernando Pardo}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Change-driven Image Architecture on {FPGA} with adaptive threshold for Optical-Flow Computation}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {237--243}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307775}, doi = {10.1109/RECONF.2006.307775}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SosaGBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SurisA06, author = {Jorge Alberto Sur{\'{\i}}s and Peter M. Athanas}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Exploring Non-Traditional Hardware-Software Interaction}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {78--85}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307756}, doi = {10.1109/RECONF.2006.307756}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SurisA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SydowKNBN06, author = {Thorsten von Sydow and Matthias Korb and Bernd Neumann and Holger Blume and Tobias G. Noll}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Modelling and Quantitative Analysis of Coupling Mechanisms of Programmable Processor Cores and Arithmetic Oriented eFPGA Macros}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {252--261}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307777}, doi = {10.1109/RECONF.2006.307777}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SydowKNBN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TanD06, author = {Heng Tan and Ronald F. DeMara}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {A Physical Resource Management Approach to Minimizing {FPGA} Partial Reconfiguration Overhead}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {86--90}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307757}, doi = {10.1109/RECONF.2006.307757}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TanD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/VildaPBMSMR06, author = {Pedro G{\'{o}}mez and Francisco D{\'{\i}}az P{\'{e}}rez and Bogdan Belean and Raul Malutan and Benjamin Stetter and Rafael Mart{\'{\i}}nez and Victoria Rodellar}, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {Robust cDNA microarray image processing on a hand-held device}, booktitle = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, pages = {244--248}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RECONF.2006.307776}, doi = {10.1109/RECONF.2006.307776}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/VildaPBMSMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2006, editor = {Ren{\'{e}} Cumplido{-}Parra and C{\'{e}}sar Torres{-}Huitzil and Andr{\'{e}}s D. Garc{\'{\i}}a}, title = {2006 {IEEE} International Conference on Reconfigurable Computing and FPGA's, ReConFig 2006, San Luis Potosi, Mexico, September 20-22, 2006}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4099957/proceeding}, isbn = {1-4244-0690-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/AvakianO05, author = {Annie Avakian and Iyad Ouaiss}, title = {Optimizing register binding in FPGAs using simulated annealing}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.27}, doi = {10.1109/RECONFIG.2005.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/AvakianO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Avila-OrtegaSMD05, author = {Alfonso {\'{A}}vila and Rolando Santoyo{-}Rinc{\'{o}}n and Sergio Omar Martinez{-}Chapa and Graciano Dieck{-}Assad}, title = {Hardware/software implementation of a discrete cosine transform algorithm using SystemC}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.22}, doi = {10.1109/RECONFIG.2005.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Avila-OrtegaSMD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/BragaLAJ05, author = {Andr{\'{e}} L. S. Braga and Carlos H. Llanos and Mauricio Ayala{-}Rinc{\'{o}}n and Ricardo P. Jacobi}, title = {VANNGen: a flexible {CAD} tool for hardware implementation of artificial neural networks}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.35}, doi = {10.1109/RECONFIG.2005.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/BragaLAJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CarrilloPE05, author = {Snaider L. Carrillo and Agenor Z. Polo and Mario P. Esmeral}, title = {Design and implementation of an embedded microprocessor compatible with {IL} language in accordance to the norm {IEC} 61131-3}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.14}, doi = {10.1109/RECONFIG.2005.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/CarrilloPE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/CastilloHLM05, author = {Javier Castillo and Pablo Huerta and V{\'{\i}}ctor L{\'{o}}pez and Jos{\'{e}} Ignacio Mart{\'{\i}}nez}, title = {A secure self-reconfiguring architecture based on open-source hardware}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.7}, doi = {10.1109/RECONFIG.2005.7}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/CastilloHLM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/GarciaC05, author = {Joaqu{\'{\i}}n Garc{\'{\i}}a and Ren{\'{e}} Cumplido{-}Parra}, title = {On the design of an FPGA-based {OFDM} modulator for {IEEE} 802.16-2004}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.25}, doi = {10.1109/RECONFIG.2005.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/GarciaC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LaakkonenSRP05, author = {Ossi Laakkonen and Hannu Sar{\'{e}}n and Kimmo Rauma and Olli Pyrh{\"{o}}nen}, title = {{FPGA} implementation of {DSVPWM} modulator}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.19}, doi = {10.1109/RECONFIG.2005.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LaakkonenSRP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LangeM05, author = {Sebastian Lange and Martin Middendorf}, title = {On the design of two-level reconfigurable architectures}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.26}, doi = {10.1109/RECONFIG.2005.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LangeM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MarcusN05, author = {Guillermo Marcus and Juan Arturo Nolazco{-}Flores}, title = {An FPGA-based coprocessor for the {SPHINX} speech recognition system: early experiences}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.10}, doi = {10.1109/RECONFIG.2005.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MarcusN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MarrakchiMM05, author = {Zied Marrakchi and Hayder Mrabet and Habib Mehrez}, title = {Hierarchical {FPGA} clustering based on multilevel partitioning approach to improve routability and reduce power dissipation}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.23}, doi = {10.1109/RECONFIG.2005.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MarrakchiMM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MartinezP05, author = {Miguel Angel S{\'{a}}nchez Mart{\'{\i}}nez and Adriano De Luca Pennacchia}, title = {An image comparison circuit design}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.11}, doi = {10.1109/RECONFIG.2005.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MartinezP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MartinezPMR05, author = {Mario Alberto Garcia Martinez and Rub{\'{e}}n Posada{-}G{\'{o}}mez and Guillermo Morales{-}Luna and Francisco Rodr{\'{\i}}guez{-}Henr{\'{\i}}quez}, title = {{FPGA} implementation of an efficient multiplier over finite fields GF(2\({}^{\mbox{m}}\))}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.18}, doi = {10.1109/RECONFIG.2005.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MartinezPMR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MilliordSD05, author = {Corey J. Milliord and Carthik A. Sharma and Ronald F. DeMara}, title = {Dynamic voting schemes to enhance evolutionary repair in reconfigurable logic devices}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.16}, doi = {10.1109/RECONFIG.2005.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MilliordSD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MorganRO05, author = {Fearghal Morgan and Patrick Rocke and Martin O'Halloran}, title = {Applied {VHDL} training methodology, {EDA} framework and hardware implementation platform}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.12}, doi = {10.1109/RECONFIG.2005.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/MorganRO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Nuno-MagandaA05, author = {Marco Aurelio Nu{\~{n}}o{-}Maganda and Miguel O. Arias{-}Estrada}, title = {Real-time FPGA-based architecture for bicubic interpolation: an application for digital image scaling}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.34}, doi = {10.1109/RECONFIG.2005.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Nuno-MagandaA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ordaz-MorenoRV05, author = {Alejandro Ordaz{-}Moreno and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso and Jose Alberto Vite{-}Frias}, title = {Hardware signal processing unit for one-dimensional variable-length discrete wavelet transform}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.21}, doi = {10.1109/RECONFIG.2005.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Ordaz-MorenoRV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Ortega-CisnerosRMB05, author = {Susana Ortega{-}Cisneros and Juan Jos{\'{e}} Raygoza{-}Panduro and Juan Suard{\'{\i}}az Muro and Eduardo I. Boemo}, title = {Rapid prototyping of a self-timed {ALU} with FPGAs}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.33}, doi = {10.1109/RECONFIG.2005.33}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/Ortega-CisnerosRMB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PandyaAM05, author = {Vijay Pandya and Shawki Areibi and Medhat Moussa}, title = {A Handel-C implementation of the back-propagation algorithm on field programmable gate arrays}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.5}, doi = {10.1109/RECONFIG.2005.5}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PandyaAM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/PellL05, author = {Oliver Pell and Wayne Luk}, title = {Quartz: a framework for correct and efficient reconfigurable design}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.32}, doi = {10.1109/RECONFIG.2005.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/PellL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RaumaLHPP05, author = {Kimmo Rauma and Julius Luukko and Torsti H{\"{a}}rk{\"{o}}nen and Ilkka Pajari and Olli Pyrh{\"{o}}nen}, title = {A novel {FPGA} implementation of a welding control using a new bus architecture}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.6}, doi = {10.1109/RECONFIG.2005.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RaumaLHPP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Raygoza-PanduroOB05, author = {Juan Jos{\'{e}} Raygoza{-}Panduro and Susana Ortega{-}Cisneros and Eduardo I. Boemo}, title = {{FPGA} implementation of a synchronous and self-timed neuroprocessor}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.17}, doi = {10.1109/RECONFIG.2005.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Raygoza-PanduroOB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RockeMM05, author = {Patrick Rocke and John Maher and Fearghal Morgan}, title = {Platform for intrinsic evolution of analogue neural networks}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.29}, doi = {10.1109/RECONFIG.2005.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RockeMM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RodriguezR05, author = {Sabel Mercurio Hern{\'{a}}ndez Rodr{\'{\i}}guez and Francisco Rodr{\'{\i}}guez{-}Henr{\'{\i}}quez}, title = {An {FPGA} arithmetic logic unit for computing scalar multiplication using the half-and-add method}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.8}, doi = {10.1109/RECONFIG.2005.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RodriguezR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SaldanaA05, author = {Griselda Salda{\~{n}}a and Miguel Arias{-}Estrada}, title = {FPGA-based customizable systolic architecture for image processing applications}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.20}, doi = {10.1109/RECONFIG.2005.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/SaldanaA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ThomasL05, author = {David B. Thomas and Wayne Luk}, title = {High quality uniform random number generation for massively parallel simulations in {FPGA}}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.24}, doi = {10.1109/RECONFIG.2005.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ThomasL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TrinidadCU05, author = {Jos{\'{e}} Francisco Mart{\'{\i}}nez Trinidad and Ren{\'{e}} Cumplido{-}Parra and Claudia Feregrino Uribe}, title = {An FPGA-based parallel sorting architecture for the Burrows Wheeler transform}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.9}, doi = {10.1109/RECONFIG.2005.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/TrinidadCU05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/Vite-FriasRO05, author = {Jose Alberto Vite{-}Frias and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso and Alejandro Ordaz{-}Moreno}, title = {{VHDL} core for 1024-point radix-4 {FFT} computation}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.36}, doi = {10.1109/RECONFIG.2005.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/Vite-FriasRO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/ZabelKZPS05, author = {Martin Zabel and Steffen K{\"{o}}hler and Martin Zimmerling and Thomas B. Preu{\ss}er and Rainer G. Spallek}, title = {Design space exploration of coarse-grain reconfigurable DSPs}, booktitle = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RECONFIG.2005.15}, doi = {10.1109/RECONFIG.2005.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/ZabelKZPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2005, title = {2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005, Puebla City, Mexico, September 28-30, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10611/proceeding}, isbn = {0-7695-2456-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.