Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:conf/idt:"
@inproceedings{DBLP:conf/idt/AbdElSalam16, author = {Mohamed Abdelsalam}, title = {NVMe Solid State Drive verification solution using {HW} Emulation and Virtual Device Technologies}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {47--52}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843013}, doi = {10.1109/IDT.2016.7843013}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdElSalam16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelaliBTG16, author = {Hiba Abdelali and Rachida Bedira and Hichem Trabelsi and Ali Gharsallah}, title = {Enhanced performances of paper-based Substrate Integrated waveguide {(SIW)} antenna for Wireless Sensor Network applications}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {269--273}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843053}, doi = {10.1109/IDT.2016.7843053}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdelaliBTG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelhediBBM16, author = {Sahar Abdelhedi and Mouna Baklouti and Riad Bourguiba and Jaouhar Mouine}, title = {Vivado HLS-based implementation of a fall detection decision core on an {FPGA} platform}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {115--120}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843025}, doi = {10.1109/IDT.2016.7843025}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdelhediBBM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbidOLAA16, author = {Nesrine Abid and Tarek Ouni and Kais Loukil and Mohamed Abid and Ahmed Chiheb Ammeri}, title = {Multiprocessor architecture for an optimazed parallel model of covariance based person detection}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {71--76}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843017}, doi = {10.1109/IDT.2016.7843017}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbidOLAA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbidZ16, author = {Mohamed Abid and Yervant Zorian}, title = {Welcome to the {IDT} 2016}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {x}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7842997}, doi = {10.1109/IDT.2016.7842997}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbidZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Alkabani16, author = {Yousra Alkabani}, title = {Hardware security and split fabrication}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {59--64}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843015}, doi = {10.1109/IDT.2016.7843015}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Alkabani16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AlmabroukKTMB16, author = {Hela Almabrouk and Maha Kharroubi and Fares Tounsi and Brahim Mezghani and Yves Bernard}, title = {Macro model analysis of a single mass 6-DOF Inertial Measurement Unit system}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {290--295}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843057}, doi = {10.1109/IDT.2016.7843057}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AlmabroukKTMB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AmaraPA16, author = {Abdelkader Ben Amara and Edwige E. Pissaloux and Mohamed Atri}, title = {Sobel edge detection system design and integration on an {FPGA} based {HD} video streaming architecture}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {160--164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843033}, doi = {10.1109/IDT.2016.7843033}, timestamp = {Sun, 07 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AmaraPA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AseeriAA16, author = {Mohammed A. S. Aseeri and Abdulaziz Ahmad Alasows and Muhammad R. Ahmad}, title = {Design of {DRFM} system based on {FPGA} with high resources}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {177--180}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843036}, doi = {10.1109/IDT.2016.7843036}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AseeriAA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Ashour16, author = {Haytham M. Ashour}, title = {Challenges in serial protocols Verification on an emulation environment {(SATA} as an example)}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {93--97}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843021}, doi = {10.1109/IDT.2016.7843021}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Ashour16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AzeemCFRM16, author = {Muhammad Moazam Azeem and Roselyne Chotin{-}Avot and Umer Farooq and Maminionja Ravoson and Habib Mehrez}, title = {Multiple FPGAs based prototyping and debugging with complete design flow}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {171--176}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843035}, doi = {10.1109/IDT.2016.7843035}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AzeemCFRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Bennour16, author = {Imed E. Bennour}, title = {SystemC TLM2-protocol consistency checker using Petri net}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {193--198}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843039}, doi = {10.1109/IDT.2016.7843039}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Bennour16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BennourA16, author = {Imed E. Bennour and Abderrazek Jemai}, title = {Timed-SDF patterns for applications throughput analysis}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {187--192}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843038}, doi = {10.1109/IDT.2016.7843038}, timestamp = {Tue, 18 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BennourA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BouazizCMOA16, author = {Khouloud Bouaziz and Sonda Chtourou and Zied Marrakchi and Abdulfattah Mohammad Obeid and Mohamed Abid}, title = {Rebuilding synthesized design hierarchy based on instances path names of flattened netlist}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {148--153}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843031}, doi = {10.1109/IDT.2016.7843031}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BouazizCMOA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Burgio16, author = {Paolo Burgio}, title = {Keynote 4: "Embedded platforms for next-generation autonomous driving systems"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xiv}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843001}, doi = {10.1109/IDT.2016.7843001}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Burgio16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ChtourouAMAM16, author = {Sonda Chtourou and Mohamed Abid and Zied Marrakchi and Emna Amouri and Habib Mehrez}, title = {Design of advanced 2D and 3D FPGAs: Architecture-level exploration and algorithm-level optimization}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {31--36}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843010}, doi = {10.1109/IDT.2016.7843010}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ChtourouAMAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DaoudLSL16, author = {Houda Daoud and Dalila Laouej and Samir Ben Salem and Mourad Loulou}, title = {Design of discret time feed-forward cascaded {\(\Delta\)}{\(\Sigma\)} modulator for wireless communication systems}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {216--220}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843043}, doi = {10.1109/IDT.2016.7843043}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DaoudLSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DaoudMG16, author = {Maissa Daoud and Hass{\`{e}}ne Mnif and Mohamed Ghorbel}, title = {Resistive termination low noise amplifier for bio-sensor applications}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {245--249}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843048}, doi = {10.1109/IDT.2016.7843048}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DaoudMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DaoudMG16a, author = {Maissa Daoud and Hass{\`{e}}ne Mnif and Mohamed Ghorbel}, title = {A low power low noise amplifier for 2.45GHz {ISM} receiver for Body Area Network}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {296--301}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843058}, doi = {10.1109/IDT.2016.7843058}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DaoudMG16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DjemelMHCMPL16, author = {Kaouthar Djemel and Dorra Mellouli Moalla and Rahma Aloulou Hajtaieb and David Cordeau and Hass{\`{e}}ne Mnif and Jean{-}Marie Paillot and Mourad Loulou}, title = {Performances analysis of a coupled differential oscillators network using the contour graph approach}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {265--268}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843052}, doi = {10.1109/IDT.2016.7843052}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DjemelMHCMPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-AdawiD16, author = {Reem El{-}Adawi and Mohamed Dessouky}, title = {Regression modeling for subset selection in rare-event statistical circuit simulation}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {205--209}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843041}, doi = {10.1109/IDT.2016.7843041}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-AdawiD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-AzeemENGAE16, author = {Ratshih S. Abd El{-}Azeem and Magdy A. El{-}Moursy and Amin M. Nassar and Ahmed Gharib and Nahla T. Abou{-}El{-}Kheir and Moataz S. El{-}Kharashi}, title = {High performance interpolation filter using direct computation}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {121--124}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843026}, doi = {10.1109/IDT.2016.7843026}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-AzeemENGAE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-DinFIGM16, author = {Mohamed Mohie El{-}Din and Hossam A. H. Fahmy and Yehea Ismail and Noha Gamal and Hassan Mostafa}, title = {Leakage power evaluation of FinFET-based {FPGA} cluster under threshold voltage variation}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {137--141}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843029}, doi = {10.1109/IDT.2016.7843029}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-DinFIGM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-KenawyD16, author = {Khaled El{-}Kenawy and Mohamed Dessouky}, title = {Stress-aware analog layout devices pattern generation}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {233--238}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843046}, doi = {10.1109/IDT.2016.7843046}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-KenawyD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-NaggarMMIAEK16, author = {Ahmed El{-}Naggar and Essraa Massoud and Ahmed Medhat and Hala Ibrahim and Bassma Al{-}Abassy and Sameh El{-}Ashry and Mostafa Khamis and Ahmed Shalaby}, title = {A narrative of {UVM} testbench environment for interconnection routers: {A} practical approach}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {98--103}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843022}, doi = {10.1109/IDT.2016.7843022}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-NaggarMMIAEK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ElleuchiTOA16, author = {Manel Elleuchi and Mariem Triki and Abdulfattah Mohammad Obeid and Mohamed Abid}, title = {ARM-based SoC for routing in Wireless Sensors Networks}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {323--327}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843063}, doi = {10.1109/IDT.2016.7843063}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ElleuchiTOA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/FarhatFSB16, author = {Wajdi Farhat and Hassene Faiedh and Chokri Souani and Kamel Besbes}, title = {Real-time hardware/software co-design of a traffic sign recognition system using Zynq {FPGA}}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {302--307}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843059}, doi = {10.1109/IDT.2016.7843059}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/FarhatFSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/FredjMB16, author = {Amira Hadj Fredj and Jihene Malek and El{-}Bey Bourennane}, title = {Fast oriented Anisotropic Diffusion filter}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {308--312}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843060}, doi = {10.1109/IDT.2016.7843060}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/FredjMB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/FriguiKG16, author = {Ines Frigui and Mohamed Salah Karoui and Hamadi Ghariani}, title = {A study of the bends effects on a meandered dipole tag antenna}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {284--289}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843056}, doi = {10.1109/IDT.2016.7843056}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/FriguiKG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GamalFIIEM16, author = {Noha Gamal and Hossam A. H. Fahmy and Yehea I. Ismail and Tawfik Ismail and Mohamed Mohie El{-}Din and Hassan Mostafa}, title = {Design guidelines for soft implementations to embedded NoCs of FPGAs}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {37--42}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843011}, doi = {10.1109/IDT.2016.7843011}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/GamalFIIEM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GarradhiHB16, author = {Karima Garradhi and Nejib Hassen and Kamel Besbes}, title = {Low-voltage and low-power {OTA} using source-degeneration technique and its application in Gm-C filter}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {221--226}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843044}, doi = {10.1109/IDT.2016.7843044}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/GarradhiHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Georgiou16, author = {Julius Georgiou}, title = {Keynote 3: "Microelectronic systems for improved quality of life"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xiii}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843000}, doi = {10.1109/IDT.2016.7843000}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Georgiou16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HamdiFSB16, author = {Sabrine Hamdi and Hassene Faiedh and Chokri Souani and Kamel Besbes}, title = {A lighting independent vision based system for driver assistance}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {328--333}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843064}, doi = {10.1109/IDT.2016.7843064}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/HamdiFSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HammadiMHSB16, author = {Aymen Ben Hammadi and Mongia Mhiri and Fayrouz Haddad and Sehmi Saad and Kamel Besbes}, title = {A 1.82-4.44 GHz reconfigurable bandpass filter based on tunable active inductor}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {254--259}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843050}, doi = {10.1109/IDT.2016.7843050}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HammadiMHSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/IdaJL16, author = {Imen Ben Ida and Abderrazak Jemai and Adlen Loukil}, title = {A survey on security of IoT in the context of eHealth and clouds}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {25--30}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843009}, doi = {10.1109/IDT.2016.7843009}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/IdaJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/LahianiDSL16, author = {Sawssen Lahiani and Houda Daoud and Samir Ben Salem and Mourad Loulou}, title = {Design of a low power Variable Gain Amplifier for {WLAN} standard}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {227--232}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843045}, doi = {10.1109/IDT.2016.7843045}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/LahianiDSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/LajnefBG16, author = {Souhir Lajnef and Noureddine Boulejfen and Fadhel M. Ghannouchi}, title = {Band-limited 2D Cartesian behavioral modeling of concurrent dual-band {RF} transmitters}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {250--253}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843049}, doi = {10.1109/IDT.2016.7843049}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/LajnefBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Leveugle16, author = {R{\'{e}}gis Leveugle}, title = {Tutorial 1: "New approaches towards early dependability evaluation of digital integrated systems"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xv}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843002}, doi = {10.1109/IDT.2016.7843002}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Leveugle16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/LiuG16, author = {Baisi Liu and Mohamed Ghazel}, title = {Petri Net Diagnosability Analyzer}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {13--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843007}, doi = {10.1109/IDT.2016.7843007}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/LiuG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MahjoubA16, author = {Amel Ben Mahjoub and Mohamed Atri}, title = {Human action recognition using {RGB} data}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {83--87}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843019}, doi = {10.1109/IDT.2016.7843019}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/MahjoubA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MakniBNJA16, author = {Mariem Makni and Mouna Baklouti and Sma{\"{\i}}l Niar and Mohamed Wassim Jmal and Mohamed Abid}, title = {A comparison and performance evaluation of {FPGA} soft-cores for embedded multi-core systems}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {154--159}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843032}, doi = {10.1109/IDT.2016.7843032}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MakniBNJA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MandouhW16, author = {Eman El Mandouh and Amr G. Wassal}, title = {Accelerating the debugging of {FV} traces using K-means clustering techniques}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {278--283}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843055}, doi = {10.1109/IDT.2016.7843055}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MandouhW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MarchettoTG16, author = {Guido Marchetto and Sarosh Tahir and Michelangelo Grosso}, title = {A blocking probability study for the aethereal network-on-chip}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {104--109}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843023}, doi = {10.1109/IDT.2016.7843023}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MarchettoTG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MarrakchiM16, author = {Zied Marrakchi and Eman El Mandouh}, title = {Tutorial 2: "Challenges of FPGA-based prototyping {\&} debugging"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xv--xvi}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843003}, doi = {10.1109/IDT.2016.7843003}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MarrakchiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MehrezOBMAG16, author = {Hanen Mehrez and Ali Othman and Rim Barrak and Muriel Muller and Ghalid I. Abib and Adel Ghazel}, title = {Multiband {GNSS} receiver design, simulation and experimental characterization}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {43--46}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843012}, doi = {10.1109/IDT.2016.7843012}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MehrezOBMAG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MkhininiMLTM16, author = {Asma Mkhinini and Paolo Maistri and R{\'{e}}gis Leveugle and Rached Tourki and Mohsen Machhout}, title = {A flexible RNS-based large polynomial multiplier for Fully Homomorphic Encryption}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {131--136}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843028}, doi = {10.1109/IDT.2016.7843028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MkhininiMLTM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MzidA16, author = {Rania Mzid and Mohamed Abid}, title = {UML-based reconfigurable middleware for design-level timing verification in model-based approach}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {181--186}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843037}, doi = {10.1109/IDT.2016.7843037}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MzidA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Niar16, author = {Sma{\"{\i}}l Niar}, title = {Keynote 2: "Embedded systems design for critical applications"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xii}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7842999}, doi = {10.1109/IDT.2016.7842999}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Niar16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NouiriJABTN16, author = {Maroua Nouiri and Abderrazak Jemai and Ahmed Chiheb Ammari and Abdelghani Bekrar and Damien Trentesaux and Sma{\"{\i}}l Niar}, title = {Using IoT in breakdown tolerance: {PSO} solving {FJSP}}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {19--24}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843008}, doi = {10.1109/IDT.2016.7843008}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/NouiriJABTN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ObeidBASA16, author = {Abdulfattah Mohammad Obeid and Mohammed S. BenSaleh and Mohamed I. Alkanhal and Ahmed Shalash and Mohamed Abid}, title = {A proposed methodology for designing reconfigurable solutions}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {53--58}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843014}, doi = {10.1109/IDT.2016.7843014}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ObeidBASA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Ozturk16, author = {Ozcan Ozturk}, title = {Keynote 1: "Analysis of design parameters in safety-critical systems"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xi}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7842998}, doi = {10.1109/IDT.2016.7842998}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Ozturk16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/PertuisotBHNR16, author = {Geoffroy Pertuisot and Nicolas B{\'{e}}langer and Yassin El Hillali and Sma{\"{\i}}l Niar and Atika Rivenq{-}Menhaj}, title = {A co-design space exploration tool for avionic high performance heterogeneous embedded architectures}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {77--82}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843018}, doi = {10.1109/IDT.2016.7843018}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/PertuisotBHNR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/RabaaniB16, author = {Karima Rabaani and Noureddine Boulejfen}, title = {Characteristic impedance and propagation constant assessment of Substrate Integrated Waveguide transmission line}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {274--277}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843054}, doi = {10.1109/IDT.2016.7843054}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/RabaaniB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaadMHB16, author = {Sehmi Saad and Mongia Mhiri and Aymen Ben Hammadi and Kamel Besbes}, title = {Design of high-performance {CMOS} tunable active inductor}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {239--244}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843047}, doi = {10.1109/IDT.2016.7843047}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaadMHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaadMHB16a, author = {Sehmi Saad and Mongia Mhiri and Aymen Ben Hammadi and Kamel Besbes}, title = {An L, {S} and {S2} bands, compliant LC-based {DCO} for amateur Nano-satellite applications}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {260--264}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843051}, doi = {10.1109/IDT.2016.7843051}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaadMHB16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaafanES16, author = {Haytham Saafan and M. Watheq El{-}Kharashi and Ashraf Salem}, title = {SoC connectivity specification extraction using incomplete {RTL} design: An approach for Formal connectivity Verification}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {110--114}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843024}, doi = {10.1109/IDT.2016.7843024}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaafanES16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaidiTMBA16, author = {Hajer Saidi and Mariem Turki and Zied Marrakchi and Mohammed S. BenSaleh and Mohamed Abid}, title = {Embedded {FPGA} accelerator for Wireless Sensor Network nodes}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {313--318}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843061}, doi = {10.1109/IDT.2016.7843061}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaidiTMBA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SfarTB16, author = {Salaheddine Hamza Sfar and Rached Tourki and Imed E. Bennour}, title = {Stepwise SystemC/TLM-2 models structuring and optimizations}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {199--204}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843040}, doi = {10.1109/IDT.2016.7843040}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SfarTB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SmeiSJ16, author = {Habib Smei and Kamel Smiri and Abderrazak Jemai}, title = {Pipelining the {HEVC} decoder on ZedBoard plateform}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {7--12}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843006}, doi = {10.1109/IDT.2016.7843006}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SmeiSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SmiriBS16, author = {Kamel Smiri and Safa Bekri and Habib Smei}, title = {Fault-Tolerant in Embedded Systems (MPSoC): Performance estimation and dynamic migration tasks}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843005}, doi = {10.1109/IDT.2016.7843005}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SmiriBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TerrasTAL16, author = {Lydie Terras and Yannick Teglia and Michel Agoyan and R{\'{e}}gis Leveugle}, title = {Taking into account indirect jumps or calls in continuous control-flow checking}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {125--130}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843027}, doi = {10.1109/IDT.2016.7843027}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TerrasTAL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ThangkhiewGPD016, author = {Phrangboklang Lyngton Thangkhiew and Rahul Gharpinde and Varun Chowdhary Paturi and Kamalika Datta and Indranil Sengupta}, title = {Area efficient implementation of ripple carry adder using memristor crossbar arrays}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {142--147}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843030}, doi = {10.1109/IDT.2016.7843030}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ThangkhiewGPD016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/XieNTHBA16, author = {Lei Xie and Hoang Anh Du Nguyen and Mottaqiallah Taouil and Said Hamdioui and Koen Bertels and Mohammad AlFailakawi}, title = {Non-volatile look-up table based {FPGA} implementations}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {165--170}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843034}, doi = {10.1109/IDT.2016.7843034}, timestamp = {Mon, 26 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/XieNTHBA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/YaichM16, author = {Hajer Yaich and Mohamed Masmoudi}, title = {A novel bio-inspired coding scheme for wireless sensor networks}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {88--92}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843020}, doi = {10.1109/IDT.2016.7843020}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/YaichM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/YehyaouiFAD16, author = {Imed Yehyaoui and Tarek Frikha and Mohamed Abid and Hassen Drira}, title = {Embedded adaptation for 3D face analysis using Elastic Riemannian algorithm}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {65--70}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843016}, doi = {10.1109/IDT.2016.7843016}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/YehyaouiFAD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ZandrahimiDCA16, author = {Mahroo Zandrahimi and Philippe Debaud and Armand Castillejo and Zaid Al{-}Ars}, title = {Industrial approaches for performance evaluation using on-chip monitors}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {210--215}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7843042}, doi = {10.1109/IDT.2016.7843042}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ZandrahimiDCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/idt/2016, title = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7833312/proceeding}, isbn = {978-1-5090-4900-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AnsariAM16, title = {An efficient hardware architecture of intra prediction in {HEVC} standard}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {319--322}, publisher = {{IEEE}}, year = {2016}, note = {Withdrawn.}, url = {https://doi.org/10.1109/IDT.2016.7843062}, doi = {10.1109/IDT.2016.7843062}, timestamp = {Tue, 25 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AnsariAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelsalamS15, author = {Mohamed Abdelsalam and Ashraf Salem}, title = {SoC verification platforms using {HW} emulation and co-modeling Testbench technologies}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {14--19}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396729}, doi = {10.1109/IDT.2015.7396729}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdelsalamS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbidMKH15, author = {Samir Ben Abid and Nejib Mediouni and Oussama Kallel and Salem Hasnaoui}, title = {NRTBox: {A} Matlab Simulink toolbox for NoC switch performance evaluation and early architectural exploration using discrete event simulation}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {96--99}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396743}, doi = {10.1109/IDT.2015.7396743}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbidMKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Abu-IssaTG15, author = {Abdallatif S. Abu{-}Issa and Iyad K. Tumar and Wasel T. Ghanem}, title = {{SR-TPG:} {A} low transition test pattern generator for test-per-clock and test-per-scan {BIST}}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {124--128}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396748}, doi = {10.1109/IDT.2015.7396748}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/Abu-IssaTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AgboTHWCC15, author = {Innocent Agbo and Mottaqiallah Taouil and Said Hamdioui and Pieter Weckx and Stefan Cosemans and Francky Catthoor}, title = {{BTI} analysis of {SRAM} write driver}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {100--105}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396744}, doi = {10.1109/IDT.2015.7396744}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AgboTHWCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Al-HamadiGA15, author = {Hussam M. N. Al Hamadi and Amjad Gawanmeh and Mahmoud Al{-}Qutayri}, title = {An automatic {ECG} generator for testing and evaluating {ECG} sensor algorithms}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {78--83}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396740}, doi = {10.1109/IDT.2015.7396740}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/Al-HamadiGA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Al-OmariR15, author = {Ra'ed Al{-}Omari and Shahil Rais}, title = {Revolutionizing validation: The Intel approach for {TTM}}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {13}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396728}, doi = {10.1109/IDT.2015.7396728}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Al-OmariR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AmrouchH15, author = {Hussam Amrouch and J{\"{o}}rg Henkel}, title = {Reliability degradation in the scope of aging - From physical to system level}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {9--12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396727}, doi = {10.1109/IDT.2015.7396727}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AmrouchH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AshrafTB15, author = {Imran Ashraf and Mottaqiallah Taouil and Koen Bertels}, title = {Memory profiling for intra-application data-communication quantification: {A} survey}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {32--37}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396732}, doi = {10.1109/IDT.2015.7396732}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AshrafTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BellemouABI15, author = {Ahmed Bellemou and Mohamed Anane and Nadjia Benblidia and Mohamed Issad}, title = {{FPGA} implementation of scalar multiplication over Fp for elliptic curve cryptosystem}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {135--140}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396750}, doi = {10.1109/IDT.2015.7396750}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/BellemouABI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-GammalHI15, author = {Khaled A. El{-}Gammal and Ahmed N. Hassan and Sameh A. Ibrahim}, title = {A 10 Gbps ADC-based equalizer for serial {I/O} receiver}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {38--43}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396733}, doi = {10.1109/IDT.2015.7396733}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/El-GammalHI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/EladwyID15, author = {Mahitab F. Eladwy and Sameh A. Ibrahim and Mohamed Dessouky}, title = {A low-temperature-coefficient curvature-compensated bandgap reference with mismatch attenuation}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {44--48}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396734}, doi = {10.1109/IDT.2015.7396734}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/EladwyID15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/FathySG15, author = {Khaled Fathy and Khaled Salah and Rafik Guindi}, title = {A proposed methodology to improve UVM-based test generation and coverage closure}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {147--148}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396754}, doi = {10.1109/IDT.2015.7396754}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/FathySG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/FoleaEMH15, author = {Silviu Folea and Szil{\'{a}}rd Enyedi and Liviu Miclea and Horia Hedesiu}, title = {Reconfigurable test platform for modular embedded systems in manufacturing processes}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {72--77}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396739}, doi = {10.1109/IDT.2015.7396739}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/FoleaEMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Hamdioui15, author = {Said Hamdioui}, title = {Keynote 2: "Computing for big-data: Beyond {CMOS} and beyond Von-Neumann"}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396722}, doi = {10.1109/IDT.2015.7396722}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Hamdioui15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/InukolluKS15, author = {Venkata Narasimha Inukollu and Taeghyun Kang and Nina Sakhnini}, title = {Design constraints and challenges behind fault tolerance systems in a mobile application framework}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {159--160}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396760}, doi = {10.1109/IDT.2015.7396760}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/InukolluKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KaibarttaD15, author = {Tanusree Kaibartta and Debesh K. Das}, title = {Testing of 3D {IC} with minimum power using genetic algorithm}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {112--117}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396746}, doi = {10.1109/IDT.2015.7396746}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KaibarttaD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/LuoN15, author = {Hao Luo and Mehrdad Nourani}, title = {Aging and leakage tradeoff in {VLSI} circuits}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {106--111}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396745}, doi = {10.1109/IDT.2015.7396745}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/LuoN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MakniBNBA15, author = {Mariem Makni and Mouna Baklouti and Sma{\"{\i}}l Niar and Morteza Biglari{-}Abhari and Mohamed Abid}, title = {Heterogeneous multi-core architecture for a 4G communication in high-speed railway}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {26--31}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396731}, doi = {10.1109/IDT.2015.7396731}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MakniBNBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MandouhW15, author = {Eman El Mandouh and Amr G. Wassal}, title = {Guiding intelligent testbench automation using data mining and formal methods}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {60--65}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396737}, doi = {10.1109/IDT.2015.7396737}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MandouhW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MediouniAKH15, author = {Nejib Mediouni and Samir Ben Abid and Oussama Kallel and Salem Hasnaoui}, title = {High level NoC modeling using discrete event simulation}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {143--144}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396752}, doi = {10.1109/IDT.2015.7396752}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MediouniAKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MediouniAKH15a, author = {Nejib Mediouni and Samir Ben Abid and Oussama Kallel and Salem Hasnaoui}, title = {SimEvents based high level early design space exploration and modeling of a 3D Network on Chip}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {157--158}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396759}, doi = {10.1109/IDT.2015.7396759}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MediouniAKH15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MediouniNBBK15, author = {Braham Lotfi Mediouni and Sma{\"{\i}}l Niar and Rachid Benmansour and Karima Benatchba and Mouloud Koudil}, title = {A bi-objective heuristic for heterogeneous MPSoC design space exploration}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {90--95}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396742}, doi = {10.1109/IDT.2015.7396742}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MediouniNBBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MohamedSWS15, author = {Nahla Mohamed and Mona Safar and Ayman M. Wahba and Ashraf Salem}, title = {Automatic test pattern generation for virtual hardware model using constrained symbolic execution}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {149--150}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396755}, doi = {10.1109/IDT.2015.7396755}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MohamedSWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NejatHB15, author = {Arash Nejat and David H{\'{e}}ly and Vincent Beroulle}, title = {Facilitating side channel analysis by obfuscation for Hardware Trojan detection}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {129--134}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396749}, doi = {10.1109/IDT.2015.7396749}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/NejatHB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/OtoomP15, author = {Mwaffaq Otoom and JoAnn M. Paul}, title = {Chip-level programming of heterogeneous multiprocessors}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {20--25}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396730}, doi = {10.1109/IDT.2015.7396730}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/OtoomP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/QianHXXW15, author = {Cheng Qian and Libo Huang and Peng Xie and Nong Xiao and Zhiying Wang}, title = {Efficient data management on 3D stacked memory for big data applications}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {84--89}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396741}, doi = {10.1109/IDT.2015.7396741}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/QianHXXW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Salah15, author = {Khaled Salah}, title = {A novel wavelet-based method for {TSV} modeling}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {141--142}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396751}, doi = {10.1109/IDT.2015.7396751}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Salah15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SawakiO15, author = {Kosuke Sawaki and Satoshi Ohtake}, title = {A method of {LFSR} seed generation for hierarchical {BIST}}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {118--123}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396747}, doi = {10.1109/IDT.2015.7396747}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SawakiO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TawfikSSES15, author = {Hanan Tawfik and Mona Safar and Mohamed Abdel Salam and M. Watheq El{-}Kharashi and Ashraf Salem}, title = {Toward the interfacing of systemC-AMS models with hardware-emulated platforms}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {54--59}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396736}, doi = {10.1109/IDT.2015.7396736}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TawfikSSES15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TsoutsosM15, author = {Nektarios Georgios Tsoutsos and Michail Maniatakos}, title = {Obfuscated arbitrary computation using cryptographic primitives}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {5--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396726}, doi = {10.1109/IDT.2015.7396726}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TsoutsosM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/UbarOSV15, author = {Raimund Ubar and Stephen Adeboye Oyeniran and Mario Sch{\"{o}}lzel and Heinrich Theodor Vierhaus}, title = {Multiple fault testing in systems-on-chip with high-level decision diagrams}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {66--71}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396738}, doi = {10.1109/IDT.2015.7396738}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/UbarOSV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Windele15, author = {Hanna Windele}, title = {Keynote 1: "Merger mania"}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396721}, doi = {10.1109/IDT.2015.7396721}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Windele15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/YasinS15, author = {Muhammad Yasin and Ozgur Sinanoglu}, title = {Transforming between logic locking and {IC} camouflaging}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396725}, doi = {10.1109/IDT.2015.7396725}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/YasinS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Zorian15, author = {Yervant Zorian}, title = {Keynote 3: "Ensuring robustness in today's IoT era"}, booktitle = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDT.2015.7396723}, doi = {10.1109/IDT.2015.7396723}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Zorian15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/idt/2015, title = {10th International Design {\&} Test Symposium, {IDT} 2015, Dead Sea, Amman, Jordan, December 14-16, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7383418/proceeding}, isbn = {978-1-4673-9994-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelghaniNAAM14, author = {Lakhdari Abdelghani and MekkakiaMaaza Nasr{-}Eddine and Maamar Azouza and Bouguenna Abdellah and Kichene Moadh}, title = {Modeling of silicon {MEMS} capacitive pressure sensor for biom{\'{e}}dical applications}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {263--266}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038625}, doi = {10.1109/IDT.2014.7038625}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdelghaniNAAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelmalekZ14, author = {Ghania Ait Abdelmalek and Rezki Ziani}, title = {Impact analysis of resistive bridge within deep submicron Secured {CMOS} circuits}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {112--117}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038597}, doi = {10.1109/IDT.2014.7038597}, timestamp = {Wed, 24 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdelmalekZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AmineSB14, author = {Benkechkache Mohamed El Amine and Sa{\"{\i}}da Latreche and Gian{-}Franco Dalla Betta}, title = {Analytical approach of the impact of through silicon via on the performance of {MOS} devices}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {242--247}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038621}, doi = {10.1109/IDT.2014.7038621}, timestamp = {Sat, 18 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AmineSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AnaneA14, author = {Nadjia Anane and Mohamed Anane}, title = {High throughput parallel montgomery modular exponentiation on {FPGA}}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {225--230}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038618}, doi = {10.1109/IDT.2014.7038618}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AnaneA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ArcaroCIPPV14, author = {S. Arcaro and Stefano Di Carlo and Marco Indaco and D. Pala and Paolo Prinetto and Elena I. Vatajelu}, title = {Integration of {STT-MRAM} model into {CACTI} simulator}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {67--72}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038589}, doi = {10.1109/IDT.2014.7038589}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ArcaroCIPPV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BahigES14, author = {Ghada Moussa Bahig and Amr El{-}Kadi and Ashraf Salem}, title = {Formal verification of {AUTOSAR} FlexRay state manager}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {193--198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038612}, doi = {10.1109/IDT.2014.7038612}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BahigES14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BakhaDAMSH14, author = {Yamna Bakha and Yassine Djeridane and Walid Aouimeur and Lamia Menasri and Abderrazak Smatti and Saad Hamzaoui}, title = {ZnO based gas sensor testing}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038604}, doi = {10.1109/IDT.2014.7038604}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BakhaDAMSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BelaroussiSBTSA14, author = {Yasmina Belaroussi and Abdelhalim Slimane and Mohand{-}Tahar Belaroussi and Mohamed Trabelsi and Gilles Scheen and Khaled Ben Ali and Jean{-}Pierre Raskin}, title = {{RF} and non-linearity characterization of porous silicon layer for RF-ICs}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {79--82}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038591}, doi = {10.1109/IDT.2014.7038591}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BelaroussiSBTSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BoubaayaTDBBGDH14, author = {Mohamed Boubaaya and Hakim Tahi and Boualem Djezzar and Karim Benmassai and Abdelmadjid Benabdelmoumene and Mohamed Goudjil and Djamila Doumaz and Abdelhak Feraht Hemida}, title = {Reaction-diffusion model for interface traps induced by {BTS} stress including H\({}^{\mbox{+}}\), {H} and {H2} as diffusion species}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {231--235}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038619}, doi = {10.1109/IDT.2014.7038619}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BoubaayaTDBBGDH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BoubezariC14, author = {Samir Boubezari and Jayant Chhabria}, title = {Failure and root cause analysis for a system-on-chip: An industrial case study}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {12}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038578}, doi = {10.1109/IDT.2014.7038578}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BoubezariC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BounceurBBE14, author = {Ahc{\`{e}}ne Bounceur and Belkacem Brahmi and Kamel Beznia and Reinhardt Euler}, title = {Accurate analog/RF {BIST} evaluation based on {SVM} classification of the process parameters}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {55--60}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038587}, doi = {10.1109/IDT.2014.7038587}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BounceurBBE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ChakrabortiCDS14, author = {Sayak Chakraborti and Paturi Varun Chowdhary and Kamalika Datta and Indranil Sengupta}, title = {{BDD} based synthesis of Boolean functions using memristors}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {136--141}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038601}, doi = {10.1109/IDT.2014.7038601}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ChakrabortiCDS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ChenoufDBTG14, author = {Amel Chenouf and Boualem Djezzar and Abdelmadjid Benabdelmoumene and Hakim Tahi and Mohamed Goudjil}, title = {Reliability analysis of {CMOS} inverter subjected to {AC} {\&} {DC} {NBTI} stresses}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {142--146}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038602}, doi = {10.1109/IDT.2014.7038602}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ChenoufDBTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Chian14, author = {Mojy C. Chian}, title = {Day 2 keynote: IoT fosters semiconductor innovation}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {xv}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038572}, doi = {10.1109/IDT.2014.7038572}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Chian14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DehbashiF14, author = {Mehdi Dehbashi and G{\"{o}}rschwin Fey}, title = {SAT-based speedpath debugging using {X} traces}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {100--105}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038595}, doi = {10.1109/IDT.2014.7038595}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DehbashiF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DehbashiTPE14, author = {Mehdi Dehbashi and Daniel Tille and Ulrike Pfannkuchen and Stephan Eggersgl{\"{u}}{\ss}}, title = {Automated formal verification of {X} propagation with respect to testability issues}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {106--111}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038596}, doi = {10.1109/IDT.2014.7038596}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DehbashiTPE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Djadoudi14, author = {Mohamed Djadoudi}, title = {Day 1: Invited talk 1: {IC} qualification, testing {\&} manufacturing using advanced package offerings {\&} adequate process technologies}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {xiii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038570}, doi = {10.1109/IDT.2014.7038570}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Djadoudi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Eftaxiopoulos-Sarris14, author = {Nikolaos Eftaxiopoulos{-}Sarris and Georgios Zervakis and Kiamal Z. Pekmestzi and Costas Efstathiou}, title = {High performance {MAC} designs}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {30--35}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038582}, doi = {10.1109/IDT.2014.7038582}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Eftaxiopoulos-Sarris14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Eftaxiopoulos-Sarris14a, author = {Nikolaos Eftaxiopoulos{-}Sarris and Nicholas Axelos and Georgios Zervakis and Kostas Tsoumanis and Kiamal Z. Pekmestzi}, title = {An independent dual gate {SOI} FinFET soft-error resilient memory cell}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {39--44}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038584}, doi = {10.1109/IDT.2014.7038584}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Eftaxiopoulos-Sarris14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-MoursySSS14, author = {Magdy A. El{-}Moursy and Ayman Sheirah and Mona Safar and Ashraf Salem}, title = {Efficient embedded SoC hardware/software codesign using virtual platform}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {36--38}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038583}, doi = {10.1109/IDT.2014.7038583}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-MoursySSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ElshawyDSMP14, author = {Mohannad Elshawy and Mohamed Dessouky and Sherif M. Saif and Sherif Mansour and Ed Petrus}, title = {Multi-device layout templates for nanometer analog design}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {83--88}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038592}, doi = {10.1109/IDT.2014.7038592}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ElshawyDSMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Freitas14, author = {Paulo Freitas}, title = {Day 2: Invited talk spintronic microsystems: Integrating analog devices with {CMOS}}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {xvi}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038573}, doi = {10.1109/IDT.2014.7038573}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Freitas14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GuesmiH14, author = {Kaouther Guesmi and Salem Hasnaoui}, title = {Translating of {MATLAB/SIMULINLK} model to synchronous dataflow graph for parallelism analysis and programming embedded multicore systems}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {156--161}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038605}, doi = {10.1109/IDT.2014.7038605}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/GuesmiH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HakobyanURV14, author = {Hakob Hakobyan and Paolo Rech and Matteo Sonza Reorda and Massimo Violante}, title = {Early reliability evaluation of a biom{\'{e}}dical system}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {45--50}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038585}, doi = {10.1109/IDT.2014.7038585}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HakobyanURV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Hinckley14, author = {Greg Hinckley}, title = {Day 1 keynote: EDA's key to success: Riding waves of innovation}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {xii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038569}, doi = {10.1109/IDT.2014.7038569}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Hinckley14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/IbrahimK14, author = {Ahmed Ibrahim and Hans G. Kerkhoff}, title = {iJTAG integration of complex digital embedded instruments}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {18--23}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038580}, doi = {10.1109/IDT.2014.7038580}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/IbrahimK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KarimaVF14, author = {Dichou Karima and Victor Tourtchine and Rahmoune Faycal}, title = {An improved electronic voting machine using a microcontroller and a smart card}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {219--224}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038617}, doi = {10.1109/IDT.2014.7038617}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KarimaVF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Kebichi14, author = {Omar Kebichi}, title = {Design, manufacturing {\&} test of integrated circuits in the nanotechnology era}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {13--17}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038579}, doi = {10.1109/IDT.2014.7038579}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Kebichi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Kerkhoff14, author = {Hans G. Kerkhoff}, title = {Day 1: Invited talk 2: Dependable mixed-signal integrated systems under aging}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {xiv}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038571}, doi = {10.1109/IDT.2014.7038571}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Kerkhoff14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/LaminiBTBB14, author = {El{-}sedik Lamini and Rima Bellal and Samir Tagzout and Hac{\`{e}}ne Belbachir and Adel Belouchrani}, title = {Enhanced bit-width optimization for linear circuits with feedbacks}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {168--173}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038607}, doi = {10.1109/IDT.2014.7038607}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/LaminiBTBB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MediouniAKGH14, author = {Nejib Mediouni and Samir Ben Abid and Oussama Kallel and Kaouthar Guesmi and Salem Hasnaoui}, title = {Design and implementation of Wishbone bridge for an iSLIP based NoC}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {211--214}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038615}, doi = {10.1109/IDT.2014.7038615}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MediouniAKGH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MhaidatH14, author = {Khaldoon Moosa Mhaidat and Abdulmughni Y. Hamzah}, title = {A new efficient reduction scheme to implement tree multipliers on FPGAs}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {180--184}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038609}, doi = {10.1109/IDT.2014.7038609}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MhaidatH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NajmiOB14, author = {Shahab A. Najmi and Abdulfattah Mohammad Obeid and Mohammed S. BenSaleh}, title = {WaferCatalyst - Towards promotion of {IC} design in the middle east using virtual community approach}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {89--93}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038593}, doi = {10.1109/IDT.2014.7038593}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/NajmiOB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/OudjidaLBC14, author = {Abdelkrim Kamel Oudjida and Ahmed Liacha and Mohamed Lamine Berrandjia and Nicolas Chaillet}, title = {A new binary arithmetic for finite-word-length linear controllers: {MEMS} applications}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {174--179}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038608}, doi = {10.1109/IDT.2014.7038608}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/OudjidaLBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Peng14, author = {Zebo Peng}, title = {Day 2: Mini-tutorial: Challenges to the design and optimization of cyber-physical systems}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {xvii}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038574}, doi = {10.1109/IDT.2014.7038574}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Peng14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/QoutbETE14, author = {Abd{-}Elrahman G. Qoutb and Abdullah M. El{-}Gunidy and Mohammed F. Tolba and Magdy A. El{-}Moursy}, title = {High speed special function unit for graphics processing unit}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {24--29}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038581}, doi = {10.1109/IDT.2014.7038581}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/QoutbETE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaadiYT14, author = {Abdelhalim A. Saadi and Mustapha C. E. Yagoub and Rachida Touhami}, title = {A comparative study of various microstrip bandpass filters topologies for {UWB} applications}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {189--192}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038611}, doi = {10.1109/IDT.2014.7038611}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaadiYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SahebH14, author = {Hakim Saheb and Syed Haider}, title = {Scalable high speed serial interface for data converters: Using the {JESD204B} industry standard}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {6--11}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038577}, doi = {10.1109/IDT.2014.7038577}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SahebH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SahraouiFBG14, author = {Fouad Sahraoui and Fakhreddine Ghaffari and Mohamed El Amine Benkhelifa and Bertrand Granado}, title = {Reliability assessment of backward error recovery for SRAM-based FPGAs}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {248--252}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038622}, doi = {10.1109/IDT.2014.7038622}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SahraouiFBG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaifDNAEA14, author = {Sherif M. Saif and Mohamed Dessouky and Salwa M. Nassar and Hazem M. Abbas and M. Watheq El{-}Kharashi and Mohammad Abdulaziz}, title = {Exploiting satisfiability modulo theories for analog layout automation}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038590}, doi = {10.1109/IDT.2014.7038590}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/SaifDNAEA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Salah14, author = {Khaled Salah}, title = {A UVM-based smart functional verification platform: Concepts, pros, cons, and opportunities}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {94--99}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038594}, doi = {10.1109/IDT.2014.7038594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/Salah14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Salah14a, author = {Khaled Salah}, title = {TSV-based 3D integration fabrication technologies: An overview}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {253--256}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038623}, doi = {10.1109/IDT.2014.7038623}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Salah14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Sarson14, author = {Peter Sarson}, title = {{RF} filter characterization using a chirp}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038576}, doi = {10.1109/IDT.2014.7038576}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Sarson14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SelmaM14, author = {Haichour Amina Selma and M'hamed Hamadouche}, title = {A survey of {GF} (2\({}^{\mbox{m}}\)) multipliers on {FPGA}}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {215--218}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038616}, doi = {10.1109/IDT.2014.7038616}, timestamp = {Sun, 13 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SelmaM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SerhanASM14, author = {Ayssar Serhan and Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Salvador Mir}, title = {Low-cost {EVM} built-in test of {RF} transceivers}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {51--54}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038586}, doi = {10.1109/IDT.2014.7038586}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SerhanASM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ShaoLXH14, author = {Cuiping Shao and Huiyun Li and Guoqing Xu and Xiaobo Hu}, title = {Design for security test on cryptographic ICs for design-time security evaluation}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038598}, doi = {10.1109/IDT.2014.7038598}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ShaoLXH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Sziray14, author = {J{\'{o}}zsef Sziray}, title = {Computational complexity in test-generation algorithms}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {124--129}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038599}, doi = {10.1109/IDT.2014.7038599}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Sziray14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TahiDBBBCG14, author = {Hakim Tahi and Boualem Djezzar and Karim Benmassai and Mohamed Boubaaya and Abdelmadjid Benabdelmoumene and Amel Chenouf and Mohamed Goudjil}, title = {Investigation of defect microstructures responsible for {NBTI} degradation using effective dipole moment extraction}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {236--241}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038620}, doi = {10.1109/IDT.2014.7038620}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TahiDBBBCG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TaibiSTBMT14, author = {Abdelkader Taibi and Abdelhalim Slimane and Sid{-}Ahmed Tedjini{-}Bailiche and Mohand{-}Tahar Belaroussi and Djabar Maafri and Mohamed Trabelsi}, title = {A 0.9 {V} low power reconfigurable {CMOS} folded cascode {LNA} for multi-standard wireless applications}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {185--188}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038610}, doi = {10.1109/IDT.2014.7038610}, timestamp = {Wed, 24 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TaibiSTBMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TitriLT14, author = {Sabrina Titri and Cherif Larbes and Kamal Youcef{-}Toumi}, title = {Rapid prototyping of {PVS} into {FPGA:} From model based design to FPGA/ASICs implementation}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {162--167}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038606}, doi = {10.1109/IDT.2014.7038606}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TitriLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TsoumanisEP14, author = {Kostas Tsoumanis and Constantinos Efstathiou and Kiamal Z. Pekmestzi}, title = {Modulo 2\({}^{\mbox{n}}\)+1 addition and multiplication for redundant operands}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {205--210}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038614}, doi = {10.1109/IDT.2014.7038614}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TsoumanisEP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/UbarMMV14, author = {Raimund Ubar and Mihhail Marenkov and Dmitri Mironov and Vladimir Viies}, title = {Modeling sequential circuits with shared structurally synthesized BDDs}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {130--135}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038600}, doi = {10.1109/IDT.2014.7038600}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/UbarMMV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/VatajeluAZ14, author = {Elena I. Vatajelu and Hassen Aziza and Cristian Zambelli}, title = {Nonvolatile memories: Present and future challenges}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {61--66}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038588}, doi = {10.1109/IDT.2014.7038588}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/VatajeluAZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/VelasquezJ14, author = {Alvaro Velasquez and Sumit Kumar Jha}, title = {Parallel computing using memristive crossbar networks: Nullifying the processor-memory bottleneck}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {147--152}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038603}, doi = {10.1109/IDT.2014.7038603}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/VelasquezJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ZervakisETAP14, author = {Georgios Zervakis and Nikolaos Eftaxiopoulos{-}Sarris and Kostas Tsoumanis and Nicholas Axelos and Kiamal Z. Pekmestzi}, title = {A high radix montgomery multiplier with concurrent error detection}, booktitle = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, pages = {199--204}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IDT.2014.7038613}, doi = {10.1109/IDT.2014.7038613}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ZervakisETAP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/idt/2014, title = {9th International Design and Test Symposium, {IDT} 2014, Algeries, Algeria, December 16-18, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7021862/proceeding}, isbn = {978-1-4799-8200-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelghanySSYCKE13, author = {Ibrahim Abdelghany and Wajeb Saab and Tarek Sakakini and Abdul{-}Amir Yassine and Ali Chehab and Ayman I. Kayssi and Imad H. Elhajj}, title = {Energy-efficient truncated multipliers with scaling}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727101}, doi = {10.1109/IDT.2013.6727101}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AbdelghanySSYCKE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdelmalekHBM13, author = {Omar Abdelmalek and David H{\'{e}}ly and Vincent Beroulle and Ibrahim Mezzah}, title = {An {UHF} {RFID} emulation platform with fault injection and real time monitoring capabilities}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727143}, doi = {10.1109/IDT.2013.6727143}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdelmalekHBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AgboKH13, author = {Innocent Agbo and Seyab Khan and Said Hamdioui}, title = {{BTI} impact on {SRAM} sense amplifier}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727094}, doi = {10.1109/IDT.2013.6727094}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AgboKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AnaneA13, author = {Nadjia Anane and Mohamed Anane}, title = {{FPGA} implementation of the m-ary modular exponentiation}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727140}, doi = {10.1109/IDT.2013.6727140}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AnaneA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AnaneA13a, author = {Mohamed Anane and Nadjia Anane}, title = {High radix montgomery modular multiplication on {FPGA}}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727148}, doi = {10.1109/IDT.2013.6727148}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AnaneA13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AnohAJNDAAA13, author = {Ogbonnaya O. Anoh and R. A. Abd{-}Alhameed and Steve M. R. Jones and Jim M. Noras and Yousif A. Dama and A. M. Altimimi and Nazar T. Ali and M. S. Alkhambashi}, title = {Comparison of orthogonal and biorthogonal wavelets for multicarrier systems}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727137}, doi = {10.1109/IDT.2013.6727137}, timestamp = {Tue, 22 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AnohAJNDAAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AnohEAMBJG13, author = {Kelvin O. O. Anoh and E. Elkazmi and R. A. Abd{-}Alhameed and O. Madubuko and Mohammed S. Bin{-}Melha and Steve M. R. Jones and T. Ghazaany}, title = {Improved multi-antenna system capacity using beamformer weights}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727086}, doi = {10.1109/IDT.2013.6727086}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AnohEAMBJG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AsifBHAJNR13, author = {Rameez Asif and M. S. BinMilha and Abubakar Sadiq Hussaini and Raed A. Abd{-}Alhameed and Steve M. R. Jones and Jim M. Noras and Jonathan Rodriguez}, title = {Performance evaluation of {ZF} and {MMSE} equalizers for wavelets V-Blast}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727089}, doi = {10.1109/IDT.2013.6727089}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AsifBHAJNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AsifHAJNER13, author = {Rameez Asif and Abubakar Sadiq Hussaini and R. A. Abd{-}Alhameed and Steve M. R. Jones and Jim M. Noras and E. Almahdi Elkhazmi and Jonathan Rodriguez}, title = {Performance of different wavelet families using {DWT} and DWPT-channel equalization using {ZF} and {MMSE}}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727136}, doi = {10.1109/IDT.2013.6727136}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AsifHAJNER13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AsifUGHAJNR13, author = {Rameez Asif and Muhammad Usman and T. Ghazaany and Abubakar Sadiq Hussaini and Raed A. Abd{-}Alhameed and Steve M. R. Jones and Jim M. Noras and Jonathan Rodriguez}, title = {Evaluation of the angle of arrival based techniques}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727085}, doi = {10.1109/IDT.2013.6727085}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AsifUGHAJNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AtojokoBEUAS13, author = {A. Atojoko and Mohammed S. Bin{-}Melha and E. Elkazmi and Muhammad Usman and Raed A. Abd{-}Alhameed and Chan H. See}, title = {Liquid level monitoring using passive {RFID} tags}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727088}, doi = {10.1109/IDT.2013.6727088}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AtojokoBEUAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AwadRA13, author = {Ehab S. Awad and Theodora Rezk and Ahmed Abou{-}Auf}, title = {Silicon {CMOS} interdigitated-MSM photodetector and self-mixer for low-cost crash-avoidance Ladar system}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727126}, doi = {10.1109/IDT.2013.6727126}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AwadRA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AzizaBMP13, author = {Hassen Aziza and Marc Bocquet and Mathieu Moreau and Jean{-}Michel Portal}, title = {Single-ended sense amplifier robustness evaluation for OxRRAM technology}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727097}, doi = {10.1109/IDT.2013.6727097}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AzizaBMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BenaliBFAGBB13, author = {Abdellah Benali and Mohsine Bouya and Mustapha Faqir and Abderrahim El Amrani and Mounir Ghogho and A. Benabdellah}, title = {Through glass via thermomechanical analysis: Geometrical parameters effect on thermal stress}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727093}, doi = {10.1109/IDT.2013.6727093}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BenaliBFAGBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BengueddachSNB13, author = {A. Bengueddach and B. Senouci and Sma{\"{\i}}l Niar and Bouziane Beldjilali}, title = {Energy consumption in reconfigurable mpsoc architecture: Two-level caches optimization oriented approach}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727118}, doi = {10.1109/IDT.2013.6727118}, timestamp = {Fri, 18 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BengueddachSNB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BernardiBCSRGB13, author = {Paolo Bernardi and D. Boyang and Lyl M. Ciganda and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda and Michelangelo Grosso and Oscar Ballan}, title = {A functional test algorithm for the register forwarding and pipeline interlocking unit in pipelined microprocessors}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727120}, doi = {10.1109/IDT.2013.6727120}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BernardiBCSRGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ButtL13, author = {Shahzad Ahmad Butt and Luciano Lavagno}, title = {Design space exploration and synthesis for digital signal processing algorithms from Simulink models}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727109}, doi = {10.1109/IDT.2013.6727109}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ButtL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/CardosoS13, author = {Jose Pedro Cardoso and Jos{\'{e}} Machado da Silva}, title = {Design tradeoffs for voltage controlled crystal oscillators with built-in calibration mechanisms}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727100}, doi = {10.1109/IDT.2013.6727100}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/CardosoS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/CarloGBPRT13, author = {Stefano Di Carlo and Giulio Gambardella and Trong Huynh Bao and Paolo Prinetto and Daniele Rolfo and Pascal Trotta}, title = {ZipStream: Improving dependability in dynamic partial reconfiguration}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727128}, doi = {10.1109/IDT.2013.6727128}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/CarloGBPRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/CarloGLPRT13, author = {Stefano Di Carlo and Giulio Gambardella and Piergiorgio Lanza and Paolo Prinetto and Daniele Rolfo and Pascal Trotta}, title = {{SAFE:} {A} self adaptive frame enhancer FPGA-based IP-core for real-time space applications}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727127}, doi = {10.1109/IDT.2013.6727127}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/CarloGLPRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DattaSRD13, author = {Kamalika Datta and Indranil Sengupta and Hafizur Rahaman and Rolf Drechsler}, title = {An evolutionary approach to reversible logic synthesis using output permutation}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727117}, doi = {10.1109/IDT.2013.6727117}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DattaSRD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DesogusRSAAS13, author = {Marco Desogus and Matteo Sonza Reorda and Luca Sterpone and V. A. Avantaggiati and G. Audisio and Marco Sabatini}, title = {Validation and robustness assessment of an automotive system}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727076}, doi = {10.1109/IDT.2013.6727076}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DesogusRSAAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/El-HalwagyDE13, author = {Waleed El{-}Halwagy and Mohamed Dessouky and Hassan El{-}Ghitani}, title = {Analysis and design of analog-based voltage controlled oscillator linearization technique}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727106}, doi = {10.1109/IDT.2013.6727106}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/El-HalwagyDE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Ezz-EldinEH13, author = {Rabab Ezz{-}Eldin and Magdy A. El{-}Moursy and Hesham F. A. Hamed}, title = {High throughput asynchronous NoC switch for high process variation}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727079}, doi = {10.1109/IDT.2013.6727079}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Ezz-EldinEH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GorenOTYU13, author = {Sezer G{\"{o}}ren and Ozgur Ozkurt and Yusuf Turk and Abdullah Yildiz and H. Fatih Ugurdag}, title = {Enabling difference-based dynamic partial self reconfiguration for large differences}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727108}, doi = {10.1109/IDT.2013.6727108}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/GorenOTYU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HalawaniMHAS13, author = {Yasmin Halawani and Baker Mohammad and Dirar Humouz and Mahmoud Al{-}Qutayri and Hani H. Saleh}, title = {Memristor for energy efficient wireless sensor node}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727141}, doi = {10.1109/IDT.2013.6727141}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HalawaniMHAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Hammami13, author = {Omar Hammami}, title = {{NOC} synthesis vs {ITRS} predictions: The challenges of linear programming based synthesis}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727135}, doi = {10.1109/IDT.2013.6727135}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Hammami13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HammamiL13, author = {Omar Hammami and Xinyu Li}, title = {{NOCBENCH:} {NOC} synthesis benchmarks}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727134}, doi = {10.1109/IDT.2013.6727134}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HammamiL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HammamiL13a, author = {Omar Hammami and Xinyu Li}, title = {{NOC} based {MPSOC} directory based cache coherency with {OCP-IP} protocol}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727139}, doi = {10.1109/IDT.2013.6727139}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HammamiL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HaqiqB13, author = {Abdelhay Haqiq and Bouchaib Bounabat}, title = {Verification of multi decisional reactive agent using {SMV} model checker}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727075}, doi = {10.1109/IDT.2013.6727075}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/HaqiqB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/IbrahimHK13, author = {Ghada H. Ibrahim and Amr N. Hafez and Ahmed Hussien Khalil}, title = {A simple digital detection scheme for demodulating {QPSK} signals in super-regenerative receiver architecture}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727112}, doi = {10.1109/IDT.2013.6727112}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/IbrahimHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KhalifaFES13, author = {Khaled Khalifa and Haytham Fawzy and Sameh El{-}Ashry and Khaled Salah}, title = {Memory controller architectures: {A} comparative study}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727083}, doi = {10.1109/IDT.2013.6727083}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KhalifaFES13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KhalilAA13, author = {Kasem Khalil and Mohamed Abbas and Mohamed Abdelgawad}, title = {A low propagation delay dispersion comparator for low cost level-crossing ADCs}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727110}, doi = {10.1109/IDT.2013.6727110}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KhalilAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KhanTHKRC13, author = {Seyab Khan and Mottaqiallah Taouil and Said Hamdioui and Halil Kukner and Praveen Raghavan and Francky Catthoor}, title = {Impact of partial resistive defects and Bias Temperature Instability on {SRAM} decoder reliablity}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727124}, doi = {10.1109/IDT.2013.6727124}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KhanTHKRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KhuatLCP13, author = {Quang{-}Hai Khuat and Quang{-}Hoa Le and Daniel Chillet and S{\'{e}}bastien Pillement}, title = {Spatio-temporal scheduling for 3D reconfigurable {\&} multiprocessor architecture}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727131}, doi = {10.1109/IDT.2013.6727131}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KhuatLCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MajzoubAH13, author = {Sohaib Majzoub and Zaid Al{-}Ars and Said Hamdioui}, title = {Reducing random-dopant fluctuation impact on core-speed and power variability in many-core platforms}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727103}, doi = {10.1109/IDT.2013.6727103}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/MajzoubAH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MarquezSC13, author = {Carlos Ivan Castro Marquez and Marius Strum and Jiang Chau Wang}, title = {Functional verification of complete sequential behaviors: {A} formal treatment of discrepancies between system-level and {RTL} descriptions}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727074}, doi = {10.1109/IDT.2013.6727074}, timestamp = {Fri, 04 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/MarquezSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MarzouqiAS13, author = {Hamad Marzouqi and Mahmoud Al{-}Qutayri and Khaled Salah}, title = {{RSD} based Karatsuba multiplier for {ECC} processors}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727142}, doi = {10.1109/IDT.2013.6727142}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MarzouqiAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MezzahKCABH13, author = {Ibrahim Mezzah and Omar Kermia and Hamimi Chemali and Omar Abdelmalek and Vincent Beroulle and David H{\'{e}}ly}, title = {Assertion based on-line fault detection applied on {UHF} {RFID} tag}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727091}, doi = {10.1109/IDT.2013.6727091}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MezzahKCABH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MirA13, author = {Hasan Saeed Mir and Lutfi Albasha}, title = {On the design of a high-performance digital radar system}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727099}, doi = {10.1109/IDT.2013.6727099}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/MirA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MohammadESK13, author = {Baker Mohammad and Nadeem Eleyan and Greg Seok and Hong Kim}, title = {Automated flow for generating {CMOS} custom memory bit map between logical and physical implementation}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727105}, doi = {10.1109/IDT.2013.6727105}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MohammadESK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MohantyAA13, author = {Basant K. Mohanty and Somaya Al{-}M{\'{a}}adeed and Abbes Amira}, title = {Systolic architecture for hardware implementation of two-dimensional non-separable filter-bank}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727130}, doi = {10.1109/IDT.2013.6727130}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MohantyAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MondalD13, author = {Hemanta Kumar Mondal and Sujay Deb}, title = {Energy efficient on-chip wireless interconnects with sleepy transceivers}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727078}, doi = {10.1109/IDT.2013.6727078}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MondalD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MuhammadEER13, author = {Sayed Taha Muhammad and Magdy A. El{-}Moursy and Ali A. El{-}Moursy and Amr M. Refaat}, title = {Traffic-based virtual channel activation for low-power NoC}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727077}, doi = {10.1109/IDT.2013.6727077}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MuhammadEER13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MushtaqAB13, author = {Hamid Mushtaq and Zaid Al{-}Ars and Koen Bertels}, title = {Accurate and efficient identification of worst-case execution time for multicore processors: {A} survey}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727080}, doi = {10.1109/IDT.2013.6727080}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MushtaqAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MushtaqAB13a, author = {Hamid Mushtaq and Zaid Al{-}Ars and Koen Bertels}, title = {Fault tolerance on multicore processors using deterministic multithreading}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727107}, doi = {10.1109/IDT.2013.6727107}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MushtaqAB13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NassarK13, author = {Ahmed Nassar and Fadi J. Kurdahi}, title = {Architectural support for runtime verification on ccNUMA multiprocessors}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727122}, doi = {10.1109/IDT.2013.6727122}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/NassarK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NeggaziHA13, author = {Mehdi Neggazi and Latifa Hamami and Abbes Amira}, title = {A multi-scale analysis and compressive sensing based energy aware fall detection system}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727125}, doi = {10.1109/IDT.2013.6727125}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/NeggaziHA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NeophytouHM13, author = {Stelios Neophytou and Stavros Hadjitheophanous and Maria K. Michael}, title = {On the impact of fault list partitioning in parallel implementations for dynamic test compaction considering multicore systems}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727082}, doi = {10.1109/IDT.2013.6727082}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/NeophytouHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ObeidatANZGAE13, author = {Huthaifa A. Obeidat and R. A. Abd{-}Alhameed and Jim M. Noras and S. Zhu and T. Ghazaany and Nazar T. Ali and E. Almahdi Elkhazmi}, title = {Indoor localization using received signal strength}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727138}, doi = {10.1109/IDT.2013.6727138}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ObeidatANZGAE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/OnahEA13, author = {F. I. Onah and M. O. Ezeja and Cosmas I. Ani}, title = {Resource reservation technique for handover calls using integrated modeling technique}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727087}, doi = {10.1109/IDT.2013.6727087}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/OnahEA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/PreschernKHSK13, author = {Christopher Preschern and Nermin Kajtazovic and Andrea H{\"{o}}ller and Christian Steger and Christian Kreiner}, title = {Verifying generic {IEC} 61508 {CPU} self-tests with fault injection}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727145}, doi = {10.1109/IDT.2013.6727145}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/PreschernKHSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/RoyGR13, author = {Surajit Kumar Roy and Chandan Giri and Hafizur Rahaman}, title = {Optimizing test architecture of 3D stacked ICs for partial stack/complete stack using hard SoCs}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727114}, doi = {10.1109/IDT.2013.6727114}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/RoyGR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/RoyPRD13, author = {Pranab Roy and Mahua Raha Patra and Hafizur Rahaman and Parthasarathi Dasgupta}, title = {Novel designs of digital detection analyzer for intelligent detection and analysis in digital microfluidic biochips}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727133}, doi = {10.1109/IDT.2013.6727133}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/RoyPRD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/RoySGR13, author = {Surajit Kumar Roy and Joy Sankar Sengupta and Chandan Giri and Hafizur Rahaman}, title = {Power constraints test scheduling of 3D stacked ICs}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727115}, doi = {10.1109/IDT.2013.6727115}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/RoySGR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/RussoFIRRB13, author = {Ludovico Orlando Russo and Giuseppe Airo Farulla and Marco Indaco and Stefano Rosa and Daniele Rolfo and Basilio Bona}, title = {Blurring prediction in monocular {SLAM}}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727095}, doi = {10.1109/IDT.2013.6727095}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/RussoFIRRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaadJNA13, author = {Montassar Ben Saad and Ahmed Jedidi and Sma{\"{\i}}l Niar and Mohamed Abid}, title = {Compilation optimization exploration for thermal dissipation reduction in embedded systems}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727121}, doi = {10.1109/IDT.2013.6727121}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaadJNA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SabenaRSRC13, author = {Davide Sabena and Matteo Sonza Reorda and Luca Sterpone and Paolo Rech and Luigi Carro}, title = {On the evaluation of soft-errors detection techniques for GPGPUs}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727092}, doi = {10.1109/IDT.2013.6727092}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SabenaRSRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SahraouiGBG13, author = {Fouad Sahraoui and Fakhreddine Ghaffari and Mohamed El Amine Benkhelifa and Bertrand Granado}, title = {An efficient BER-based reliability method for SRAM-based {FPGA}}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727129}, doi = {10.1109/IDT.2013.6727129}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SahraouiGBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Salah13, author = {Khaled Salah}, title = {A TSV-based architecture for {AC-DC} converters}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727096}, doi = {10.1109/IDT.2013.6727096}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Salah13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Salah13a, author = {Khaled Salah}, title = {Performance comparison between air-gap based coaxial {TSV} and conventional circular {TSV} in 3D-ICs}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727102}, doi = {10.1109/IDT.2013.6727102}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Salah13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SalehM13, author = {Hani H. Saleh and Baker S. Mohammad}, title = {Universal fused floating-point dot-product unit {(UFDP)}}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727146}, doi = {10.1109/IDT.2013.6727146}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SalehM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SalehMS13, author = {Hani H. Saleh and Baker S. Mohammad and Earl E. Swartzlander Jr.}, title = {The optimum Booth radix for low power integer multipliers}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727119}, doi = {10.1109/IDT.2013.6727119}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SalehMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SaloniGS13, author = {Saloni and Manish Goswami and B. R. Singh}, title = {A 5-bit 1.5 GS/s {ADC} using reduced comparator architecture}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727113}, doi = {10.1109/IDT.2013.6727113}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SaloniGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SamirKSARAS13, author = {Omar Samir and Moustafa Kassem and Mohammed Sameh and Sarah Aly and Mohamed R. M. Rizk and Mohamed Abdelsalam and Ashraf Salem}, title = {A novel approach for functional verification of memory protocol standard}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727111}, doi = {10.1109/IDT.2013.6727111}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SamirKSARAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SchwalkeWK13, author = {Udo Schwalke and Frank Wessely and Tillmann Krauss}, title = {Simulation and experimental verification: Dopant-free Si-nanowire {CMOS} technology on silicon-on-insulator material}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727098}, doi = {10.1109/IDT.2013.6727098}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SchwalkeWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SibliniBSFN13, author = {Ali Siblini and Elias Baaklini and Hassan Sbeity and Ahmad Fadlallah and Sma{\"{\i}}l Niar}, title = {Efficient {FPGA} implementation of {H.264} {CAVLC} entropy decoder}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727116}, doi = {10.1109/IDT.2013.6727116}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SibliniBSFN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SoekenD13, author = {Mathias Soeken and Rolf Drechsler}, title = {Grammar-based program generation based on model finding}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727084}, doi = {10.1109/IDT.2013.6727084}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SoekenD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TaouilLH13, author = {Mottaqiallah Taouil and Mihai Lefter and Said Hamdioui}, title = {Exploring test opportunities for memory and interconnects in 3D ICs}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727132}, doi = {10.1109/IDT.2013.6727132}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TaouilLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/VoyiatzisES13, author = {Ioannis Voyiatzis and Costas Efstathiou and Cleo Sgouropoulou}, title = {Transparent testing for intra-word memory faults}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727144}, doi = {10.1109/IDT.2013.6727144}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/VoyiatzisES13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/VoyiatzisNMHSE13, author = {Ioannis Voyiatzis and Stelios Neophytou and Maria K. Michael and Stavros Hadjitheophanous and Cleo Sgouropoulou and Costas Efstathiou}, title = {Test set embedding into accumulator-generated sequences targeting hard-to-detect faults}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727147}, doi = {10.1109/IDT.2013.6727147}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/VoyiatzisNMHSE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/WangLC13, author = {Zheng Wang and Renlin Li and Anupam Chattopadhyay}, title = {Opportunistic redundancy for improving reliability of embedded processors}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727090}, doi = {10.1109/IDT.2013.6727090}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/WangLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/YamaniBBM13, author = {Jaber Hassan J. Al Yamani and Farid Boussa{\"{\i}}d and Amine Bermak and Dominique Martinez}, title = {Experimental evaluation of latency coding for gas recognition}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727123}, doi = {10.1109/IDT.2013.6727123}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/YamaniBBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ZafarianFGS13, author = {Amin Zafarian and Iraj Kalali Fard and Abbas Golmakani and Jalil Shirazi}, title = {A 0.4V 790{\(\mu\)}w {CMOS} low noise amplifier in sub-threshold region at 1.5GHz}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727104}, doi = {10.1109/IDT.2013.6727104}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ZafarianFGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ZimoucheNFR13, author = {Hakim Zimouche and Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {A {BIST} method for TSVs pre-bond test}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727081}, doi = {10.1109/IDT.2013.6727081}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ZimoucheNFR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/idt/2013, title = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6717187/proceeding}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Abbas11, author = {Mohamed Abbas}, title = {Fault detection and diagnoses methodology for adaptive digitally-calibrated pipelined ADCs}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {30--35}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123097}, doi = {10.1109/IDT.2011.6123097}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/idt/Abbas11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AbdulghanySCM11, author = {Ahmad Abdulghany and Rami Fathy Salem and Luigi Capodieci and Shobhit Malik}, title = {Yield enhancement flow for analog and full custom designs reliability-rules automatic application}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {74--77}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123105}, doi = {10.1109/IDT.2011.6123105}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AbdulghanySCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AghaeePE11, author = {Nima Aghaee and Zebo Peng and Petru Eles}, title = {Process-variation and temperature aware soc test scheduling using particle swarm optimization}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123092}, doi = {10.1109/IDT.2011.6123092}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AghaeePE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AzizaBPM11, author = {Hassen Aziza and Marc Bocquet and Jean{-}Michel Portal and Christophe Muller}, title = {Bipolar OxRRAM memory array reliability evaluation based on fault injection}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {78--81}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123106}, doi = {10.1109/IDT.2011.6123106}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AzizaBPM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/BezniaBE11, author = {Kamel Beznia and Ahc{\`{e}}ne Bounceur and Reinhardt Euler}, title = {Analog performance prediction based on archimedean copulas generation algorithm}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {18--23}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123095}, doi = {10.1109/IDT.2011.6123095}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/BezniaBE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/CarloGIRP11, author = {Stefano Di Carlo and Giulio Gambardella and Marco Indaco and Daniele Rolfo and Paolo Prinetto}, title = {Validation {\&} Verification of an {EDA} automated synthesis tool}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {48--52}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123100}, doi = {10.1109/IDT.2011.6123100}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/CarloGIRP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/CarloGIRTP11, author = {Stefano Di Carlo and Giulio Gambardella and Marco Indaco and Daniele Rolfo and Gabriele Tiotto and Paolo Prinetto}, title = {An area-efficient 2-D convolution implementation on {FPGA} for space applications}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {88--92}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123108}, doi = {10.1109/IDT.2011.6123108}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/CarloGIRTP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Ezz-EldinER11, author = {Rabab Ezz{-}Eldin and Magdy A. El{-}Moursy and Amr M. Refaat}, title = {Novel Adaptive Virtual Channels technique for NoC switch}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {7--11}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123093}, doi = {10.1109/IDT.2011.6123093}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/Ezz-EldinER11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GholamipourPKDE11, author = {Amir Hossein Gholamipour and Kyprianos Papadimitriou and Fadi J. Kurdahi and Apostolos Dollas and Ahmed M. Eltawil}, title = {Area, reconfiguration delay and reliability trade-offs in designing reliable multi-mode {FIR} filters}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {82--87}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123107}, doi = {10.1109/IDT.2011.6123107}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/GholamipourPKDE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GoldmanMB11, author = {Richard Goldman and Vazgen Melikyan and Eduard Babayan}, title = {Digital circuits verification with consideration of destabilizing factors}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {93--98}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123109}, doi = {10.1109/IDT.2011.6123109}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/GoldmanMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HamiehMOCK11, author = {Layla Hamieh and Nader Mehdi and Ghazalah Omeirat and Ali Chehab and Ayman I. Kayssi}, title = {The effectiveness of delay and {IDDT} tests in detecting resistive open defects for nanometer {CMOS} adder circuits}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {53--57}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123101}, doi = {10.1109/IDT.2011.6123101}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/HamiehMOCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ImranAG11, author = {Muhammad Imran and Zaid Al{-}Ars and Georgi Gaydadjiev}, title = {4-D parity codes for soft error correction in aerospace applications}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {104--109}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123111}, doi = {10.1109/IDT.2011.6123111}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ImranAG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KhanH11, author = {Seyab Khan and Said Hamdioui}, title = {ReverseAge: An online {NBTI} combating technique using time borrowing}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {36--41}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123098}, doi = {10.1109/IDT.2011.6123098}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KhanH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KoyagiMFS11, author = {Tatsuya Koyagi and Sohaib Majzoub and Masahiro Fukui and Resve A. Saleh}, title = {{RTL} delay macro-modeling with Vt and Vdd variability}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {118--123}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123114}, doi = {10.1109/IDT.2011.6123114}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/KoyagiMFS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MohamedRIR11, author = {Khaled Mohamed and Alaa B. El{-}Rouby and Yehea I. Ismail and Hani F. Ragai}, title = {Body contact based {TSV} equalizer}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {114--117}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123113}, doi = {10.1109/IDT.2011.6123113}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MohamedRIR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MushtaqAB11, author = {Hamid Mushtaq and Zaid Al{-}Ars and Koen Bertels}, title = {Survey of fault tolerance techniques for shared memory multicore/multiprocessor systems}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {12--17}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123094}, doi = {10.1109/IDT.2011.6123094}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/MushtaqAB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ReehalI11, author = {Gursharan Reehal and Mohammed Ismail}, title = {Layout-aware high performance interconnects for Network-on-Chip design in deep nanometer technologies}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {58--61}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123102}, doi = {10.1109/IDT.2011.6123102}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/ReehalI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/RibasRI11, author = {Renato P. Ribas and Andr{\'{e}} In{\'{a}}cio Reis and Andr{\'{e}} Ivanov}, title = {Performance and functional test of flip-flops using ring oscillator structure}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {42--47}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123099}, doi = {10.1109/IDT.2011.6123099}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/RibasRI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SakrDZ11, author = {Khaled Sakr and Mohamed Dessouky and Abd{-}El Halim Zekry}, title = {Design of tunable continuous-time quadrature bandpass delta-sigma modulators}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {99--103}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123110}, doi = {10.1109/IDT.2011.6123110}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SakrDZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SalemAHEEDNA11, author = {Rami Fathy Salem and Ahmed Arafa and Sherif Hany and Abdelrahman ElMously and Haitham Eissa and Mohamed Dessouky and David Nairn and Mohab H. Anis}, title = {An electrical-aware parametric {DFM} solution for analog circuits}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {68--73}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123104}, doi = {10.1109/IDT.2011.6123104}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SalemAHEEDNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TaouilHM11, author = {Mottaqiallah Taouil and Said Hamdioui and Erik Jan Marinissen}, title = {On modeling and optimizing cost in 3D Stacked-ICs}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {24--29}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123096}, doi = {10.1109/IDT.2011.6123096}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/TaouilHM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TranKEA11, author = {Le{-}Nguyen Tran and Fadi J. Kurdahi and Ahmed M. Eltawil and Abdullah Aljumah}, title = {Adjustable supply voltages and refresh cycle for process variations, temperature changes, and device degradation adaptation in 1T1C embedded {DRAM}}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {124--129}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123115}, doi = {10.1109/IDT.2011.6123115}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/TranKEA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/WangBB11, author = {Yan Wang and Amine Bermak and Farid Boussa{\"{\i}}d}, title = {Reduced dimension Vector Quantization encoding method for image compression}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {110--113}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123112}, doi = {10.1109/IDT.2011.6123112}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/WangBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/YoussefJDIL11, author = {Stephanie Youssef and Farakh Javid and Damien Dupuis and Ramy Iskander and Marie{-}Minerve Lou{\"{e}}rat}, title = {A Python-based layout-aware analog design methodology for nanometric technologies}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {62--67}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123103}, doi = {10.1109/IDT.2011.6123103}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/YoussefJDIL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/idt/2011, title = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6111737/proceeding}, isbn = {978-1-4673-0468-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Abdel-AzizAWA10, author = {Hamzah A. Abdel{-}Aziz and Mostafa M. Abdel{-}Aziz and Amr G. Wassal and Ahmed A. Abou{-}Auf}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Worst-case test vectors generation using genetic algorithms for the detection of total-dose induced leakage current failures}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {117--121}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724421}, doi = {10.1109/IDT.2010.5724421}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/idt/Abdel-AzizAWA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AlmaaitahA10, author = {Abdallah Y. Alma'aitah and Zine{-}Eddine Abid}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Area efficient-high throughput sub-pipelined design of the {AES} in {CMOS} 180nm}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {31--36}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724403}, doi = {10.1109/IDT.2010.5724403}, timestamp = {Fri, 26 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AlmaaitahA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AlmukhaizimBS10, author = {Sobeeh Almukhaizim and Sara Bunian and Ozgur Sinanoglu}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Reconfigurable low-power Concurrent Error Detection in logic circuits}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {91--96}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724415}, doi = {10.1109/IDT.2010.5724415}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/AlmukhaizimBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AlmukhaizimoA10, author = {Sobeeh Almukhaizim and Mohammad Gh. Mohammad and Eman AlQuraishi}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Cost-free low-power test in compression-based reconfigurable scan designs}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {78--82}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724412}, doi = {10.1109/IDT.2010.5724412}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AlmukhaizimoA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AskariN10, author = {Syed Askari and Mehrdad Nourani}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {A design for reliability methodology based on selective overdesign}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {73--77}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724411}, doi = {10.1109/IDT.2010.5724411}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AskariN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/AttiaCZNT10, author = {Brahim Attia and Wissem Chouchene and Abdelkrim Zitouni and Abid Nourdin and Rached Tourki}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Design and implementation of low latency network interface for network on chip}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {37--42}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724404}, doi = {10.1109/IDT.2010.5724404}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/AttiaCZNT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/DammakBA10, author = {Bouthaina Damak and Mouna Baklouti and Mohamed Abid}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Soft-core reduction methodology for {SIMD} architecture: {OPENRISC} case study}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {43--48}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724405}, doi = {10.1109/IDT.2010.5724405}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/DammakBA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ElazmEEDS10, author = {Lamiaa A. Elazm and Magdy A. El{-}Moursy and Hamed Elsimary and Moawad I. Dessouky and Farid Shawki}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {High speed low power composite field {SBOX}}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {24--27}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724400}, doi = {10.1109/IDT.2010.5724400}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/ElazmEEDS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GoorH10, author = {Ad J. van de Goor and Said Hamdioui}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {{MBIST} architecture framework based on orthogonal constructs}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {128--133}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724423}, doi = {10.1109/IDT.2010.5724423}, timestamp = {Mon, 27 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/GoorH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HaronHA10, author = {Nor Zaidi Haron and Said Hamdioui and Zaiyan Ahyadi}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {{ECC} design for fault-tolerant crossbar memories: {A} case study}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {61--66}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724409}, doi = {10.1109/IDT.2010.5724409}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HaronHA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HasanATB10, author = {Laiq Hasan and Zaid Al{-}Ars and Mottaqiallah Taouil and Koen Bertels}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Performance and bandwidth optimization for biological sequence alignment}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {155--160}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724429}, doi = {10.1109/IDT.2010.5724429}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HasanATB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/HassanTW10, author = {Samah Hassan and Mohamed Taher and Ayman M. Wahba}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Mapping {SMV} models to event-B models}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {161--166}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724430}, doi = {10.1109/IDT.2010.5724430}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/HassanTW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/IbrahimBB10, author = {Walid Ibrahim and Valeriu Beiu and Azam Beg}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {On {NOR-2} von Neumann multiplexing}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {67--72}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724410}, doi = {10.1109/IDT.2010.5724410}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/IbrahimBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/IrobiAR10, author = {Sandra Irobi and Zaid Al{-}Ars and Michel Renovell}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Parasitic memory effect in {CMOS} SRAMs}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {134--139}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724424}, doi = {10.1109/IDT.2010.5724424}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/IrobiAR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/KtataGGA10, author = {Ismail Ktata and Fakhreddine Ghaffari and Bertrand Granado and Mohamed Abid}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Prediction performance method for dynamic task scheduling, case study: the {OLLAF} Architecture}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {97--102}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724416}, doi = {10.1109/IDT.2010.5724416}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/KtataGGA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/MaTSA10, author = {Junxia Ma and Mohammad Tehranipoor and Ozgur Sinanoglu and Sobeeh Almukhaizim}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Identification of IR-drop hot-spots in defective power distribution network using {TDF} {ATPG}}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {122--127}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724422}, doi = {10.1109/IDT.2010.5724422}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/MaTSA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Majzoub10, author = {Sohaib Majzoub}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Voltage island design in multi-core {SIMD} processors}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {18--23}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724399}, doi = {10.1109/IDT.2010.5724399}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/Majzoub10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SafarSES10, author = {Mona Safar and Mohamed Shalan and M. Watheq El{-}Kharashi and Ashraf Salem}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {A novel conflict directed jumping algorithm for hardware-based {SAT} solvers}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {103--108}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724417}, doi = {10.1109/IDT.2010.5724417}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SafarSES10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SalemEEDA10, author = {Rami F. Salem and Abdelrahman ElMously and Haitham Eissa and Mohamed Dessouky and Mohab H. Anis}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {A {DFM} tool for analyzing lithography and stress effects on standard cells and critical path performance in 45nm digital designs}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {13--17}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724398}, doi = {10.1109/IDT.2010.5724398}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SalemEEDA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SameerME10, author = {Romany Sameer and Ahmed Nader Mohieldin and Haitham Eissa}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {An automated design methodology for stress avoidance in analog {\&} mixed signal designs}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {3--7}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724396}, doi = {10.1109/IDT.2010.5724396}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SameerME10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/SoekenWD10, author = {Mathias Soeken and Robert Wille and Rolf Drechsler}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Hierarchical synthesis of reversible circuits using positive and negative Davio decomposition}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {143--148}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724427}, doi = {10.1109/IDT.2010.5724427}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/SoekenWD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Soudan10, author = {Bassel Soudan}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Improving timing characteristics through Semi-Random Net Reordering}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {8--12}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724397}, doi = {10.1109/IDT.2010.5724397}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/Soudan10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TurkiAMM10, author = {Mariem Turki and Mohamed Abid and Zied Marrakchi and Habib Mehrez}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {Routability driven placement for mesh-based {FPGA} architecture}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {85--90}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724414}, doi = {10.1109/IDT.2010.5724414}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/TurkiAMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/ZhangWD10, author = {Hongyan Zhang and Robert Wille and Rolf Drechsler}, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {SAT-based {ATPG} for reversible circuits}, booktitle = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, pages = {149--154}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IDT.2010.5724428}, doi = {10.1109/IDT.2010.5724428}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/ZhangWD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/idt/2010, editor = {Yervant Zorian and Imtinan Elahi and Andr{\'{e}} Ivanov and Ashraf Salem}, title = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi, UAE, 14-15 December 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5720574/proceeding}, isbn = {978-1-61284-291-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idt/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.