default search action
Search dblp for Publications
export results for "stream:conf/ics:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/ics/0001HLJ24, author = {Jianping Zeng and Shaoyu Huang and Jiuyang Liu and Changhee Jung}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Soft Error Resilience at Near-Zero Cost}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {176--187}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656605}, doi = {10.1145/3650200.3656605}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0001HLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0001SLFY024, author = {Keren Zhou and Karthik Ganapathi Subramanian and Po{-}Hsun Lin and Matthias Fey and Binqian Yin and Jiajia Li}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{FASTEN:} Fast GPU-accelerated Segmented Matrix Multiplication for Heterogenous Graph Neural Networks}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {511--524}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656593}, doi = {10.1145/3650200.3656593}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0001SLFY024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BabaladSTG24, author = {Shilpa Babalad and Shirish K. Shevade and Matthew Jacob Thazhuthaveetil and R. Govindarajan}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Tile Size and Loop Order Selection using Machine Learning for Multi-/Many-Core Architectures}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {388--399}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656630}, doi = {10.1145/3650200.3656630}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BabaladSTG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BrockBY24, author = {Benjamin Brock and Aydin Bulu{\c{c}} and Katherine A. Yelick}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {RDMA-Based Algorithms for Sparse Matrix Multiplication on GPUs}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {225--235}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656623}, doi = {10.1145/3650200.3656623}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BrockBY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BrockCBKKNSSM24, author = {Benjamin Brock and Robert Cohn and Suyash Bakshi and Tuomas Karna and Jeongnim Kim and Mateusz Nowak and Lukasz Slusarczyk and Kacper Stefanski and Timothy G. Mattson}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Distributed Ranges: {A} Model for Distributed Data Structures, Algorithms, and Views}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {236--246}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656632}, doi = {10.1145/3650200.3656632}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BrockCBKKNSSM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChaulagainY24, author = {Ram Sharan Chaulagain and Xin Yuan}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Enhanced {UGAL} Routing Schemes for Dragonfly Networks}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {449--459}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656602}, doi = {10.1145/3650200.3656602}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChaulagainY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenEKC24, author = {Alexandre Chen and Brittany A. Erickson and Jeremy E. Kozdon and Jee Choi}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Matrix-free {SBP-SAT} finite difference methods and the multigrid preconditioner on GPUs}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {400--412}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656614}, doi = {10.1145/3650200.3656614}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenEKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CooperS024, author = {Bennett Cooper and Thomas R. W. Scogland and Rong Ge}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Shared Virtual Memory: Its Design and Performance Implications for Diverse Applications}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {26--37}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656608}, doi = {10.1145/3650200.3656608}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CooperS024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Du0ZY24, author = {Yubo Du and Yanan Guo and Youtao Zhang and Jun Yang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{RTT-UAF:} Reuse Time Tracking for Use-After-Free Detection}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {376--387}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656606}, doi = {10.1145/3650200.3656606}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Du0ZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FengWZLLL24, author = {Yelai Feng and Huaixi Wang and Yining Zhu and Xiandong Liu and Hongyi Lu and Qing Liu}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{DAWN:} Matrix Operation-Optimized Algorithm for Shortest Paths Problem on Unweighted Graphs}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {1--13}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656600}, doi = {10.1145/3650200.3656600}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FengWZLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FuYDS24, author = {Xiao Fu and Weiling Yang and Dezun Dong and Xing Su}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Optimizing Attention by Exploiting Data Reuse on {ARM} Multi-core CPUs}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {137--149}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656620}, doi = {10.1145/3650200.3656620}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FuYDS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GaoZHGS0024, author = {Wei Gao and Xu Zhang and Shan Huang and Shangwei Guo and Peng Sun and Yonggang Wen and Tianwei Zhang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {AutoSched: An Adaptive Self-configured Framework for Scheduling Deep Learning Training Workloads}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {473--484}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656598}, doi = {10.1145/3650200.3656598}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GaoZHGS0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GaoZLS0024, author = {Wei Gao and Weiming Zhuang and Minghao Li and Peng Sun and Yonggang Wen and Tianwei Zhang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Ymir: {A} Scheduler for Foundation Model Fine-tuning Workloads in Datacenters}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {259--271}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656599}, doi = {10.1145/3650200.3656599}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GaoZLS0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GengLZ24, author = {Liang Geng and Rubao Lee and Xiaodong Zhang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {RayJoin: Fast and Precise Spatial Join}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {124--136}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656610}, doi = {10.1145/3650200.3656610}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GengLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Haghi0GWL0SGH24, author = {Pouya Haghi and Cheng Tan and Anqi Guo and Chunshu Wu and Dongfang Liu and Ang Li and Anthony Skjellum and Tong Geng and Martin C. Herbordt}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {SmartFuse: Reconfigurable Smart Switches to Accelerate Fused Collectives in {HPC} Applications}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {413--425}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656616}, doi = {10.1145/3650200.3656616}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Haghi0GWL0SGH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HidayetogluGSLZ24, author = {Mert Hidayetoglu and Simon Garcia De Gonzalo and Elliott Slaughter and Yu Li and Christopher Zimmer and Tekin Bicer and Bin Ren and William Gropp and Wen{-}Mei Hwu and Alex Aiken}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {CommBench: Micro-Benchmarking Hierarchical Networks with Multi-GPU, Multi-NIC Nodes}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {426--436}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656591}, doi = {10.1145/3650200.3656591}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HidayetogluGSLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuangCZ24, author = {Hanxian Huang and Xin Chen and Jishen Zhao}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Fasor: {A} Fast Tensor Program Optimization Framework for Efficient {DNN} Deployment}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {498--510}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656631}, doi = {10.1145/3650200.3656631}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuangCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuangD0ZLHRZ0LC24, author = {Jiajun Huang and Sheng Di and Xiaodong Yu and Yujia Zhai and Jinyang Liu and Yafan Huang and Ken Raffenetti and Hui Zhou and Kai Zhao and Xiaoyi Lu and Zizhong Chen and Franck Cappello and Yanfei Guo and Rajeev Thakur}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {gZCCL: Compression-Accelerated Collective Communication Framework for {GPU} Clusters}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {437--448}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656636}, doi = {10.1145/3650200.3656636}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuangD0ZLHRZ0LC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IssaSTBSU24, author = {Mohammad Kefah Taha Issa and Muhammad Aditya Sasongko and Ilyas Turimbetov and Javid Baydamirli and Dogan Sagbili and Didem Unat}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Snoopie: {A} Multi-GPU Communication Profiler and Visualizer}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {525--536}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656597}, doi = {10.1145/3650200.3656597}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/IssaSTBSU24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Khadirsharbiyani24, author = {Soheil Khadirsharbiyani and Movahhed Sadeghi and Mostafa Eghbali Zarch and Mahmut Taylan Kandemir}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Minimizing Coherence Errors via Dynamic Decoupling}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {164--175}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656617}, doi = {10.1145/3650200.3656617}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Khadirsharbiyani24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LaiZPZ24, author = {Chengtao Lai and Zhongchun Zhou and Akash Poptani and Wei Zhang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{LCM:} LLM-focused Hybrid SPM-cache Architecture with Cache Management for Multi-Core {AI} Accelerators}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {62--73}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656592}, doi = {10.1145/3650200.3656592}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LaiZPZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeeBKJLM24, author = {Juhyeon Lee and Insung Bahk and Hoseung Kim and Sinjin Jeong and Suyeon Lee and Donghyun Min}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {An Autonomous Parallelization of Transformer Model Inference on Heterogeneous Edge Devices}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {50--61}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656628}, doi = {10.1145/3650200.3656628}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LeeBKJLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiLJLFZX24, author = {Xianbin Li and Yinyi Liu and Fan Jiang and Chengeng Li and Yuxiang Fu and Wei Zhang and Jiang Xu}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{NEOCNN:} NTT-Enabled Optical Convolution Neural Network Accelerator}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {352--362}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656609}, doi = {10.1145/3650200.3656609}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiLJLFZX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiLXKS0XDDWLF24, author = {Zhengang Li and Alec Lu and Yanyue Xie and Zhenglun Kong and Mengshu Sun and Hao Tang and Zhong Jia Xue and Peiyan Dong and Caiwen Ding and Yanzhi Wang and Xue Lin and Zhenman Fang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Quasar-ViT: Hardware-Oriented Quantization-Aware Architecture Search for Vision Transformers}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {324--337}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656622}, doi = {10.1145/3650200.3656622}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiLXKS0XDDWLF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiXSS24, author = {Chendi Li and Yufan Xu and Sina Mahdipour Saravani and Ponnuswamy Sadayappan}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Accelerated Auto-Tuning of {GPU} Kernels for Tensor Computations}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {549--561}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656626}, doi = {10.1145/3650200.3656626}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiXSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiXZLSMWPT24, author = {Mingyi Li and Junmin Xiao and Kewei Zhang and Zhiheng Lin and Chaoyang Shui and Ke Meng and Zehua Wang and Yunfei Pang and Guangming Tan}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {A Coordinated Strategy for {GNN} Combining Computational Graph and Operator Optimizations}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {460--472}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3661896}, doi = {10.1145/3650200.3661896}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiXZLSMWPT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiZZWLC24, author = {Yifei Li and Bole Zhou and Jiejing Zhang and Xuechao Wei and Yinghan Li and Yingda Chen}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {RadiK: Scalable and Optimized GPU-Parallel Radix Top-K Selection}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {537--548}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656596}, doi = {10.1145/3650200.3656596}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiZZWLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MandarapuNP024, author = {Durga Keerthi Mandarapu and Vani Nagarajan and Artem Pelenitsyn and Milind Kulkarni}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Arkade: k-Nearest Neighbor Search With Non-Euclidean Distances using {GPU} Ray Tracing}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {14--25}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656601}, doi = {10.1145/3650200.3656601}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MandarapuNP024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/McGowenDDB24, author = {Justin McGowen and Ismet Dagli and Neil T. Dantam and Mehmet E. Belviranli}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Scheduling for Cyber-Physical Systems with Heterogeneous Processing Units under Real-World Constraints}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {298--311}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656625}, doi = {10.1145/3650200.3656625}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/McGowenDDB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MiaoLR24, author = {Dolores Miao and Ignacio Laguna and Cindy Rubio{-}Gonz{\'{a}}lez}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Input Range Generation for Compiler-Induced Numerical Inconsistencies}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {201--212}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656618}, doi = {10.1145/3650200.3656618}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MiaoLR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MuG0P24, author = {Baorun Mu and Christina Giannoula and Shang Wang and Gennady Pekhimenko}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Sylva: Sparse Embedded Adapters via Hierarchical Approximate Second-Order Information}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {485--497}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656619}, doi = {10.1145/3650200.3656619}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MuG0P24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NeffZMHTKB24, author = {Reece Neff and Mostafa Eghbali Zarch and Marco Minutoli and Mahantesh Halappanavar and Antonino Tumeo and Ananth Kalyanaraman and Michela Becchi}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {FuseIM: Fusing Probabilistic Traversals for Influence Maximization on Exascale Systems}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {38--49}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656621}, doi = {10.1145/3650200.3656621}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NeffZMHTKB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OlesSOSSE24, author = {Vladyslav Oles and Anna Schmedding and George Ostrouchov and Woong Shin and Evgenia Smirni and Christian Engelmann}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Understanding {GPU} Memory Corruption at Extreme Scale: The Summit Case Study}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {188--200}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656615}, doi = {10.1145/3650200.3656615}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OlesSOSSE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PalauTAC24, author = {Francesc Mart{\'{\i}}nez Palau and Mart{\'{\i}} Torrents and Adri{\`{a}} Armejach and Marc Casas}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Exploiting Vector Code Semantics for Efficient Data Cache Prefetching}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {98--109}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656635}, doi = {10.1145/3650200.3656635}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PalauTAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PetitLE24, author = {Quentin R. Petit and Chong Li and Nahid Emad}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {An Efficient and Scalable Approach to Build Co-occurrence Matrix for DNN's Embedding Layer}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {286--297}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656629}, doi = {10.1145/3650200.3656629}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PetitLE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PlesnerSH24, author = {Andreas Plesner and Hans Henrik Brandenborg S{\o}rensen and S{\o}ren Hauberg}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Accurate Computation of the Logarithm of Modified Bessel Functions on GPUs}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {213--224}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656624}, doi = {10.1145/3650200.3656624}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PlesnerSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShaoAS24, author = {Qi Shao and Angelos Arelakis and Per Stenstr{\"{o}}m}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {HMComp: Extending Near-Memory Capacity using Compression in Hybrid Memory}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {74--84}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656612}, doi = {10.1145/3650200.3656612}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ShaoAS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SooriPCF24, author = {Raveendra Soori and Shreyas Prabhu and Harpreet Singh Chawla and Michael Ferdman}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {NUCAlloc: Fine-Grained Block Placement in Hashed Last-Level {NUCA} Caches}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {85--97}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656604}, doi = {10.1145/3650200.3656604}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SooriPCF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/StehleVZAF24, author = {Franz Kevin Stehle and Wainer Vandelli and Felix Zahn and Giuseppe Avolio and Holger Fr{\"{o}}ning}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {DeepHYDRA: {A} Hybrid Deep Learning and DBSCAN-Based Approach to Time-Series Anomaly Detection in Dynamically-Configured Systems}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {272--285}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656637}, doi = {10.1145/3650200.3656637}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/StehleVZAF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TarancoA024, author = {Ra{\'{u}}l Taranco and Jos{\'{e}}{-}Mar{\'{\i}}a Arnau and Antonio Gonz{\'{a}}lez}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{SLIDEX:} {A} Novel Architecture for Sliding Window Processing}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {312--323}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656613}, doi = {10.1145/3650200.3656613}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TarancoA024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Vandierendonck24, author = {Hans Vandierendonck}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Differentiating Set Intersections in Maximal Clique Enumeration by Function and Subproblem Size}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {150--163}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656607}, doi = {10.1145/3650200.3656607}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Vandierendonck24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Vanecek024, author = {Stepan Vanecek and Martin Schulz}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {sys-sage: {A} Unified Representation of Dynamic Topologies {\&} Attributes on {HPC} Systems}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {363--375}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656627}, doi = {10.1145/3650200.3656627}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Vanecek024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuC0LMEMW24, author = {Du Wu and Peng Chen and Xiao Wang and Isaac Lyngaas and Takaaki Miyajima and Toshio Endo and Satoshi Matsuoka and Mohamed Wahib}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Real-time High-resolution X-Ray Computed Tomography}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {110--123}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656634}, doi = {10.1145/3650200.3656634}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuC0LMEMW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YunNK0KKLA24, author = {Sungmin Yun and Hwayong Nam and Kwanhee Kyung and Jaehyun Park and Byeongho Kim and Yongsuk Kwon and Eojin Lee and Jung Ho Ahn}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{CLAY:} CXL-based Scalable {NDP} Architecture Accelerating Embedding Layers}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {338--351}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656595}, doi = {10.1145/3650200.3656595}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YunNK0KKLA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaoYYMZWW24, author = {Wenxuan Zhao and Liang Yuan and Baicheng Yan and Penghao Ma and Yunquan Zhang and Long Wang and Zhe Wang}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Stencil Computation with Vector Outer Product}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {247--258}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656611}, doi = {10.1145/3650200.3656611}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhaoYYMZWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2024, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200}, doi = {10.1145/3650200}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0002KDLGMBCM23, author = {Gagandeep Singh and Alireza Khodamoradi and Kristof Denolf and Jack Lo and Juan G{\'{o}}mez{-}Luna and Joseph Melber and Andra Bisca and Henk Corporaal and Onur Mutlu}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{SPARTA:} Spatial Acceleration for Efficient and Scalable Horizontal Diffusion Weather Stencil Computation}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {463--476}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593719}, doi = {10.1145/3577193.3593719}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0002KDLGMBCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0006SSTSYSHT23, author = {Chengming Zhang and Shaden Smith and Baixi Sun and Jiannan Tian and Jonathan Soifer and Xiaodong Yu and Shuaiwen Leon Song and Yuxiong He and Dingwen Tao}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{HEAT:} {A} Highly Efficient and Affordable Training System for Collaborative Filtering Based Recommendation on CPUs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {324--335}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593717}, doi = {10.1145/3577193.3593717}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0006SSTSYSHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0036SMLS23, author = {Yu Chen and Lucca Skon and James R. McCombs and Zhenming Liu and Andreas Stathopoulos}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Parallel Software for Million-scale Exact Kernel Regression}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {313--323}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593737}, doi = {10.1145/3577193.3593737}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0036SMLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArifuzzamanA23, author = {Md. Arifuzzaman and Engin Arslan}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Use Only What You Need: Judicious Parallelism For File Transfers in High Performance Networks}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {122--132}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593722}, doi = {10.1145/3577193.3593722}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ArifuzzamanA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenJZLLZYL23, author = {Tun Chen and Haipeng Jia and Yunquan Zhang and Kun Li and Zhihao Li and Xiang Zhao and Jianyu Yao and Chendi Li}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {OpenFFT: An Adaptive Tuning Framework for 3D {FFT} on {ARM} Multicore CPUs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {398--409}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593735}, doi = {10.1145/3577193.3593735}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenJZLLZYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenSSC023, author = {Jou{-}An Chen and Hsin{-}Hsuan Sung and Xipeng Shen and Sutanay Choudhury and Ang Li}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {BitGNN: Unleashing the Performance Potential of Binary Graph Neural Networks on GPUs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {264--276}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593725}, doi = {10.1145/3577193.3593725}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenSSC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChirkovW23, author = {Grigory Chirkov and David Wentzlaff}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Seizing the Bandwidth Scaling of On-Package Interconnect in a Post-Moore's Law World}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {410--422}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593702}, doi = {10.1145/3577193.3593702}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChirkovW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Contini0STMAS023, author = {Nicholas Contini and Bharath Ramesh and Kaushik Kandadi Suresh and Tu Tran and Benjamin Michalowicz and Mustafa Abduljabbar and Hari Subramoni and Dhabaleswar K. Panda}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Enabling Reconfigurable {HPC} through MPI-based Inter-FPGA Communication}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {477--487}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593720}, doi = {10.1145/3577193.3593720}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Contini0STMAS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CopikBCH23, author = {Marcin Copik and Roman B{\"{o}}hringer and Alexandru Calotoiu and Torsten Hoefler}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{FMI:} Fast and Cheap Message Passing for Serverless Functions}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {373--385}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593718}, doi = {10.1145/3577193.3593718}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CopikBCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EswarCHKBVP23, author = {Srinivas Eswar and Benjamin Cobb and Koby Hayashi and Ramakrishnan Kannan and Grey Ballard and Richard W. Vuduc and Haesun Park}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Distributed-Memory Parallel JointNMF}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {301--312}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593733}, doi = {10.1145/3577193.3593733}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/EswarCHKBVP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FengDZL23, author = {Guangnan Feng and Dezun Dong and Shizhen Zhao and Yutong Lu}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{GRAP:} Group-level Resource Allocation Policy for Reconfigurable Dragonfly Network in {HPC}}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {437--449}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593732}, doi = {10.1145/3577193.3593732}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FengDZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GanWZSLDGLL23, author = {Xinbiao Gan and Guang Wu and Ruigeng Zeng and Jiaqi Si and Ji Liu and Daxiang Dong and Chunye Gong and Cong Liu and Tiejun Li}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {FT-topo: Architecture-Driven Folded-Triangle Partitioning for Communication-efficient Graph Processing}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {240--250}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593729}, doi = {10.1145/3577193.3593729}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GanWZSLDGLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuoHWHPSTLHG23, author = {Anqi Guo and Yuchen Hao and Chunshu Wu and Pouya Haghi and Zhenyu Pan and Min Si and Dingwen Tao and Ang Li and Martin C. Herbordt and Tong Geng}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Software-Hardware Co-design of Heterogeneous SmartNIC System for Recommendation Models Inference and Training}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {336--347}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593724}, doi = {10.1145/3577193.3593724}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GuoHWHPSTLHG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HaghiKTGCGGHWLS23, author = {Pouya Haghi and William Krska and Cheng Tan and Tong Geng and Po{-}Hao Chen and Connor Greenwood and Anqi Guo and Thomas M. Hines and Chunshu Wu and Ang Li and Anthony Skjellum and Martin C. Herbordt}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{FLASH:} FPGA-Accelerated Smart Switches with {GCN} Case Study}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {450--462}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593739}, doi = {10.1145/3577193.3593739}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HaghiKTGCGGHWLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IsmayilovBSWU23, author = {Ismayil Ismayilov and Javid Baydamirli and Dogan Sagbili and Mohamed Wahib and Didem Unat}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Multi-GPU Communication Schemes for Iterative Solvers: When CPUs are Not in Charge}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {192--202}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593713}, doi = {10.1145/3577193.3593713}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/IsmayilovBSWU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeiYYLQ23, author = {Kelun Lei and Xin You and Hailong Yang and Zhongzhi Luan and Depei Qian}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {BiRFIA: Selective Binary Rewriting for Function Interception on {ARM}}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {87--98}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593701}, doi = {10.1145/3577193.3593701}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LeiYYLQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LindquistLD23, author = {Neil Lindquist and Piotr Luszczek and Jack J. Dongarra}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Using Additive Modifications in {LU} Factorization Instead of Pivoting}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {14--24}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593731}, doi = {10.1145/3577193.3593731}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LindquistLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuDZ0CC23, author = {Jinyang Liu and Sheng Di and Kai Zhao and Xin Liang and Zizhong Chen and Franck Cappello}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{FAZ:} {A} flexible auto-tuned modular error-bounded compression framework for scientific data}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {1--13}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593721}, doi = {10.1145/3577193.3593721}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuDZ0CC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MadhyasthaUBN23, author = {Meghana Madhyastha and Robert Underwood and Randal C. Burns and Bogdan Nicolae}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {DStore: {A} Lightweight Scalable Learning Model Repository with Fine-Grain Tensor-Level Access}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {133--143}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593730}, doi = {10.1145/3577193.3593730}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MadhyasthaUBN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NagarajanM023, author = {Vani Nagarajan and Durga Mandarapu and Milind Kulkarni}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {RT-kNNS Unbound: Using {RT} Cores to Accelerate Unrestricted Neighbor Search}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {289--300}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593738}, doi = {10.1145/3577193.3593738}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NagarajanM023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Orenes-VeraSSJV23, author = {Marcelo Orenes{-}Vera and Ilya Sharapov and Robert Schreiber and Mathias Jacquelin and Philippe Vandermersch and Sharan Chetlur}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Wafer-Scale Fast Fourier Transforms}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {180--191}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593708}, doi = {10.1145/3577193.3593708}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Orenes-VeraSSJV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PangLL0YYZLZG23, author = {Pu Pang and Yaoxuan Li and Bo Liu and Quan Chen and Zhou Yu and Zhibin Yu and Deze Zeng and Jingwen Leng and Jieru Zhao and Minyi Guo}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{PAC:} Preference-Aware Co-location Scheduling on Heterogeneous {NUMA} Architectures To Improve Resource Utilization}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {75--86}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593709}, doi = {10.1145/3577193.3593709}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PangLL0YYZLZG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PathakSG23, author = {Maulein Pathak and Yogish Sabharwal and Neelima Gupta}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Scalable algorithms for compact spanners on real world graphs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {386--397}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593727}, doi = {10.1145/3577193.3593727}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PathakSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PhamTL23, author = {Minh Pham and Yicheng Tu and Xiaoyi Lv}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Accelerating {BWA-MEM} Read Mapping on GPUs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {155--166}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593703}, doi = {10.1145/3577193.3593703}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PhamTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RandallKVKWHH0B23, author = {Thomas Randall and Jaehoon Koo and Brice Videau and Michael Kruse and Xingfu Wu and Paul D. Hovland and Mary W. Hall and Rong Ge and Prasanna Balaprakash}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Transfer-learning-based Autotuning using Gaussian Copula}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {37--49}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593712}, doi = {10.1145/3577193.3593712}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RandallKVKWHH0B23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShahYDBC23, author = {Milan Shah and Xiaodong Yu and Sheng Di and Michela Becchi and Franck Cappello}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Lightweight Huffman Coding for Efficient {GPU} Compression}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {99--110}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593736}, doi = {10.1145/3577193.3593736}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ShahYDBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SinghRARHB23, author = {Siddharth Singh and Olatunji Ruwase and Ammar Ahmad Awan and Samyam Rajbhandari and Yuxiong He and Abhinav Bhatele}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {A Hybrid Tensor-Expert-Data Parallelism Approach to Optimize Mixture-of-Experts Training}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {203--214}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593704}, doi = {10.1145/3577193.3593704}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SinghRARHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TranSSMS23, author = {Han D. Tran and Siddharth Saurav and P. Sadayappan and Sandip Mazumder and Hari Sundar}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Scalable parallelization for the solution of phonon Boltzmann Transport Equation}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {215--226}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593723}, doi = {10.1145/3577193.3593723}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TranSSMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TrumperBSCH23, author = {Lukas Tr{\"{u}}mper and Tal Ben{-}Nun and Philipp Schaad and Alexandru Calotoiu and Torsten Hoefler}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Performance Embeddings: {A} Similarity-Based Transfer Tuning Approach to Performance Optimization}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {50--62}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593714}, doi = {10.1145/3577193.3593714}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TrumperBSCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangDLMWL23, author = {Ruiqi Wang and Dezun Dong and Fei Lei and Junchao Ma and Ke Wu and Kai Lu}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Roar: {A} Router Microarchitecture for In-network Allreduce}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {423--436}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593711}, doi = {10.1145/3577193.3593711}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangDLMWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WenGLWJZ23, author = {Xu Wen and Wanling Gao and Anzheng Li and Lei Wang and Zihan Jiang and Jianfeng Zhan}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {CMLCompiler: {A} Unified Compiler for Classical Machine Learning}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {63--74}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593710}, doi = {10.1145/3577193.3593710}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WenGLWJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuZLHJWC23, author = {Shixun Wu and Yujia Zhai and Jinyang Liu and Jiajun Huang and Zizhe Jian and Bryan M. Wong and Zizhong Chen}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Anatomy of High-Performance {GEMM} with Online Fault Tolerance on GPUs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {360--372}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593715}, doi = {10.1145/3577193.3593715}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuZLHJWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XiaoX0LPW23, author = {Jun Xiao and Yaocheng Xiang and Xiaolin Wang and Yingwei Luo and Andy D. Pimentel and Zhenlin Wang}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{FLORIA:} {A} Fast and Featherlight Approach for Predicting Cache Performance}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {25--36}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593740}, doi = {10.1145/3577193.3593740}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XiaoX0LPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XuZG23, author = {RuQing G. Xu and Field G. Van Zee and Robert A. van de Geijn}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Towards a Unified Implementation of {GEMM} in {BLIS}}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {111--121}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593707}, doi = {10.1145/3577193.3593707}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XuZG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YangLWHT23, author = {Shaofeng Yang and Xiandong Liu and Yunting Wang and Xin He and Guangming Tan}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Fast All-Pairs Shortest Paths Algorithm in Large Sparse Graph}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {277--288}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593728}, doi = {10.1145/3577193.3593728}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YangLWHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YangLYDHW23, author = {Xiaojian Yang and Shengguo Li and Fan Yuan and Dezun Dong and Chun Huang and Zheng Wang}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Optimizing Multi-grid Computation and Parallelization on Multi-cores}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {227--239}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593726}, doi = {10.1145/3577193.3593726}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YangLYDHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangTDYSTC23, author = {Boyuan Zhang and Jiannan Tian and Sheng Di and Xiaodong Yu and Martin Swany and Dingwen Tao and Franck Cappello}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{GPULZ:} Optimizing {LZSS} Lossless Compression for Multi-byte Data on Modern GPUs}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {348--359}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593706}, doi = {10.1145/3577193.3593706}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangTDYSTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangWCMWEM23, author = {Lingqi Zhang and Mohamed Wahib and Peng Chen and Jintao Meng and Xiao Wang and Toshio Endo and Satoshi Matsuoka}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{PERKS:} a Locality-Optimized Execution Model for Iterative Memory-bound {GPU} Applications}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {167--179}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593705}, doi = {10.1145/3577193.3593705}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangWCMWEM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangWCMWEM23a, author = {Lingqi Zhang and Mohamed Wahib and Peng Chen and Jintao Meng and Xiao Wang and Toshio Endo and Satoshi Matsuoka}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Revisiting Temporal Blocking Stencil Optimizations}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {251--263}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593716}, doi = {10.1145/3577193.3593716}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangWCMWEM23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouKL23, author = {Amelie Chi Zhou and Zhoubin Ke and Jianming Lao}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {DyVer: Dynamic Version Handling for Array Databases}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {144--154}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593734}, doi = {10.1145/3577193.3593734}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhouKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2023, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193}, doi = {10.1145/3577193}, timestamp = {Sun, 25 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0002R22, author = {Andreas Abel and Jan Reineke}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {uiCA: accurate throughput prediction of basic blocks on recent intel microarchitectures}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {33:1--33:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532396}, doi = {10.1145/3524059.3532396}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/0002R22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0005L0ZLHSTLHWS22, author = {Heng Zhang and Lingda Li and Hang Liu and Donglin Zhuang and Rui Liu and Chengying Huan and Shuang Song and Dingwen Tao and Yongchao Liu and Charles He and Yanjun Wu and Shuaiwen Leon Song}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Bring orders into uncertainty: enabling efficient uncertain graph processing via novel path sampling on multi-accelerator systems}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {11:1--11:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532379}, doi = {10.1145/3524059.3532379}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/0005L0ZLHSTLHWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0006JGTLT22, author = {Chengming Zhang and Sian Jin and Tong Geng and Jiannan Tian and Ang Li and Dingwen Tao}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{CEAZ:} accelerating parallel {I/O} via hardware-algorithm co-designed adaptive lossy compression}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {12:1--12:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532362}, doi = {10.1145/3524059.3532362}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/0006JGTLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlharthiJDC22, author = {Khalid Ayedh Alharthi and Arshad Jhumka and Sheng Di and Franck Cappello}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Clairvoyant: a log-based transformer-decoder for failure prediction in large-scale systems}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {35:1--35:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532374}, doi = {10.1145/3524059.3532374}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlharthiJDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlmasriHNXH22, author = {Mohammad Almasri and Izzat El Hajj and Rakesh Nagi and Jinjun Xiong and Wen{-}Mei Hwu}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Parallel K-clique counting on GPUs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {21:1--21:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532382}, doi = {10.1145/3524059.3532382}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlmasriHNXH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AndersonLM22, author = {Jonathon M. Anderson and Yumeng Liu and John M. Mellor{-}Crummey}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Preparing for performance analysis at exascale}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {34:1--34:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532397}, doi = {10.1145/3524059.3532397}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AndersonLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CalotoiuBKLSSH22, author = {Alexandru Calotoiu and Tal Ben{-}Nun and Grzegorz Kwasniewski and Johannes de Fine Licht and Timo Schneider and Philipp Schaad and Torsten Hoefler}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Lifting {C} semantics for dataflow optimization}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {17:1--17:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532389}, doi = {10.1145/3524059.3532389}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CalotoiuBKLSSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DiasSS022, author = {Adhitha Dias and Kirshanthan Sundararajah and Charitha Saumya and Milind Kulkarni}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {SparseLNR: accelerating sparse tensor computations using loop nest restructuring}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {15:1--15:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532386}, doi = {10.1145/3524059.3532386}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DiasSS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DuJYHL22, author = {Jiangsu Du and Jiazhi Jiang and Yang You and Dan Huang and Yutong Lu}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Handling heavy-tailed input of transformer inference on GPUs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {38:1--38:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532372}, doi = {10.1145/3524059.3532372}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DuJYHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EsfahaniKV22, author = {Mohsen Koohi Esfahani and Peter Kilpatrick and Hans Vandierendonck}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{MASTIFF:} structure-aware minimum spanning tree/forest}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {9:1--9:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532365}, doi = {10.1145/3524059.3532365}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EsfahaniKV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FengDL22, author = {Guangnan Feng and Dezun Dong and Yutong Lu}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Optimized {MPI} collective algorithms for dragonfly topology}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {14:1--14:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532380}, doi = {10.1145/3524059.3532380}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FengDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GolestaniSYG22, author = {Hossein Golestani and Rathijit Sen and Vinson Young and Gagan Gupta}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Calipers: a criticality-aware framework for modeling processor performance}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {2:1--2:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532390}, doi = {10.1145/3524059.3532390}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GolestaniSYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HanindhitoGFTGJ22, author = {Bagus Hanindhito and Dimitrios Gourounas and Arash Fathi and Dimitar Trenev and Andreas Gerstlauer and Lizy K. John}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{GAPS:} GPU-acceleration of {PDE} solvers for wave simulation}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {30:1--30:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532373}, doi = {10.1145/3524059.3532373}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HanindhitoGFTGJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuXDLZZMST22, author = {Zhongzhe Hu and Junmin Xiao and Zheye Deng and Mingyi Li and Kewei Zhang and Xiaoyang Zhang and Ke Meng and Ninghui Sun and Guangming Tan}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {MegTaiChi: dynamic tensor-based memory management optimization for {DNN} training}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {25:1--25:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532394}, doi = {10.1145/3524059.3532394}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuXDLZZMST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiW22, author = {Zhuoran Ji and Cho{-}Li Wang}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Efficient exact K-nearest neighbor graph construction for billion-scale datasets using GPUs with tensor cores}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {10:1--10:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532368}, doi = {10.1145/3524059.3532368}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/JiW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KamalakkannanMR22, author = {Kamalakkannan Kamalavasan and Gihan R. Mudalige and Istv{\'{a}}n Z. Reguly and Suhaib A. Fahmy}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {High throughput multidimensional tridiagonal system solvers on FPGAs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {19:1--19:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532371}, doi = {10.1145/3524059.3532371}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KamalakkannanMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KhanCP22, author = {Sharjeel Khan and Bodhisatwa Chatterjee and Santosh Pande}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{VICO:} demand-driven verification for improving compiler optimizations}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {16:1--16:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532393}, doi = {10.1145/3524059.3532393}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KhanCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimKKPLL22, author = {Jinpyo Kim and Hyungdal Kwon and Jintaek Kang and Jihwan Park and Seungwook Lee and Jaejin Lee}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {SnuHPL: high performance {LINPACK} for heterogeneous GPUs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {18:1--18:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532370}, doi = {10.1145/3524059.3532370}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KimKKPLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KokolisMGTK22, author = {Apostolos Kokolis and Namrata Mantri and Shrikanth Ganapathy and Josep Torrellas and John Kalamatianos}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Cloak: tolerating non-volatile cache read latency}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {22:1--22:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532381}, doi = {10.1145/3524059.3532381}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KokolisMGTK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuLYL00ZH22, author = {Mingzhe Liu and Haikun Liu and Chencheng Ye and Xiaofei Liao and Hai Jin and Yu Zhang and Ran Zheng and Liting Hu}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Towards low-latency {I/O} services for mixed workloads using ultra-low latency SSDs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {13:1--13:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532378}, doi = {10.1145/3524059.3532378}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuLYL00ZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuLYLLLQ22, author = {Xiaoyan Liu and Yi Liu and Hailong Yang and Jianjin Liao and Mingzhen Li and Zhongzhi Luan and Depei Qian}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Toward accelerated stencil computation by adapting tensor core unit on {GPU}}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {28:1--28:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532392}, doi = {10.1145/3524059.3532392}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuLYLLLQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LorenzonMN022, author = {Arthur Francisco Lorenzon and Sandro Matheus V. N. Marques and Antoni C. Navarro and Vicen{\c{c}} Beltran}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Seamless optimization of the {GEMM} kernel for task-based programming models}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {31:1--31:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532385}, doi = {10.1145/3524059.3532385}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LorenzonMN022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaWFZXH0Z22, author = {Zixuan Ma and Haojie Wang and Guanyu Feng and Chen Zhang and Lei Xie and Jiaao He and Shengqi Chen and Jidong Zhai}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Efficiently emulating high-bitwidth computation with low-bitwidth hardware}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {5:1--5:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532377}, doi = {10.1145/3524059.3532377}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MaWFZXH0Z22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MullerSMLH22, author = {Andr{\'{e}} M{\"{u}}ller and Bertil Schmidt and Richard Membarth and Roland Lei{\ss}a and Sebastian Hack}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {AnySeq/GPU: a novel approach for faster sequence alignment on GPUs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {20:1--20:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532376}, doi = {10.1145/3524059.3532376}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MullerSMLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NguyenHCLTSRPC22, author = {Andy Nguyen and Ahmed E. Helal and Fabio Checconi and Jan Laukemann and Jesmin Jahan Tithi and Yongseok Soh and Teresa M. Ranadive and Fabrizio Petrini and Jee W. Choi}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Efficient, out-of-memory sparse {MTTKRP} on massively parallel architectures}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {26:1--26:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532363}, doi = {10.1145/3524059.3532363}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NguyenHCLTSRPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ParkKKKL22, author = {Daeyoung Park and Heehoon Kim and Jinpyo Kim and Taehyun Kim and Jaejin Lee}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {SnuQS: scaling quantum circuit simulation using storage devices}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {6:1--6:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532375}, doi = {10.1145/3524059.3532375}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ParkKKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PhamLYMRXT22, author = {Minh Pham and Hao Li and Yongke Yuan and Chengcheng Mou and Kandethody Ramachandran and Zichen Xu and Yicheng Tu}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Dynamic memory management in massively parallel systems: a case on GPUs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {24:1--24:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532387}, doi = {10.1145/3524059.3532387}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PhamLYMRXT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RauschBDI0H22, author = {Oliver Rausch and Tal Ben{-}Nun and Nikoli Dryden and Andrei Ivanov and Shigang Li and Torsten Hoefler}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {A data-centric optimization framework for machine learning}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {36:1--36:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532364}, doi = {10.1145/3524059.3532364}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RauschBDI0H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SchmidCCWRSKH22, author = {Larissa Schmid and Marcin Copik and Alexandru Calotoiu and Dominik Werle and Andreas Reiter and Michael Selzer and Anne Koziolek and Torsten Hoefler}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Performance-detective: automatic deduction of cheap and accurate performance models}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {3:1--3:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532391}, doi = {10.1145/3524059.3532391}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SchmidCCWRSKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShahroodiZSWH22, author = {Taha Shahroodi and Mahdi Zahedi and Abhairaj Singh and Stephan Wong and Said Hamdioui}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {KrakenOnMem: a memristor-augmented {HW/SW} framework for taxonomic profiling}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {29:1--29:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532367}, doi = {10.1145/3524059.3532367}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ShahroodiZSWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SmithG022, author = {Wesley Smith and Aidan Goldfarb and Chen Ding}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Beyond time complexity: data movement complexity analysis for matrix multiplication}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {32:1--32:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532395}, doi = {10.1145/3524059.3532395}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SmithG022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SongJ22, author = {Shihui Song and Peng Jiang}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Rethinking graph data placement for graph neural network training on multiple GPUs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {39:1--39:10}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532384}, doi = {10.1145/3524059.3532384}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SongJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanTZFGW0TGL22, author = {Cheng Tan and Thierry Tambe and Jeff Jun Zhang and Bo Fang and Tong Geng and Gu{-}Yeon Wei and David Brooks and Antonino Tumeo and Ganesh Gopalakrishnan and Ang Li}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{ASAP:} automatic synthesis of area-efficient and precision-aware CGRAs}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {4:1--4:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532359}, doi = {10.1145/3524059.3532359}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TanTZFGW0TGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TarregaVLPS22, author = {Hugo T{\'{a}}rrega and Alejandro Valero and Vicente Lorente and Salvador Petit and Julio Sahuquillo}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Fast-track cache: a huge racetrack memory {L1} data cache}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {23:1--23:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532383}, doi = {10.1145/3524059.3532383}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TarregaVLPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Vandierendonck22, author = {Hans Vandierendonck}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Software-defined floating-point number formats and their application to graph processing}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {8:1--8:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532360}, doi = {10.1145/3524059.3532360}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Vandierendonck22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YesilMT22, author = {Serif Yesil and Jos{\'{e}} E. Moreira and Josep Torrellas}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Dense dynamic blocks: optimizing SpMM for processors with vector and matrix units using machine learning techniques}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {27:1--27:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532369}, doi = {10.1145/3524059.3532369}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YesilMT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YudhaMYZS22, author = {Ardhi Wiratama Baskara Yudha and Jake Meyer and Shougang Yuan and Huiyang Zhou and Yan Solihin}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{LITE:} a low-cost practical inter-operable {GPU} {TEE}}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {7:1--7:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532361}, doi = {10.1145/3524059.3532361}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YudhaMYZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangCCZGLLG22, author = {Shulai Zhang and Weihao Cui and Quan Chen and Zhengnian Zhang and Yue Guan and Jingwen Leng and Chao Li and Minyi Guo}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{PAME:} precision-aware multi-exit {DNN} serving for reducing latencies of batched inferences}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {37:1--37:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532366}, doi = {10.1145/3524059.3532366}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangCCZGLLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouAMM22, author = {Keren Zhou and Jonathon M. Anderson and Xiaozhu Meng and John M. Mellor{-}Crummey}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Low overhead and context sensitive profiling of CPU-accelerated applications}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {1:1--1:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532388}, doi = {10.1145/3524059.3532388}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouAMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2022, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059}, doi = {10.1145/3524059}, isbn = {978-1-4503-9281-5}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0001DGHP21, author = {Xuhao Chen and Roshan Dathathri and Gurbinder Gill and Loc Hoang and Keshav Pingali}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Sandslash: a two-level framework for efficient graph pattern mining}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {378--391}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460359}, doi = {10.1145/3447818.3460359}, timestamp = {Wed, 09 Jun 2021 15:33:33 +0200}, biburl = {https://dblp.org/rec/conf/ics/0001DGHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AbdelaalK21, author = {Khaled Abdelaal and Martin Kong}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Tile size selection of affine programs for GPGPUs using polyhedral cross-compilation}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {13--26}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460369}, doi = {10.1145/3447818.3460369}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AbdelaalK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Al-WadiMA21, author = {Mazen Al{-}Wadi and Aziz Mohaisen and Amro Awad}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {ProMT: optimizing integrity tree updates for write-intensive pages in secure NVMs}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {479--490}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460377}, doi = {10.1145/3447818.3460377}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Al-WadiMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AwarZBG21, author = {Nader Al Awar and Steven Zhu and George Biros and Milos Gligoric}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {A performance portability framework for Python}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {467--478}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460376}, doi = {10.1145/3447818.3460376}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AwarZBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BakHGLS21, author = {Seonmyeong Bak and Oscar R. Hernandez and Mark Gates and Piotr Luszczek and Vivek Sarkar}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Task-graph scheduling extensions for efficient synchronization and communication}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {88--101}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3461616}, doi = {10.1145/3447818.3461616}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BakHGLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarredoABM21, author = {Adri{\'{a}}n Barredo and Adri{\`{a}} Armejach and Jonathan C. Beard and Miquel Moret{\'{o}}}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{PLANAR:} a programmable accelerator for near-memory data rearrangement}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {164--176}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460368}, doi = {10.1145/3447818.3460368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarredoABM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BhosaleE21, author = {Akshay Bhosale and Rudolf Eigenmann}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {On the automatic parallelization of subscripted subscript patterns using array property analysis}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {392--403}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460424}, doi = {10.1145/3447818.3460424}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BhosaleE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenWWTHOM21, author = {Peng Chen and Mohamed Wahib and Xiao Wang and Shin'ichiro Takizawa and Takahiro Hirofuchi and Hirotaka Ogawa and Satoshi Matsuoka}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Performance portable back-projection algorithms on CPUs: agnostic data locality and vectorization optimizations}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {316--328}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460353}, doi = {10.1145/3447818.3460353}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenWWTHOM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DemirciF21, author = {Gunduz Vehbi Demirci and Hakan Ferhatosmanoglu}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Partitioning sparse deep neural networks for scalable training and inference}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {254--265}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460372}, doi = {10.1145/3447818.3460372}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DemirciF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DunLYSLQ21, author = {Ming Dun and Yunchun Li and Hailong Yang and Qingxiao Sun and Zhongzhi Luan and Depei Qian}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {An optimized tensor completion library for multiple GPUs}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {417--430}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460692}, doi = {10.1145/3447818.3460692}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DunLYSLQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HeLXCCZL21, author = {Xin He and Jiawen Liu and Zhen Xie and Hao Chen and Guoyang Chen and Weifeng Zhang and Dong Li}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Enabling energy-efficient {DNN} training on hybrid {GPU-FPGA} accelerators}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {227--241}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460371}, doi = {10.1145/3447818.3460371}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HeLXCCZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HelalLCTRPC21, author = {Ahmed E. Helal and Jan Laukemann and Fabio Checconi and Jesmin Jahan Tithi and Teresa M. Ranadive and Fabrizio Petrini and Jeewhan Choi}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{ALTO:} adaptive linearized storage of sparse tensors}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {404--416}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3461703}, doi = {10.1145/3447818.3461703}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HelalLCTRPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuangKPGBP21, author = {Xuan Huang and Pavol Klacansky and Steve Petruzza and Attila Gyulassy and Peer{-}Timo Bremer and Valerio Pascucci}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Distributed merge forest: a new fast and scalable approach for topological analysis at scale}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {367--377}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460358}, doi = {10.1145/3447818.3460358}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HuangKPGBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KynigosPNGL21, author = {Markos Kynigos and Jose Antonio Pascual and Javier Navaridas and John Goodacre and Mikel Luj{\'{a}}n}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Power and energy efficient routing for Mach-Zehnder interferometer based photonic switches}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {177--189}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460363}, doi = {10.1145/3447818.3460363}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KynigosPNGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuLGL21, author = {Jiawen Liu and Dong Li and Roberto Gioiosa and Jiajia Li}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Athena: high-performance sparse tensor contraction sequence on heterogeneous memory}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {190--202}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460355}, doi = {10.1145/3447818.3460355}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuLGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MirhosseiniW21, author = {Amirhossein Mirhosseini and Thomas F. Wenisch}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{\(\mu\)}Steal: a theory-backed framework for preemptive work and resource stealing in mixed-criticality microservices}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {102--114}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3463529}, doi = {10.1145/3447818.3463529}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MirhosseiniW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NarasimhanABB21, author = {Kumudha Narasimhan and Aravind Acharya and Abhinav Baid and Uday Bondhugula}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {A practical tile size selection model for affine loop nests}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {27--39}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3462213}, doi = {10.1145/3447818.3462213}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NarasimhanABB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NethSSS21, author = {Brandon Neth and Thomas R. W. Scogland and Bronis R. de Supinski and Michelle Mills Strout}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Inter-loop optimization in {RAJA} using loop chains}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {1--12}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3461665}, doi = {10.1145/3447818.3461665}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NethSSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OlyaiyNL21, author = {MohammadHossein Olyaiy and Christopher Ng and Mieszko Lis}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Accelerating DNNs inference with predictive layer fusion}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {291--303}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460378}, doi = {10.1145/3447818.3460378}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OlyaiyNL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PatkeJQBGGKI21, author = {Archit Patke and Saurabh Jha and Haoran Qiu and Jim M. Brandt and Ann C. Gentile and Joe Greenseid and Zbigniew Kalbarczyk and Ravishankar K. Iyer}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Delay sensitivity-driven congestion mitigation for {HPC} systems}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {342--353}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460362}, doi = {10.1145/3447818.3460362}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PatkeJQBGGKI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PopoviciCZWS21, author = {Doru{-}Thom Popovici and Andrew Canning and Zhengji Zhao and Lin{-}Wang Wang and John Shalf}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {A systematic approach to improving data locality across Fourier transforms and linear algebra operations}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {329--341}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460354}, doi = {10.1145/3447818.3460354}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PopoviciCZWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PrabhakarK0HF21, author = {Rohan Baskar Prabhakar and Sachit Kuhar and Rohit Agrawal and Christopher J. Hughes and Christopher W. Fletcher}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {SumMerge: an efficient algorithm and implementation for weight repetition-aware {DNN} inference}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {279--290}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460375}, doi = {10.1145/3447818.3460375}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PrabhakarK0HF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RandallA021, author = {Thomas Randall and Tyler N. Allen and Rong Ge}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{FULL-W2V:} fully exploiting data reuse for {W2V} on GPU-accelerated systems}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {455--466}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460373}, doi = {10.1145/3447818.3460373}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RandallA021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RenLP0021, author = {Jie Ren and Jiaolin Luo and Ivy Bo Peng and Kai Wu and Dong Li}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Optimizing large-scale plasma simulations on persistent memory-based heterogeneous memory with effective data placement across memory hierarchy}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {203--214}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460356}, doi = {10.1145/3447818.3460356}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RenLP0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SelvitopiBNTYB21, author = {Oguz Selvitopi and Benjamin Brock and Israt Nisa and Alok Tripathy and Katherine A. Yelick and Aydin Bulu{\c{c}}}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Distributed-memory parallel algorithms for sparse times tall-skinny-dense matrix multiplication}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {431--442}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3461472}, doi = {10.1145/3447818.3461472}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SelvitopiBNTYB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SunG21, author = {Xiaofan Sun and Rajiv Gupta}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{DSGEN:} concolic testing {GPU} implementations of concurrent dynamic data structures}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {75--87}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460962}, doi = {10.1145/3447818.3460962}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SunG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanCCHW21, author = {Hongshi Tan and Xinyu Chen and Yao Chen and Bingsheng He and Weng{-}Fai Wong}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {ThundeRiNG: generating multiple independent random number sequences on FPGAs}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {115--126}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3461664}, doi = {10.1145/3447818.3461664}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TanCCHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangL21, author = {Wenwen Wang and Pei{-}Hung Lin}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Does it matter?: OMPSanitizer: an impact analyzer of reported data races in OpenMP programs}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {40--51}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460379}, doi = {10.1145/3447818.3460379}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XieDLPM021, author = {Zhen Xie and Wenqian Dong and Jie Liu and Ivy Bo Peng and Yanbao Ma and Dong Li}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{MD-HM:} memoization-based molecular dynamics simulations on big memory system}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {215--226}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460365}, doi = {10.1145/3447818.3460365}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XieDLPM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YangCZHYS21, author = {Siling Yang and Weijian Chen and Xuechen Zhang and Shuibing He and Yanlong Yin and Xian{-}He Sun}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{AUTO-PRUNE:} automated {DNN} pruning and mapping for ReRAM-based accelerator}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {304--315}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460366}, doi = {10.1145/3447818.3460366}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YangCZHYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuBKF21, author = {Xiaodong Yu and Tekin Bicer and Rajkumar Kettimuthu and Ian T. Foster}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Topology-aware optimizations for multi-GPU ptychographic image reconstruction}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {354--366}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460380}, doi = {10.1145/3447818.3460380}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YuBKF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuanSZ21, author = {Shougang Yuan and Yan Solihin and Huiyang Zhou}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{PSSM:} achieving secure memory for GPUs with partitioned and sectored security metadata}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {139--151}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460374}, doi = {10.1145/3447818.3460374}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YuanSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZamoraWSFH21, author = {Yuliana Zamora and Logan T. Ward and Ganesh Sivaraman and Ian T. Foster and Henry Hoffmann}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Proxima: accelerating the integration of machine learning in atomistic simulations}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {242--253}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460370}, doi = {10.1145/3447818.3460370}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZamoraWSFH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaiGFZLC21, author = {Yujia Zhai and Elisabeth Giem and Quan Fan and Kai Zhao and Jinyang Liu and Zizhong Chen}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{FT-BLAS:} a high performance {BLAS} implementation with online fault tolerance}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {127--138}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460364}, doi = {10.1145/3447818.3460364}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhaiGFZLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangSWRZ21, author = {Chen Zhang and Zeyu Song and Haojie Wang and Kaiyuan Rong and Jidong Zhai}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {HyQuas: hybrid partitioner based quantum circuit simulation system on {GPU}}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {443--454}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460357}, doi = {10.1145/3447818.3460357}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangSWRZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangYNTJZ0WRST21, author = {Chengming Zhang and Geng Yuan and Wei Niu and Jiannan Tian and Sian Jin and Donglin Zhuang and Zhe Jiang and Yanzhi Wang and Bin Ren and Shuaiwen Leon Song and Dingwen Tao}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {ClickTrain: efficient and accurate end-to-end deep learning training via fine-grained architecture-preserving pruning}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {266--278}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3459988}, doi = {10.1145/3447818.3459988}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangYNTJZ0WRST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaoZGWLL21, author = {Xin Zhao and Jin Zhou and Hui Guan and Wei Wang and Xu Liu and Tongping Liu}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {NumaPerf: predictive {NUMA} profiling}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {52--62}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460361}, doi = {10.1145/3447818.3460361}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhaoZGWLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouYZXWWSB21, author = {Yaoyang Zhou and Zihao Yu and Chuanqi Zhang and Yinan Xu and Huizhe Wang and Sa Wang and Ninghui Sun and Yungang Bao}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {Omegaflow: a high-performance dependency-based architecture}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {152--163}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460367}, doi = {10.1145/3447818.3460367}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouYZXWWSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZiogasBSH21, author = {Alexandros Nikolaos Ziogas and Tal Ben{-}Nun and Timo Schneider and Torsten Hoefler}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {NPBench: a benchmarking suite for high-performance NumPy}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {63--74}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460360}, doi = {10.1145/3447818.3460360}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZiogasBSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2021, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818}, doi = {10.1145/3447818}, isbn = {978-1-4503-8335-6}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AhmadSRK20, author = {Masab Ahmad and Mohsin Shan and Akif Rehman and Omer Khan}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Accelerating relax-ordered task-parallel workloads using multi-level dependency checking}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {36:1--36:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392758}, doi = {10.1145/3392717.3392758}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AhmadSRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlBarakatGJ20, author = {Laith M. AlBarakat and Paul V. Gratz and Daniel A. Jim{\'{e}}nez}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {SB-Fetch: synchronization aware hardware prefetching for chip multiprocessors}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {15:1--15:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392735}, doi = {10.1145/3392717.3392735}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AlBarakatGJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlzaidBYL20, author = {Zaid Salamah A. Alzaid and Saptarshi Bhowmik and Xin Yuan and Michael Lang}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Global link arrangement for practical Dragonfly}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {21:1--21:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392756}, doi = {10.1145/3392717.3392756}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlzaidBYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArafaBCBSE20, author = {Yehia Arafa and Abdel{-}Hameed A. Badawy and Gopinath Chennupati and Atanu Barai and Nandakishore Santhi and Stephan J. Eidenbenz}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Fast, accurate, and scalable memory modeling of GPGPUs using reuse profiles}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {31:1--31:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392761}, doi = {10.1145/3392717.3392761}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ArafaBCBSE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarreraBCMSP20, author = {Isaac {S{\\'{a}}nchez Barrera} and David Black{-}Schaffer and Marc Casas and Miquel Moret{\'{o}} and Anastasiia Stupnikova and Mihail Popov}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Modeling and optimizing {NUMA} effects and prefetching with machine learning}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {34:1--34:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392765}, doi = {10.1145/3392717.3392765}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarreraBCMSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarlsonKS20, author = {Max Carlson and Robert M. Kirby and Hari Sundar}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {A scalable framework for solving fractional diffusion equations}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {2:1--2:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392769}, doi = {10.1145/3392717.3392769}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarlsonKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarreteroJPSV20, author = {Jes{\'{u}}s Carretero and Emmanuel Jeannot and Guillaume Pallez and David E. Singh and Nicolas Vidal}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Mapping and scheduling {HPC} applications for optimizing {I/O}}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {33:1--33:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392764}, doi = {10.1145/3392717.3392764}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CarreteroJPSV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChengZKJM20, author = {Xianwei Cheng and Hui Zhao and Mahmut T. Kandemir and Beilei Jiang and Gayatri Mehta}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {{AMOEBA:} a coarse grained reconfigurable architecture for dynamic {GPU} scaling}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {17:1--17:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392738}, doi = {10.1145/3392717.3392738}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChengZKJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChoiRKB20, author = {Jaemin Choi and David F. Richards and Laxmikant V. Kal{\'{e}} and Abhinav Bhatele}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {End-to-end performance modeling of distributed {GPU} applications}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {30:1--30:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392737}, doi = {10.1145/3392717.3392737}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChoiRKB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChuKAKSP20, author = {Ching{-}Hsiang Chu and Pouya Kousha and Ammar Ahmad Awan and Kawthar Shafie Khorassani and Hari Subramoni and Dhabaleswar K. D. K. Panda}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {NV-group: link-efficient reduction for distributed deep learning on modern dense {GPU} systems}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {6:1--6:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392771}, doi = {10.1145/3392717.3392771}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChuKAKSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CoyHRZ20, author = {Tyler Coy and Shuibing He and Bin Ren and Xuechen Zhang}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Compiler aided checkpointing using crash-consistent data structures in {NVMM} systems}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {41:1--41:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392755}, doi = {10.1145/3392717.3392755}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CoyHRZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DimicMCCV20, author = {Vladimir Dimic and Miquel Moret{\'{o}} and Marc Casas and Jan Ciesko and Mateo Valero}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {{RICH:} implementing reductions in the cache hierarchy}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {16:1--16:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392736}, doi = {10.1145/3392717.3392736}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DimicMCCV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DonnellyG20, author = {Brian Donnelly and Michael Gowanlock}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {A coordinate-oblivious index for high-dimensional distance similarity searches on the {GPU}}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {8:1--8:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392768}, doi = {10.1145/3392717.3392768}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DonnellyG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Falsafi20, author = {Babak Falsafi}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Post-moore server architecture}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {14:1}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3400033}, doi = {10.1145/3392717.3400033}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Falsafi20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FareedKLK20, author = {Imran Fareed and Mincheol Kang and Wonyoung Lee and Soontae Kim}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Leveraging intra-page update diversity for mitigating write amplification in SSDs}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {23:1--23:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392767}, doi = {10.1145/3392717.3392767}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FareedKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HePAFPRYCDM20, author = {Xin He and Subhankar Pal and Aporva Amarnath and Siying Feng and Dong{-}Hyeon Park and Austin Rovinski and Haojie Ye and Kuan{-}Yu Chen and Ronald G. Dreslinski and Trevor N. Mudge}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Sparse-TPU: adapting systolic arrays for sparse matrices}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {19:1--19:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392751}, doi = {10.1145/3392717.3392751}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HePAFPRYCDM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HeidarshenasGYM20, author = {Azin Heidarshenas and Tanmay Gangwani and Serif Yesil and Adam Morrison and Josep Torrellas}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Snug: architectural support for relaxed concurrent priority queueing in chip multiprocessors}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {18:1--18:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392740}, doi = {10.1145/3392717.3392740}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HeidarshenasGYM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HeidarshenasYSM20, author = {Azin Heidarshenas and Serif Yesil and Dimitrios Skarlatos and Sasa Misailovic and Adam Morrison and Josep Torrellas}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {V-Combiner: speeding-up iterative graph processing on a shared-memory platform with vertex merging}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {9:1--9:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392739}, doi = {10.1145/3392717.3392739}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HeidarshenasYSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JinPWDAR20, author = {Ruoming Jin and Zhen Peng and Wendell Wu and Feodor F. Dragan and Gagan Agrawal and Bin Ren}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Parallelizing pruned landmark labeling: dealing with dependencies in graph algorithms}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {11:1--11:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392745}, doi = {10.1145/3392717.3392745}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JinPWDAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeiDLD20, author = {Fei Lei and Dezun Dong and Xiangke Liao and Jos{\'{e}} Duato}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Bundlefly: a low-diameter topology for multicore fiber}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {20:1--20:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392747}, doi = {10.1145/3392717.3392747}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LeiDLD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuKSZ20, author = {Ji Liu and Abdullah{-}Al Kafi and Xipeng Shen and Huiyang Zhou}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {MKPipe: a compiler framework for optimizing multi-kernel workloads in OpenCL for {FPGA}}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {39:1--39:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392757}, doi = {10.1145/3392717.3392757}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuKSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuLKHCRFP20, author = {Zhengchun Liu and Ryan Lewis and Rajkumar Kettimuthu and Kevin Harms and Philip H. Carns and Nageswara S. V. Rao and Ian T. Foster and Michael E. Papka}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Characterization and identification of {HPC} applications at leadership computing facility}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {29:1--29:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392774}, doi = {10.1145/3392717.3392774}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuLKHCRFP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MahadikWLS20, author = {Kanak Mahadik and Qingyun Wu and Shuai Li and Amit Sabne}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Fast distributed bandits for online recommendation systems}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {4:1--4:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392748}, doi = {10.1145/3392717.3392748}, timestamp = {Sat, 30 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MahadikWLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MendoncaLP20, author = {Gleison Souza Diniz Mendonca and Chunhua Liao and Fernando Magno Quint{\~{a}}o Pereira}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {AutoParBench: a unified test framework for OpenMP-based parallelizers}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {28:1--28:10}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392744}, doi = {10.1145/3392717.3392744}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MendoncaLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MinutoliDHTK20, author = {Marco Minutoli and Maurizio Drocco and Mahantesh Halappanavar and Antonino Tumeo and Ananth Kalyanaraman}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {cuRipples: influence maximization on multi-GPU systems}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {12:1--12:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392750}, doi = {10.1145/3392717.3392750}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MinutoliDHTK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Obiols-SalesVMC20, author = {Octavi Obiols{-}Sales and Abhinav Vishnu and Nicholas Malaya and Aparna Chandramowlishwaran}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {CFDNet: a deep learning-based accelerator for fluid simulations}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {3:1--3:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392772}, doi = {10.1145/3392717.3392772}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Obiols-SalesVMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PrabhuN20, author = {Indu K. Prabhu and V. Krishna Nandivada}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Chunking loops with non-uniform workloads}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {40:1--40:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392763}, doi = {10.1145/3392717.3392763}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PrabhuN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SharmaC20, author = {Robin Kumar Sharma and Marc Casas}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Wavefront parallelization of recurrent neural networks on multi-core architectures}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {5:1--5:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392762}, doi = {10.1145/3392717.3392762}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SharmaC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShiDGDMSHLW20, author = {Runbin Shi and Peiyan Dong and Tong Geng and Yuhao Ding and Xiaolong Ma and Hayden Kwok{-}Hay So and Martin C. Herbordt and Ang Li and Yanzhi Wang}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {{CSB-RNN:} a faster-than-realtime {RNN} acceleration framework with compressed structured blocks}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {24:1--24:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392749}, doi = {10.1145/3392717.3392749}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShiDGDMSHLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShuklaRTK20, author = {Kshitij Shukla and Sai Charan Regunta and Sai Harsh Tondomker and Kishore Kothapalli}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Efficient parallel algorithms for betweenness- and closeness-centrality in dynamic graphs}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {10:1--10:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392743}, doi = {10.1145/3392717.3392743}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShuklaRTK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SunKJ20, author = {Gongjin Sun and Seongyoung Kang and Sang{-}Woo Jun}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {BurstZ: a bandwidth-efficient scientific computing accelerator platform for large-scale data}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {25:1--25:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392746}, doi = {10.1145/3392717.3392746}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SunKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanJCL20, author = {Jialiang Tan and Shuyin Jiao and Milind Chabbi and Xu Liu}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {What every scientific programmer should know about compiler optimizations?}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {42:1--42:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392754}, doi = {10.1145/3392717.3392754}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TanJCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TripathySSM20, author = {Shivani Tripathy and Debiprasanna Sahoo and Manoranjan Satpathy and Madhu Mutyam}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Fuzzy fairness controller for NVMe SSDs}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {22:1--22:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392766}, doi = {10.1145/3392717.3392766}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TripathySSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Vandierendonck20, author = {Hans Vandierendonck}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Graptor: efficient pull and push style vectorized graph processing}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {13:1--13:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392753}, doi = {10.1145/3392717.3392753}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Vandierendonck20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangJBBMG20, author = {Tao Wang and Nikhil Jain and David B{\"{o}}hme and David Beckingsale and Frank Mueller and Todd Gamblin}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {CodeSeer: input-dependent code variants selection via machine learning}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {43:1--43:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392741}, doi = {10.1145/3392717.3392741}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangJBBMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WeltonM20, author = {Benjamin Welton and Barton P. Miller}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Identifying and (automatically) remedying performance problems in {CPU/GPU} applications}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {27:1--27:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392759}, doi = {10.1145/3392717.3392759}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WeltonM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WinterMPS20, author = {Martin Winter and Daniel Mlakar and Mathias Parger and Markus Steinberger}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {\emph{Ouroboros}: virtualized queues for dynamic memory management on GPUs}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {38:1--38:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392742}, doi = {10.1145/3392717.3392742}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WinterMPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Wolfe20, author = {Michael Wolfe}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Optimizing supercompilers for supercomputers}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {32:1}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3400034}, doi = {10.1145/3392717.3400034}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Wolfe20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuSCZ20, author = {Yudong Wu and Mingyao Shen and Yi{-}Hui Chen and Yuanyuan Zhou}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Tuning applications for efficient {GPU} offloading to in-memory processing}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {37:1--37:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392760}, doi = {10.1145/3392717.3392760}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuSCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZambreCB20, author = {Rohit Zambre and Aparna Chandramowlishwaran and Pavan Balaji}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {How {I} learned to stop worrying about user-visible endpoints and love {MPI}}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {35:1--35:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392773}, doi = {10.1145/3392717.3392773}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZambreCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangSW20, author = {Shaoshuai Zhang and Ruchi Shah and Panruo Wu}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {TensorSVM: accelerating kernel machines with tensor engine}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {7:1--7:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392770}, doi = {10.1145/3392717.3392770}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouKM20, author = {Keren Zhou and Mark W. Krentel and John M. Mellor{-}Crummey}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Tools for top-down performance analysis of GPU-accelerated applications}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {26:1--26:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392752}, doi = {10.1145/3392717.3392752}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2020, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717}, doi = {10.1145/3392717}, isbn = {978-1-4503-7983-0}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AggarwalB19, author = {Karan Aggarwal and Uday Bondhugula}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Optimizing the linear fascicle evaluation algorithm for many-core systems}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {425--437}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3332469}, doi = {10.1145/3330345.3332469}, timestamp = {Tue, 28 Jul 2020 07:46:43 +0200}, biburl = {https://dblp.org/rec/conf/ics/AggarwalB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AmerABCC0GGHIRS19, author = {Abdelhalim Amer and Charles Archer and Michael Blocksome and Chongxiao Cao and Michael Chuvelev and Hajime Fujita and Maria Garzaran and Yanfei Guo and Jeff R. Hammond and Shintaro Iwasaki and Kenneth J. Raffenetti and Mikhail Shiryaev and Min Si and Kenjiro Taura and Sagar Thapaliya and Pavan Balaji}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Software combining to mitigate multithreaded {MPI} contention}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {367--379}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330378}, doi = {10.1145/3330345.3330378}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AmerABCC0GGHIRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Arab0J19, author = {Mohammad Norouzi Arab and Felix Wolf and Ali Jannesari}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Automatic construct selection and variable classification in OpenMP}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {330--341}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330375}, doi = {10.1145/3330345.3330375}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Arab0J19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CastilloJCM0BVB19, author = {Emilio Castillo and Nikhil Jain and Marc Casas and Miquel Moret{\'{o}} and Martin Schulz and Ram{\'{o}}n Beivide and Mateo Valero and Abhinav Bhatele}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Optimizing computation-communication overlap in asynchronous task-based programs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {380--391}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330379}, doi = {10.1145/3330345.3330379}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CastilloJCM0BVB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChakaravarthyPR19, author = {Venkatesan T. Chakaravarthy and Shivmaran S. Pandian and Saurabh Raje and Yogish Sabharwal}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {On optimizing distributed non-negative Tucker decomposition}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {238--249}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330367}, doi = {10.1145/3330345.3330367}, timestamp = {Wed, 19 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChakaravarthyPR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChasapisM0RVC19, author = {Dimitrios Chasapis and Miquel Moret{\'{o}} and Martin Schulz and Barry Rountree and Mateo Valero and Marc Casas}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Power efficient job scheduling by predicting the impact of processor manufacturing variability}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {296--307}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330372}, doi = {10.1145/3330345.3330372}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChasapisM0RVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenL19, author = {Yuechen Chen and Ahmed Louri}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {An online quality management framework for approximate communication in network-on-chips}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {217--226}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330365}, doi = {10.1145/3330345.3330365}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenWLLZG19, author = {Quan Chen and Zhenning Wang and Jingwen Leng and Chao Li and Wenli Zheng and Minyi Guo}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Avalon: towards QoS awareness and improved utilization through multi-resource management in datacenters}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {272--283}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330370}, doi = {10.1145/3330345.3330370}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenWLLZG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenXLTLOZDGC19, author = {Jieyang Chen and Nan Xiong and Xin Liang and Dingwen Tao and Sihuan Li and Kaiming Ouyang and Kai Zhao and Nathan DeBardeleben and Qiang Guan and Zizhong Chen}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{TSM2:} optimizing tall-and-skinny matrix-matrix multiplication on GPUs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {106--116}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330355}, doi = {10.1145/3330345.3330355}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenXLTLOZDGC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DakkakLXGH19, author = {Abdul Dakkak and Cheng Li and Jinjun Xiong and Isaac Gelado and Wen{-}Mei W. Hwu}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Accelerating reduction and scan using tensor core units}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {46--57}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3331057}, doi = {10.1145/3330345.3331057}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DakkakLXGH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EllerHG19, author = {Paul R. Eller and Torsten Hoefler and William Gropp}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Using performance models to understand scalable Krylov solver performance at scale for structured grid problems}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {138--149}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330358}, doi = {10.1145/3330345.3330358}, timestamp = {Wed, 19 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/EllerHG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ElnawawyCAB19, author = {Hussein Elnawawy and Rangeen Basu Roy Chowdhury and Amro Awad and Gregory T. Byrd}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Diligent TLBs: a mechanism for exploiting heterogeneity in {TLB} miss behavior}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {195--205}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330363}, doi = {10.1145/3330345.3330363}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ElnawawyCAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangHPRK19, author = {Bo Fang and Hassan Halawa and Karthik Pattabiraman and Matei Ripeanu and Sriram Krishnamoorthy}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {BonVoision: leveraging spatial data smoothness for recovery from memory soft errors}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {484--496}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330388}, doi = {10.1145/3330345.3330388}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FangHPRK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FernandoNHS19, author = {Milinda Fernando and David Neilsen and Eric W. Hirschmann and Hari Sundar}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {A scalable framework for adaptive computational general relativity on heterogeneous clusters}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {1--12}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330346}, doi = {10.1145/3330345.3330346}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FernandoNHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GengWWYWLH19, author = {Tong Geng and Tianqi Wang and Chunshu Wu and Chen Yang and Wei Wu and Ang Li and Martin C. Herbordt}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{O3BNN:} an out-of-order architecture for high-performance binarized neural network inference with fine-grained pruning}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {461--472}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330386}, doi = {10.1145/3330345.3330386}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GengWWYWLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Gowanlock19, author = {Michael Gowanlock}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Hybrid {CPU/GPU} clustering in shared memory on the billion point scale}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {35--45}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330349}, doi = {10.1145/3330345.3330349}, timestamp = {Wed, 19 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Gowanlock19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuC19, author = {Yongbin Gu and Lizhong Chen}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Dynamically linked MSHRs for adaptive miss handling in GPUs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {510--521}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330390}, doi = {10.1145/3330345.3330390}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GuC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JinZHYZWWYSB19, author = {Xin Jin and Yaoyang Zhou and Bowen Huang and Zihao Yu and Xusheng Zhan and Huizhe Wang and Sa Wang and Ningmei Yu and Ninghui Sun and Yungang Bao}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {QoSMT: supporting precise performance control for simultaneous multithreading architecture}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {206--216}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330364}, doi = {10.1145/3330345.3330364}, timestamp = {Wed, 19 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/JinZHYZWWYSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KangPNBW019, author = {Donghe Kang and Vedang Patel and Ashwati Nair and Spyros Blanas and Yang Wang and Srinivasan Parthasarathy}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Henosis: workload-driven small array consolidation and placement for {HDF5} applications on heterogeneous data stores}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {392--402}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330380}, doi = {10.1145/3330345.3330380}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KangPNBW019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KarimiKM19, author = {Roozbeh Karimi and David M. Koppelman and Chris J. Michael}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{GPU} road network graph contraction and {SSSP} query}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {250--260}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330368}, doi = {10.1145/3330345.3330368}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KarimiKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KotipalliSWLB19, author = {Pradeep V. Kotipalli and Ranvijay Singh and Paul Wood and Ignacio Laguna and Saurabh Bagchi}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{AMPT-GA:} automatic mixed precision floating point tuning for {GPU} applications}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {160--170}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330360}, doi = {10.1145/3330345.3330360}, timestamp = {Wed, 19 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KotipalliSWLB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KurzakGCYD19, author = {Jakub Kurzak and Mark Gates and Ali Charara and Asim YarKhan and Jack J. Dongarra}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Least squares solvers for distributed-memory machines with {GPU} accelerators}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {117--126}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330356}, doi = {10.1145/3330345.3330356}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KurzakGCYD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeeSHTKE19, author = {Kyushick Lee and Michael B. Sullivan and Siva Kumar Sastry Hari and Timothy Tsai and Stephen W. Keckler and Mattan Erez}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{GPU} snapshot: checkpoint offloading for GPU-dense systems}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {171--183}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330361}, doi = {10.1145/3330345.3330361}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LeeSHTKE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiDLKK19, author = {Cunlu Li and Dezun Dong and Xiangke Liao and John Kim and Changhyun Kim}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {DeepHiR: improving high-radix router throughput with deep hybrid memory buffer microarchitecture}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {403--413}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330381}, doi = {10.1145/3330345.3330381}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiDLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiUCSBV19, author = {Jiajia Li and Bora U{\c{c}}ar and {\"{U}}mit V. {\c{C}}ataly{\"{u}}rek and Jimeng Sun and Kevin J. Barker and Richard W. Vuduc}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Efficient and effective sparse tensor reordering}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {227--237}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330366}, doi = {10.1145/3330345.3330366}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiUCSBV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuRQ019, author = {Jianqiao Liu and Michael P. Robson and Thomas Quinn and Milind Kulkarni}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Efficient {GPU} tree walks for effective distributed n-body simulations}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {24--34}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330348}, doi = {10.1145/3330345.3330348}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuRQ019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/McDanelZKD19, author = {Bradley McDanel and Sai Qian Zhang and H. T. Kung and Xin Dong}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Full-stack optimization for accelerating CNNs using powers-of-two weights with {FPGA} validation}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {449--460}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330385}, doi = {10.1145/3330345.3330385}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/McDanelZKD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NiJJHW19, author = {Fan Ni and Song Jiang and Hong Jiang and Jian Huang and Xingbo Wu}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{SDC:} a software defined cache for efficient data indexing}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {82--93}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330353}, doi = {10.1145/3330345.3330353}, timestamp = {Wed, 24 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NiJJHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NingS19, author = {Lin Ning and Xipeng Shen}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Deep reuse: streamline {CNN} inference on the fly via coarse-grained computation reuse}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {438--448}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330384}, doi = {10.1145/3330345.3330384}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NingS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OHearnAA19, author = {Kurt A. O'Hearn and Abdullah Alperen and Hasan Metin Aktulga}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Performance optimization of reactive molecular dynamics simulations with dynamic charge distribution models on distributed memory platforms}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {150--159}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330359}, doi = {10.1145/3330345.3330359}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OHearnAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PfaffeGT19, author = {Philip Pfaffe and Tobias Grosser and Martin Peter Tillmann}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Efficient hierarchical online-autotuning: a case study on polyhedral accelerator mapping}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {354--366}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330377}, doi = {10.1145/3330345.3330377}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PfaffeGT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PopovJB19, author = {Mihail Popov and Alexandra Jimborean and David Black{-}Schaffer}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Efficient thread/page/parallelism autotuning for {NUMA} systems}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {342--353}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330376}, doi = {10.1145/3330345.3330376}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PopovJB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SaoKLV19, author = {Piyush Sao and Ramakrishnan Kannan and Xiaoye Sherry Li and Richard W. Vuduc}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {A communication-avoiding 3D sparse triangular solver}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {127--137}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330357}, doi = {10.1145/3330345.3330357}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SaoKLV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SunFZG19, author = {Huihui Sun and Florian Fey and Jie Zhao and Sergei Gorlatch}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{WCCV:} improving the vectorization of IF-statements with warp-coherent conditions}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {319--329}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3331059}, doi = {10.1145/3330345.3331059}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SunFZG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangC19, author = {Hengjie Wang and Aparna Chandramowlishwaran}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Multi-criteria partitioning of multi-block structured grids}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {261--271}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330369}, doi = {10.1145/3330345.3330369}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangIMJ19, author = {Haonan Wang and Mohamed Assem Ibrahim and Sparsh Mittal and Adwait Jog}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Address-stride assisted approximate load value prediction in GPUs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {184--194}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330362}, doi = {10.1145/3330345.3330362}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangIMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangXFYZY19, author = {Kunpeng Wang and Shizhen Xu and Haohuan Fu and Hongkun Yu and Wenlai Zhao and Guangwen Yang}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Parallelizing cryo-EM 3D reconstruction on {GPU} cluster with a partitioned and streamed model}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {13--23}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330347}, doi = {10.1145/3330345.3330347}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangXFYZY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XieT0S19, author = {Zhen Xie and Guangming Tan and Weifeng Liu and Ninghui Sun}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {IA-SpGEMM: an input-aware auto-tuning framework for parallel sparse matrix-matrix multiplication}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {94--105}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330354}, doi = {10.1145/3330345.3330354}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XieT0S19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XuNWAA19, author = {Qiumin Xu and Hoda Naghibijouybari and Shibo Wang and Nael B. Abu{-}Ghazaleh and Murali Annavaram}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {GPUGuard: mitigating contention based side and covert channel attacks on GPUs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {497--509}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330389}, doi = {10.1145/3330345.3330389}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XuNWAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XuWSJ019, author = {Hao Xu and Qingsen Wang and Shuang Song and Lizy Kurian John and Xu Liu}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Can we trust profiling results?: understanding and fixing the inaccuracy in modern profilers}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {284--295}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330371}, doi = {10.1145/3330345.3330371}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XuWSJ019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZamaniLTBC19, author = {Hadi Zamani and Yuanlai Liu and Devashree Tripathy and Laxmi N. Bhuyan and Zizhong Chen}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {GreenMM: energy efficient {GPU} matrix multiplication through undervolting}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {308--318}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330373}, doi = {10.1145/3330345.3330373}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZamaniLTBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangCFCMWLG19, author = {Wei Zhang and Weihao Cui and Kaihua Fu and Quan Chen and Daniel Edward Mawhirter and Bo Wu and Chao Li and Minyi Guo}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Laius: Towards latency awareness and improved utilization of spatial multitasking accelerators in datacenters}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {58--68}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330351}, doi = {10.1145/3330345.3330351}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangCFCMWLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangXGGW019, author = {Simon Zhang and Mengbai Xiao and Chengxin Guo and Liang Geng and Hao Wang and Xiaodong Zhang}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {{HYPHA:} a framework based on separation of parallelisms to accelerate persistent homology matrix reduction}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {69--81}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3332147}, doi = {10.1145/3330345.3332147}, timestamp = {Thu, 21 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangXGGW019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaoDZY19, author = {Lei Zhao and Quan Deng and Youtao Zhang and Jun Yang}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {RFAcc: a 3D ReRAM associative array based random forest accelerator}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {473--483}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330387}, doi = {10.1145/3330345.3330387}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhaoDZY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZlateskiJLD19, author = {Aleksandar Zlateski and Zhen Jia and Kai Li and Fr{\'{e}}do Durand}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {The anatomy of efficient {FFT} and winograd convolutions on modern CPUs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {414--424}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330382}, doi = {10.1145/3330345.3330382}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZlateskiJLD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2019, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345}, doi = {10.1145/3330345}, isbn = {978-1-4503-6079-1}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0001SWHHLL0Y18, author = {Ke Zhou and Si Sun and Hua Wang and Ping Huang and Xubin He and Rui Lan and Wenyan Li and Wenjie Liu and Tianming Yang}, title = {Demystifying Cache Policies for Photo Stores at Scale: {A} Tencent Case Study}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {284--294}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205299}, doi = {10.1145/3205289.3205299}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0001SWHHLL0Y18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlvarezCLAVM18, author = {Lluc Alvarez and Marc Casas and Jes{\'{u}}s Labarta and Eduard Ayguad{\'{e}} and Mateo Valero and Miquel Moret{\'{o}}}, title = {Runtime-Guided Management of Stacked {DRAM} Memories in Task Parallel Programs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {218--228}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205312}, doi = {10.1145/3205289.3205312}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlvarezCLAVM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarreraMALVC18, author = {Isaac {S{\\'{a}}nchez Barrera} and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero and Marc Casas}, title = {Reducing Data Movement on Large Shared Memory Systems by Exploiting Computation Dependencies}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {207--217}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205310}, doi = {10.1145/3205289.3205310}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarreraMALVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CaoGZDEM018, author = {Jinrui Cao and Om Rameshwar Gatla and Mai Zheng and Dong Dai and Vidya Eswarappa and Yan Mu and Yong Chen}, title = {PFault: {A} General Framework for Analyzing the Reliability of High-Performance Parallel File Systems}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {1--11}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205302}, doi = {10.1145/3205289.3205302}, timestamp = {Thu, 01 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CaoGZDEM018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChakaravarthyCJ18, author = {Venkatesan T. Chakaravarthy and Jee W. Choi and Douglas J. Joseph and Prakash Murali and Shivmaran S. Pandian and Yogish Sabharwal and Dheeraj Sreedhar}, title = {On Optimizing Distributed Tucker Decomposition for Sparse Tensors}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {374--384}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205315}, doi = {10.1145/3205289.3205315}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChakaravarthyCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiaTSMA18, author = {Zhihao Jia and Sean Treichler and Galen M. Shipman and Patrick S. McCormick and Alex Aiken}, title = {Isometry: {A} Path-Based Distributed Data Transfer System}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {295--306}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205301}, doi = {10.1145/3205289.3205301}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JiaTSMA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JinO18, author = {Zhaoxiang Jin and Soner {\"{O}}nder}, title = {A two-phase recovery mechanism}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {107--117}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205300}, doi = {10.1145/3205289.3205300}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JinO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KarsinWCIS18, author = {Ben Karsin and Volker Weichert and Henri Casanova and John Iacono and Nodari Sitchinava}, title = {Analysis-driven Engineering of Comparison-based Sorting Algorithms on GPUs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {86--95}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205298}, doi = {10.1145/3205289.3205298}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KarsinWCIS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KerblKMSS18, author = {Bernhard Kerbl and Michael Kenzel and Joerg H. Mueller and Dieter Schmalstieg and Markus Steinberger}, title = {The Broker Queue: {A} Fast, Linearizable {FIFO} Queue for Fine-Granular Work Distribution on the {GPU}}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {76--85}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205291}, doi = {10.1145/3205289.3205291}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KerblKMSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimSHPSKS18, author = {Jinsung Kim and Aravind Sukumaran{-}Rajam and Changwan Hong and Ajay Panyala and Rohit Kumar Srivastava and Sriram Krishnamoorthy and P. Sadayappan}, title = {Optimizing Tensor Contractions in {CCSD(T)} for Efficient Execution on GPUs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {96--106}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205296}, doi = {10.1145/3205289.3205296}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KimSHPSKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KougkasDS18, author = {Anthony Kougkas and Hariharan Devarajan and Xian{-}He Sun}, title = {{IRIS:} {I/O} Redirection via Integrated Storage}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {33--42}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205322}, doi = {10.1145/3205289.3205322}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KougkasDS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LambertLKVM18, author = {Jacob Lambert and Seyong Lee and Jungwon Kim and Jeffrey S. Vetter and Allen D. Malony}, title = {Directive-Based, High-Level Programming and Optimizations for High-Performance Computing with FPGAs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {160--171}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205324}, doi = {10.1145/3205289.3205324}, timestamp = {Sun, 30 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LambertLKVM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeeKC18, author = {Dongwoo Lee and Sungbum Kang and Kiyoung Choi}, title = {ComPEND: Computation Pruning through Early Negative Detection for ReLU in a Deep Neural Network Accelerator}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {139--148}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205295}, doi = {10.1145/3205289.3205295}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LeeKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Li0WBS18, author = {Ang Li and Weifeng Liu and Linnan Wang and Kevin J. Barker and Shuaiwen Leon Song}, title = {Warp-Consolidation: {A} Novel Execution Model for GPUs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {53--64}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205294}, doi = {10.1145/3205289.3205294}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Li0WBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Li0ZLLLJ18, author = {Xiuhong Li and Yun Liang and Wentai Zhang and Taide Liu and Haochen Li and Guojie Luo and Ming Jiang}, title = {cuMBIR: An Efficient Framework for Low-dose X-ray {CT} Image Reconstruction on GPUs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {184--194}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205309}, doi = {10.1145/3205289.3205309}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Li0ZLLLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiPM18, author = {Shikai Li and Sunghyun Park and Scott A. Mahlke}, title = {Sculptor: Flexible Approximation with Selective Dynamic Loop Perforation}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {341--351}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205317}, doi = {10.1145/3205289.3205317}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiZCW18, author = {Xue Li and Mingxing Zhang and Kang Chen and Yongwei Wu}, title = {ReGraph: {A} Graph Processing Framework that Alternately Shrinks and Repartitions the Graph}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {172--183}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205292}, doi = {10.1145/3205289.3205292}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiZCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuXLXYL18, author = {Changxi Liu and Biwei Xie and Xin Liu and Wei Xue and Hailong Yang and Xu Liu}, title = {Towards Efficient SpMV on Sunway Manycore Architectures}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {363--373}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205313}, doi = {10.1145/3205289.3205313}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuXLXYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PandaJ18, author = {Reena Panda and Lizy K. John}, title = {{HALO:} {A} Hierarchical Memory Access Locality Modeling Technique For Memory System Explorations}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {118--128}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205323}, doi = {10.1145/3205289.3205323}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PandaJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PascualN18, author = {Jose Antonio Pascual and Javier Navaridas}, title = {High-Performance, Low-Complexity Deadlock Avoidance for Arbitrary Topologies/Routings}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {129--138}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205307}, doi = {10.1145/3205289.3205307}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PascualN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PetersPCKMMPC18, author = {Nadja Peters and Sangyoung Park and Daniel Clifford and S. Kyostila and Ross McIlroy and Benedikt Meurer and Hannes Payer and Samarjit Chakraborty}, title = {Phase-Aware Web Browser Power Management on {HMP} Platforms}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {274--283}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205293}, doi = {10.1145/3205289.3205293}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PetersPCKMMPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RyooJB18, author = {Jee Ho Ryoo and Lizy K. John and Arkaprava Basu}, title = {A Case for Granularity Aware Page Migration}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {352--362}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3208064}, doi = {10.1145/3205289.3208064}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RyooJB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TessierGV18, author = {Fran{\c{c}}ois Tessier and Paul Gressier and Venkatram Vishwanath}, title = {Optimizing Data Aggregation by Leveraging the Deep Memory Hierarchy on Large-scale Systems}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {229--239}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205316}, doi = {10.1145/3205289.3205316}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TessierGV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ThiagarajanJAGS18, author = {Jayaraman J. Thiagarajan and Nikhil Jain and Rushil Anirudh and Alfredo Gim{\'{e}}nez and Rahul Sridhar and Aniruddha Marathe and Tao Wang and Murali Emani and Abhinav Bhatele and Todd Gamblin}, title = {Bootstrapping Parameter Space Exploration for Fast Tuning}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {385--395}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205321}, doi = {10.1145/3205289.3205321}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ThiagarajanJAGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WeiM18, author = {Lai Wei and John M. Mellor{-}Crummey}, title = {Automated Analysis of Time Series Data to Understand Parallel Program Behaviors}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {240--251}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205308}, doi = {10.1145/3205289.3205308}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WeiM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WenCL018, author = {Shasha Wen and Lucy Cherkasova and Felix Xiaozhu Lin and Xu Liu}, title = {ProfDP: {A} Lightweight Profiler to Guide Data Placement in Heterogeneous Memory Systems}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {263--273}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205320}, doi = {10.1145/3205289.3205320}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WenCL018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YanCAD18, author = {Hao Yan and Hebin R. Cherian and Ethan C. Ahn and Lide Duan}, title = {{CELIA:} {A} Device and Architecture Co-Design Framework for STT-MRAM-Based Deep Learning Acceleration}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {149--159}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205297}, doi = {10.1145/3205289.3205297}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YanCAD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YouDHV18, author = {Yang You and James Demmel and Cho{-}Jui Hsieh and Richard W. Vuduc}, title = {Accurate, Fast and Scalable Kernel Ridge Regression on Parallel and Distributed Systems}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {307--317}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205290}, doi = {10.1145/3205289.3205290}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YouDHV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuLLDLL18, author = {Jie Yu and Guangming Liu and Xin Liu and Wenrui Dong and Xiaoyong Li and Yusheng Liu}, title = {Rethinking Node Allocation Strategy for Data-intensive Applications in Consideration of Spatially Bursty {I/O}}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {12--21}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205305}, doi = {10.1145/3205289.3205305}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YuLLDLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaiBZHR18, author = {Keke Zhai and Tania Banerjee and David Zwick and Jason Hackl and Sanjay Ranka}, title = {Dynamic Load Balancing for Compressible Multiphase Turbulence}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {318--327}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205304}, doi = {10.1145/3205289.3205304}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhaiBZHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangCJY18, author = {Wenhui Zhang and Qiang Cao and Hong Jiang and Jie Yao}, title = {{PA-SSD:} {A} Page-Type Aware {TLC} {SSD} for Improved Write/Read Performance and Storage Efficiency}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {22--32}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205319}, doi = {10.1145/3205289.3205319}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangCJY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangH18, author = {Hui Zhang and Jeffrey K. Hollingsworth}, title = {ChplBlamer: {A} Data-centric and Code-centric Combined Profiler for Multi-locale Chapel Programs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {252--262}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205314}, doi = {10.1145/3205289.3205314}, timestamp = {Wed, 13 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangZSMC18, author = {Feng Zhang and Jidong Zhai and Xipeng Shen and Onur Mutlu and Wenguang Chen}, title = {Zwift: {A} Programming Framework for High Performance Text Analytics on Compressed Data}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {195--206}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205325}, doi = {10.1145/3205289.3205325}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangZSMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaoCZX018, author = {Jiacheng Zhao and Huimin Cui and Yalin Zhang and Jingling Xue and Xiaobing Feng}, title = {Revisiting Loop Tiling for Datacenters: Live and Let Live}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {328--340}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205306}, doi = {10.1145/3205289.3205306}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhaoCZX018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhaoWE18, author = {Xia Zhao and Zhiying Wang and Lieven Eeckhout}, title = {Classification-Driven Search for Effective {SM} Partitioning in Multitasking GPUs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {65--75}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205311}, doi = {10.1145/3205289.3205311}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhaoWE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouB018, author = {Husheng Zhou and Soroush Bateni and Cong Liu}, title = {{GRU:} Exploring Computation and Data Redundancy via Partial {GPU} Computing Result Reuse}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {43--52}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205318}, doi = {10.1145/3205289.3205318}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhouB018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2018, title = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, publisher = {{ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3205289}, isbn = {978-1-4503-5783-8}, timestamp = {Fri, 14 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AbdelfattahHTD17, author = {Ahmad Abdelfattah and Azzam Haidar and Stanimire Tomov and Jack J. Dongarra}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Novel {HPC} techniques to batch execution of many variable size {BLAS} computations on GPUs}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {5:1--5:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079103}, doi = {10.1145/3079079.3079103}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AbdelfattahHTD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AurangzebE17, author = {Aurangzeb and Rudolf Eigenmann}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {HiPA: history-based piecewise approximation for functions}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {23:1--23:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079107}, doi = {10.1145/3079079.3079107}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AurangzebE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarvalhoAB17, author = {Joao P. L. de Carvalho and Guido Araujo and Alexandro Baldassin}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Revisiting phased transactional memory}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {25:1--25:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079094}, doi = {10.1145/3079079.3079094}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarvalhoAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DerlerZSS17, author = {Andreas Derler and Rhaleb Zayer and Hans{-}Peter Seidel and Markus Steinberger}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Dynamic scheduling for efficient hierarchical sparse matrix operations on the {GPU}}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {7:1--7:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079085}, doi = {10.1145/3079079.3079085}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DerlerZSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GalvezJK17, author = {Juan J. Galvez and Nikhil Jain and Laxmikant V. Kal{\'{e}}}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Automatic topology mapping of diverse large-scale parallel applications}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {17:1--17:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079104}, doi = {10.1145/3079079.3079104}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GalvezJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GilFPRA17, author = {J. Rub{\'{e}}n Titos Gil and Antonio Flores and Ricardo Fern{\'{a}}ndez Pascual and Alberto Ros and Manuel E. Acacio}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Way-combining directory: an adaptive and scalable low-cost coherence directory}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {20:1--20:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079096}, doi = {10.1145/3079079.3079096}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GilFPRA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuptaSN17, author = {Suyash Gupta and Rahul Shrivastava and V. Krishna Nandivada}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Optimizing recursive task parallel programs}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {11:1--11:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079102}, doi = {10.1145/3079079.3079102}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GuptaSN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HegdeLK17, author = {Nikhil Hegde and Jianqiao Liu and Milind Kulkarni}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {{SPIRIT:} a framework for creating distributed recursive tree applications}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {3:1--3:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079095}, doi = {10.1145/3079079.3079095}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HegdeLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Hou00F17, author = {Kaixi Hou and Weifeng Liu and Hao Wang and Wu{-}chun Feng}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Fast segmented sort on GPUs}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {12:1--12:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079105}, doi = {10.1145/3079079.3079105}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Hou00F17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiangA17, author = {Peng Jiang and Gagan Agrawal}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Efficient {SIMD} and {MIMD} parallelization of hash-based aggregation by conflict mitigation}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {24:1--24:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079080}, doi = {10.1145/3079079.3079080}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JiangA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimBHJY017, author = {Kyung Hoon Kim and Rahul Boyapati and Jiayi Huang and Yuho Jin and Ki Hwan Yum and Eun Jung Kim}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Packet coalescing exploiting data redundancy in {GPGPU} architectures}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {6:1--6:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079088}, doi = {10.1145/3079079.3079088}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KimBHJY017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KunchumCSNNS17, author = {Rakshith Kunchum and Ankur Chaudhry and Aravind Sukumaran{-}Rajam and Qingpeng Niu and Israt Nisa and P. Sadayappan}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {On improving performance of sparse matrix-matrix multiplication on GPUs}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {14:1--14:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079106}, doi = {10.1145/3079079.3079106}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KunchumCSNNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuCLJHZG17, author = {Haikun Liu and Yujie Chen and Xiaofei Liao and Hai Jin and Bingsheng He and Long Zheng and Rentong Guo}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Hardware/software cooperative caching for hybrid {DRAM/NVM} memory architectures}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {26:1--26:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079089}, doi = {10.1145/3079079.3079089}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuCLJHZG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NourianWYFB17, author = {Marziyeh Nourian and Xiang Wang and Xiaodong Yu and Wu{-}chun Feng and Michela Becchi}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Demystifying automata processing: GPUs, FPGAs or Micron's AP?}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {1:1--1:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079100}, doi = {10.1145/3079079.3079100}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NourianWYFB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OrtegaMCBBEB17, author = {Cristobal Ortega and Miquel Moret{\'{o}} and Marc Casas and Ramon Bertran and Alper Buyuktosunoglu and Alexandre E. Eichenberger and Pradip Bose}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {libPRISM: an intelligent adaptation of prefetch and {SMT} levels}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {28:1--28:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079101}, doi = {10.1145/3079079.3079101}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OrtegaMCBBEB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PenaBCM17, author = {Antonio J. Pe{\~{n}}a and Vicen{\c{c}} Beltran and Carsten Clauss and Thomas Moschny}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Supporting automatic recovery in offloaded distributed programming models through {MPI-3} techniques}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {22:1--22:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079093}, doi = {10.1145/3079079.3079093}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PenaBCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/QiuZWVS17, author = {Junqiao Qiu and Zhijia Zhao and Bo Wu and Abhinav Vishnu and Shuaiwen Leon Song}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Enabling scalability-sensitive speculative parallelization for {FSM} computations}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {2:1--2:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079082}, doi = {10.1145/3079079.3079082}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/QiuZWVS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SadrediniRWS17, author = {Elaheh Sadredini and Reza Rahimi and Ke Wang and Kevin Skadron}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Frequent subtree mining on the automata processor: challenges and opportunities}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {4:1--4:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079084}, doi = {10.1145/3079079.3079084}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SadrediniRWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SampaioPR17, author = {Diogo Nunes Sampaio and Louis{-}No{\"{e}}l Pouchet and Fabrice Rastello}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Simplification and runtime resolution of data dependence constraints for loop transformations}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {10:1--10:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079098}, doi = {10.1145/3079079.3079098}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SampaioPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShiLLJYC17, author = {Xuanhua Shi and Ming Li and Wei Liu and Hai Jin and Chen Yu and Yong Chen}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {{SSDUP:} a traffic-aware ssd burst buffer for {HPC} systems}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {27:1--27:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079087}, doi = {10.1145/3079079.3079087}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShiLLJYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SteinbergerZS17, author = {Markus Steinberger and Rhaleb Zayer and Hans{-}Peter Seidel}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Globally homogeneous, locally adaptive sparse matrix-vector multiplication on the {GPU}}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {13:1--13:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079086}, doi = {10.1145/3079079.3079086}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SteinbergerZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SunVN17, author = {Jiawen Sun and Hans Vandierendonck and Dimitrios S. Nikolopoulos}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {GraphGrind: addressing load imbalance of graph partitioning}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {16:1--16:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079097}, doi = {10.1145/3079079.3079097}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SunVN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TotoniAS17, author = {Ehsan Totoni and Todd A. Anderson and Tatiana Shpeisman}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {{HPAT:} high performance analytics with scripting ease-of-use}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {9:1--9:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079099}, doi = {10.1145/3079079.3079099}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TotoniAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XiangSGPMT17, author = {Xi{-}Yue Xiang and Wentao Shi and Saugata Ghose and Lu Peng and Onur Mutlu and Nian{-}Feng Tzeng}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Carpool: a bufferless on-chip network supporting adaptive multicast and hotspot alleviation}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {19:1--19:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079090}, doi = {10.1145/3079079.3079090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XiangSGPMT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuPB17, author = {Seongdae Yu and Seongbeom Park and Woongki Baek}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Design and implementation of bandwidth-aware memory placement and migration policies for heterogeneous memory systems}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {18:1--18:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079092}, doi = {10.1145/3079079.3079092}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YuPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouTZWS17, author = {Keren Zhou and Guangming Tan and Xiuxia Zhang and Chaowei Wang and Ninghui Sun}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {A performance analysis framework for exploiting {GPU} microarchitectural capability}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {15:1--15:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079083}, doi = {10.1145/3079079.3079083}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouTZWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhuangC17, author = {Sicong Zhuang and Marc Casas}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Iteration-fusing conjugate gradient}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {21:1--21:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079091}, doi = {10.1145/3079079.3079091}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhuangC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZlateskiS17, author = {Aleksandar Zlateski and H. Sebastian Seung}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Compile-time optimized and statically scheduled {N-D} convnet primitives for multi-core and many-core (Xeon Phi) CPUs}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {8:1--8:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079081}, doi = {10.1145/3079079.3079081}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZlateskiS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2017, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079}, doi = {10.1145/3079079}, isbn = {978-1-4503-5020-4}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Abdel-Majeed0KA16, author = {Mohammad Abdel{-}Majeed and Daniel Wong and Justin Kuang and Murali Annavaram}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Origami: Folding Warps for Energy Efficient GPUs}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {41:1--41:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926281}, doi = {10.1145/2925426.2926281}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Abdel-Majeed0KA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AcunMK16, author = {Bilge Acun and Phil Miller and Laxmikant V. Kal{\'{e}}}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Variation Among Processors Under Turbo Boost in {HPC} Systems}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {6:1--6:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926289}, doi = {10.1145/2925426.2926289}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AcunMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AinsworthJ16, author = {Sam Ainsworth and Timothy M. Jones}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Graph Prefetching Using Data Structure Knowledge}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {39:1--39:11}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926254}, doi = {10.1145/2925426.2926254}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AinsworthJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AnhFW16, author = {Pham Nguyen Quang Anh and Rui Fan and Yonggang Wen}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Balanced Hashing and Efficient {GPU} Sparse General Matrix-Matrix Multiplication}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {36:1--36:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926273}, doi = {10.1145/2925426.2926273}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AnhFW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AwadBS16, author = {Amro Awad and Sergey Blagodurov and Yan Solihin}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Write-Aware Management of NVM-based Memory Extensions}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {9:1--9:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926284}, doi = {10.1145/2925426.2926284}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AwadBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BelviranliKBG16, author = {Mehmet E. Belviranli and Farzad Khorasani and Laxmi N. Bhuyan and Rajiv Gupta}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {CuMAS: Data Transfer Aware Multi-Application Scheduling for Shared GPUs}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {31:1--31:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926271}, doi = {10.1145/2925426.2926271}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BelviranliKBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Ben-SassonHST16, author = {Eli Ben{-}Sasson and Matan Hamilis and Mark Silberstein and Eran Tromer}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Fast Multiplication in Binary Fields on GPUs via Register Cache}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {35:1--35:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926259}, doi = {10.1145/2925426.2926259}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Ben-SassonHST16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BuonoPCLQLT16, author = {Daniele Buono and Fabrizio Petrini and Fabio Checconi and Xing Liu and Xinyu Que and Chris Long and Tai{-}Ching Tuan}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Optimizing Sparse Matrix-Vector Multiplication for Large-Scale Data Analytics}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {37:1--37:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926278}, doi = {10.1145/2925426.2926278}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BuonoPCLQLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChachmonRCCCR16, author = {Nadav Chachmon and Daniel Richins and Robert S. Cohn and Magnus Christensson and Wenzhi Cui and Vijay Janapa Reddi}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Simulation and Analysis Engine for Scale-Out Workloads}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {22:1--22:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926293}, doi = {10.1145/2925426.2926293}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChachmonRCCCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChasapisSCAVML16, author = {Dimitrios Chasapis and Marc Casas and Miquel Moret{\'{o}} and Martin Schulz and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Runtime-Guided Mitigation of Manufacturing Variability in Power-Constrained Multi-Socket {NUMA} Nodes}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {5:1--5:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926279}, doi = {10.1145/2925426.2926279}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChasapisSCAVML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenS16, author = {Guoyang Chen and Xipeng Shen}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Coherence-Free Multiview: Enabling Reference-Discerning Data Placement on {GPU}}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {14:1--14:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926277}, doi = {10.1145/2925426.2926277}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CotaMC16, author = {Emilio G. Cota and Paolo Mantovani and Luca P. Carloni}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Exploiting Private Local Memories to Reduce the Opportunity Cost of Accelerator Integration}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {27:1--27:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926258}, doi = {10.1145/2925426.2926258}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CotaMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EsteveRRGD16, author = {Albert Esteve and Alberto Ros and Antonio Robles and Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Duato}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {TokenTLB: {A} Token-Based Page Classification Approach}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {26:1--26:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926280}, doi = {10.1145/2925426.2926280}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EsteveRRGD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FialaMFE16, author = {David Fiala and Frank Mueller and Kurt B. Ferreira and Christian Engelmann}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Mini-Ckpts: Surviving {OS} Failures in Persistent Memory}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {7:1--7:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926295}, doi = {10.1145/2925426.2926295}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FialaMFE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GrosserH16, author = {Tobias Grosser and Torsten Hoefler}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Polly-ACC Transparent compilation to heterogeneous hardware}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {1:1--1:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926286}, doi = {10.1145/2925426.2926286}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GrosserH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuLLL16, author = {Yang Hu and Chao Li and Longjun Liu and Tao Li}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {{HOPE:} Enabling Efficient Service Orchestration in Software-Defined Data Centers}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {10:1--10:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926257}, doi = {10.1145/2925426.2926257}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuLLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IslamWLP16, author = {Nusrat Sharmin Islam and Md. Wasi{-}ur{-}Rahman and Xiaoyi Lu and Dhabaleswar K. Panda}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {High Performance Design for {HDFS} with Byte-Addressability of {NVM} and {RDMA}}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {8:1--8:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926290}, doi = {10.1145/2925426.2926290}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/IslamWLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiangCA16, author = {Peng Jiang and Linchuan Chen and Gagan Agrawal}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Reusing Data Reorganization for Efficient {SIMD} Parallelization of Adaptive Irregular Applications}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {16:1--16:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926285}, doi = {10.1145/2925426.2926285}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JiangCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JuddAHAJM16, author = {Patrick Judd and Jorge Albericio and Tayler H. Hetherington and Tor M. Aamodt and Natalie D. Enright Jerger and Andreas Moshovos}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Proteus: Exploiting Numerical Precision Variability in Deep Neural Networks}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {23:1--23:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926294}, doi = {10.1145/2925426.2926294}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/JuddAHAJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimH16, author = {Changdae Kim and Jaehyuk Huh}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Fairness-oriented {OS} Scheduling Support for Multicore Systems}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {29:1--29:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926262}, doi = {10.1145/2925426.2926262}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KimH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KumarSSSS16, author = {Snehasish Kumar and Vijayalakshmi Srinivasan and Amirali Sharifian and Nick Sumner and Arrvindh Shriraman}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Peruse and Profit: Estimating the Accelerability of Loops}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {21:1--21:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926269}, doi = {10.1145/2925426.2926269}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KumarSSSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeiDLSL16, author = {Fei Lei and Dezun Dong and Xiangke Liao and Xing Su and Cunlu Li}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Galaxyfly: {A} Novel Family of Flexible-Radix Low-Diameter Topologies for Large-Scales Interconnection Networks}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {24:1--24:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926275}, doi = {10.1145/2925426.2926275}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LeiDLSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiHSZ16, author = {Lingda Li and Ari B. Hayes and Shuaiwen Leon Song and Eddy Z. Zhang}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Tag-Split Cache for Efficient {GPGPU} Cache Utilization}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {43:1--43:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926253}, doi = {10.1145/2925426.2926253}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiHSZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiSWKC16, author = {Ang Li and Shuaiwen Leon Song and Mark Wijtvliet and Akash Kumar and Henk Corporaal}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {SFU-Driven Transparent Approximation Acceleration on GPUs}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {15:1--15:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926255}, doi = {10.1145/2925426.2926255}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiSWKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiXA16, author = {Zhiying Li and Ruini Xue and Lixiang Ao}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Replichard: Towards Tradeoff between Consistency and Performance for Metadata}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {25:1--25:11}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926292}, doi = {10.1145/2925426.2926292}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiXA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuHK16, author = {Jianqiao Liu and Nikhil Hegde and Milind Kulkarni}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Hybrid {CPU-GPU} scheduling and execution of tree traversals}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {2:1--2:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926261}, doi = {10.1145/2925426.2926261}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuSLHZL16, author = {Longjun Liu and Hongbin Sun and Chao Li and Yang Hu and Nanning Zheng and Tao Li}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Towards an Adaptive Multi-Power-Source Datacenter}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {11:1--11:11}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926276}, doi = {10.1145/2925426.2926276}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuSLHZL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuYERLWWX16, author = {Yuxi Liu and Zhibin Yu and Lieven Eeckhout and Vijay Janapa Reddi and Yingwei Luo and Xiaolin Wang and Zhenlin Wang and Cheng{-}Zhong Xu}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Barrier-Aware Warp Scheduling for Throughput Processors}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {42:1--42:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926267}, doi = {10.1145/2925426.2926267}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuYERLWWX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MahadikWZKBC16, author = {Kanak Mahadik and Christopher Wright and Jinyi Zhang and Milind Kulkarni and Saurabh Bagchi and Somali Chaterji}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {{SARVAVID:} {A} Domain Specific Language for Developing Scalable Computational Genomics Applications}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {34:1--34:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926283}, doi = {10.1145/2925426.2926283}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MahadikWZKBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MalekiNLGPP16, author = {Saeed Maleki and Donald Nguyen and Andrew Lenharth and Mar{\'{\i}}a Jes{\'{u}}s Garzar{\'{a}}n and David A. Padua and Keshav Pingali}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {{DSMR:} {A} Parallel Algorithm for Single-Source Shortest Path Problem}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {32:1--32:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926287}, doi = {10.1145/2925426.2926287}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MalekiNLGPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MehtaGTY16, author = {Sanyam Mehta and Rajat Garg and Nishad Trivedi and Pen{-}Chung Yew}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {TurboTiling: Leveraging Prefetching to Boost Performance of Tiled Codes}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {38:1--38:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926288}, doi = {10.1145/2925426.2926288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MehtaGTY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MitropoulouPZJ16, author = {Konstantina Mitropoulou and Vasileios Porpodas and Xiaochun Zhang and Timothy M. Jones}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Lynx: Using {OS} and Hardware Support for Fast Fine-Grained Inter-Core Communication}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {18:1--18:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926274}, doi = {10.1145/2925426.2926274}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MitropoulouPZJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PandaEJKBJ16, author = {Reena Panda and Yasuko Eckert and Nuwan Jayasena and Onur Kayiran and Michael Boyer and Lizy Kurian John}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Prefetching Techniques for Near-memory Throughput Processors}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {40:1--40:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926282}, doi = {10.1145/2925426.2926282}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PandaEJKBJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/QianSHI16, author = {Xuehai Qian and Koushik Sen and Paul Hargrove and Costin Iancu}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {SReplay: Deterministic Sub-Group Replay for One-Sided Communication}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {17:1--17:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926264}, doi = {10.1145/2925426.2926264}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/QianSHI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RaiC16, author = {Siddharth Rai and Mainak Chaudhuri}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Exploiting Dynamic Reuse Probability to Manage Shared Last-level Caches in {CPU-GPU} Heterogeneous Processors}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {3:1--3:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926266}, doi = {10.1145/2925426.2926266}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RaiC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RibicL16, author = {Haris Ribic and Yu David Liu}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {{AEQUITAS:} Coordinated Energy Management Across Parallel Applications}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {4:1--4:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926260}, doi = {10.1145/2925426.2926260}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RibicL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SultanKS16, author = {Hameedah Sultan and Arpit Katiyar and Smruti R. Sarangi}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Noise Aware Scheduling in Data Centers}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {13:1--13:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926268}, doi = {10.1145/2925426.2926268}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SultanKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangLHF16, author = {Hao Wang and Weifeng Liu and Kaixi Hou and Wu{-}chun Feng}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Parallel Transposition of Sparse Data Structures}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {33:1--33:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926291}, doi = {10.1145/2925426.2926291}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangLHF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangWXXY16, author = {Linnan Wang and Wei Wu and Zenglin Xu and Jianxiong Xiao and Yi Yang}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {{BLASX:} {A} High Performance Level-3 {BLAS} Library for Heterogeneous Multi-GPU Computing}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {20:1--20:11}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926256}, doi = {10.1145/2925426.2926256}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangWXXY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuLMJ16, author = {Suzhen Wu and Yanping Lin and Bo Mao and Hong Jiang}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {GCaR: Garbage Collection aware Cache Management with Improved Performance for Flash-based SSDs}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {28:1--28:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926263}, doi = {10.1145/2925426.2926263}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WuLMJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XuWLSGLQ16, author = {Yunlong Xu and Rui Wang and Tao Li and Mingcong Song and Lan Gao and Zhongzhi Luan and Depei Qian}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Scheduling Tasks with Mixed Timing Constraints in GPU-Powered Real-Time Systems}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {30:1--30:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926265}, doi = {10.1145/2925426.2926265}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/XuWLSGLQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YaoWGMCZ16, author = {Yuan Yao and Guanhua Wang and Zhiguo Ge and Tulika Mitra and Wenzhi Chen and Naxin Zhang}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Efficient Timestamp-Based Cache Coherence Protocol for Many-Core Architectures}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {19:1--19:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926270}, doi = {10.1145/2925426.2926270}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YaoWGMCZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouCCJTX16, author = {Xu Zhou and Haoran Cai and Qiang Cao and Hong Jiang and Lei Tian and Changsheng Xie}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {GreenGear: Leveraging and Managing Server Heterogeneity for Improving Energy Efficiency in Green Data Centers}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {12:1--12:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926272}, doi = {10.1145/2925426.2926272}, timestamp = {Fri, 23 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhouCCJTX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2016, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2925426}, isbn = {978-1-4503-4361-9}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0002V15, author = {Weifeng Liu and Brian Vinter}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{CSR5:} An Efficient Storage Format for Cross-Platform Sparse Matrix-Vector Multiplication}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {339--350}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751209}, doi = {10.1145/2751205.2751209}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0002V15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AgaSN15, author = {Shaizeen Aga and Abhayendra Singh and Satish Narayanasamy}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {zFENCE: Data-less Coherence for Efficient Fences}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {295--305}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751211}, doi = {10.1145/2751205.2751211}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AgaSN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlSaberK15, author = {Nabeel AlSaber and Milind Kulkarni}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {SemCache++: Semantics-Aware Caching for Efficient Multi-GPU Offloading}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {79--88}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751210}, doi = {10.1145/2751205.2751210}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AlSaberK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AloorN15, author = {Raghesh Aloor and V. Krishna Nandivada}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Unique Worker model for OpenMP}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {47--56}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751238}, doi = {10.1145/2751205.2751238}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AloorN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BelviranliDBGZ15, author = {Mehmet E. Belviranli and Peng Deng and Laxmi N. Bhuyan and Rajiv Gupta and Qi Zhu}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {PeerWave: Exploiting Wavefront Parallelism on GPUs with Peer-SM Synchronization}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {25--35}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751243}, doi = {10.1145/2751205.2751243}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BelviranliDBGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BertolacciOHCWS15, author = {Ian J. Bertolacci and Catherine Olschanowsky and Ben Harshbarger and Bradford L. Chamberlain and David G. Wonnacott and Michelle Mills Strout}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Parameterized Diamond Tiling for Stencil Computations with Chapel parallel iterators}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {197--206}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751226}, doi = {10.1145/2751205.2751226}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BertolacciOHCWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BestaH15, author = {Maciej Besta and Torsten Hoefler}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Active Access: {A} Mechanism for High-Performance Distributed Data-Centric Computations}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {155--164}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751219}, doi = {10.1145/2751205.2751219}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BestaH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Bianchini15, author = {Ricardo Bianchini}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Datacenter Efficiency: What's Next?}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {131}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751207}, doi = {10.1145/2751205.2751207}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Bianchini15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CaballeroRFDM15, author = {Diego Caballero and Sara Royuela and Roger Ferrer and Alejandro Duran and Xavier Martorell}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Optimizing Overlapped Memory Accesses in User-directed Vectorization}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {393--404}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751224}, doi = {10.1145/2751205.2751224}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CaballeroRFDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CabezasVGJNH15, author = {Javier Cabezas and Llu{\'{\i}}s Vilanova and Isaac Gelado and Thomas B. Jablin and Nacho Navarro and Wen{-}mei W. Hwu}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Automatic Parallelization of Kernels in Shared-Memory Multi-GPU Nodes}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {3--13}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751218}, doi = {10.1145/2751205.2751218}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CabezasVGJNH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenLAMZXO0BJ15, author = {Ke Chen and Sheng Li and Jung Ho Ahn and Naveen Muralimanohar and Jishen Zhao and Cong Xu and Seongil O and Yuan Xie and Jay B. Brockman and Norman P. Jouppi}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {History-Assisted Adaptive-Granularity Caches (HAAG{\textdollar}) for High Performance 3D {DRAM} Architectures}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {251--261}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751227}, doi = {10.1145/2751205.2751227}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenLAMZXO0BJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChronakiRBALV15, author = {Kallia Chronaki and Alejandro Rico and Rosa M. Badia and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Criticality-Aware Dynamic Task Scheduling for Heterogeneous Architectures}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {329--338}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751235}, doi = {10.1145/2751205.2751235}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChronakiRBALV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Cohen15, author = {Albert Cohen}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Streaming Task Parallelism}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {1}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751208}, doi = {10.1145/2751205.2751208}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Cohen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GaoHX15, author = {Shen Gao and Bingsheng He and Jianliang Xu}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Real-Time In-Memory Checkpointing for Future Hybrid Memory Systems}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {263--272}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751212}, doi = {10.1145/2751205.2751212}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GaoHX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GrosserPPSP15, author = {Tobias Grosser and Jagannathan Ramanujam and Louis{-}No{\"{e}}l Pouchet and P. Sadayappan and Sebastian Pop}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Optimistic Delinearization of Parametrically Sized Arrays}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {351--360}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751248}, doi = {10.1145/2751205.2751248}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GrosserPPSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GysiGH15, author = {Tobias Gysi and Tobias Grosser and Torsten Hoefler}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{MODESTO:} Data-centric Analytic Optimization of Complex Stencil Programs on Heterogeneous Architectures}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {177--186}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751223}, doi = {10.1145/2751205.2751223}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GysiGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HaqueGBN15, author = {Md. Enamul Haque and I{\~{n}}igo Goiri and Ricardo Bianchini and Thu D. Nguyen}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {GreenPar: Scheduling Parallel High Performance Applications in Green Datacenters}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {217--227}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751221}, doi = {10.1145/2751205.2751221}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HaqueGBN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HeCLZLRXFYY15, author = {Wenting He and Huimin Cui and Binbin Lu and Jiacheng Zhao and Shengmei Li and Gong Ruan and Jingling Xue and Xiaobing Feng and Wensen Yang and Youliang Yan}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Hadoop+: Modeling and Evaluating the Heterogeneity for MapReduce Applications in Heterogeneous Clusters}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {143--153}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751236}, doi = {10.1145/2751205.2751236}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HeCLZLRXFYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HouWF15, author = {Kaixi Hou and Hao Wang and Wu{-}chun Feng}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {ASPaS: {A} Framework for Automatic SIMDization of Parallel Sorting on x86-based Many-core Processors}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {383--392}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751247}, doi = {10.1145/2751205.2751247}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HouWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuaLTJL15, author = {Yiqing Hua and Chao Li and Weichao Tang and Li Jiang and Xiaoyao Liang}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Building Fuel Powered Supercomputing Data Center at Low Cost}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {241--250}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751215}, doi = {10.1145/2751205.2751215}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HuaLTJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JinAO15, author = {Zhaoxiang Jin and G{\"{o}}rkem Asilioglu and Soner {\"{O}}nder}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Mower: {A} New Design for Non-blocking Misprediction Recovery}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {285--294}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751228}, doi = {10.1145/2751205.2751228}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JinAO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KumarVSS15, author = {Snehasish Kumar and Naveen Vedula and Arrvindh Shriraman and Vijayalakshmi Srinivasan}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{DASX:} Hardware Accelerator for Software Data Structures}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {361--372}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751231}, doi = {10.1145/2751205.2751231}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KumarVSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeeMV15, author = {Seyong Lee and Jeremy S. Meredith and Jeffrey S. Vetter}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{COMPASS:} {A} Framework for Automated Performance Modeling and Prediction}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {405--414}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751220}, doi = {10.1145/2751205.2751220}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LeeMV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiBCK15, author = {Ang Li and Gert{-}Jan van den Braak and Henk Corporaal and Akash Kumar}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Fine-Grained Synchronizations and Dataflow Programming on GPUs}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {109--118}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751232}, doi = {10.1145/2751205.2751232}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiBCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiSDSHZ15, author = {Chao Li and Shuaiwen Leon Song and Hongwen Dai and Albert Sidelnik and Siva Kumar Sastry Hari and Huiyang Zhou}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Locality-Driven Dynamic {GPU} Cache Bypassing}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {67--77}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751237}, doi = {10.1145/2751205.2751237}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiSDSHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LuoTMS15, author = {Yulong Luo and Guangming Tan and Zeyao Mo and Ninghui Sun}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{FAST:} {A} Fast Stencil Autotuning Framework Based On An Optimal-solution Space Model}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {187--196}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751214}, doi = {10.1145/2751205.2751214}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LuoTMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MargiolasO15, author = {Christos Margiolas and Michael F. P. O'Boyle}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{PALMOS:} {A} Transparent, Multi-tasking Acceleration Layer for Parallel Heterogeneous Systems}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {307--318}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751217}, doi = {10.1145/2751205.2751217}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MargiolasO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PapadopoulosTFA15, author = {Ioannis Papadopoulos and Nathan L. Thomas and Adam Fidel and Nancy M. Amato and Lawrence Rauchwerger}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {{STAPL-RTS:} An Application Driven Runtime System}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {425--434}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751233}, doi = {10.1145/2751205.2751233}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PapadopoulosTFA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ParsonsP15, author = {Benjamin S. Parsons and Vijay S. Pai}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Exploiting Process Imbalance to Improve {MPI} Collective Operations in Hierarchical Systems}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {57--66}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751242}, doi = {10.1145/2751205.2751242}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ParsonsP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SedaghatiMPPS15, author = {Naser Sedaghati and Te Mu and Louis{-}No{\"{e}}l Pouchet and Srinivasan Parthasarathy and P. Sadayappan}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Automatic Selection of Sparse Matrix Representation on GPUs}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {99--108}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751244}, doi = {10.1145/2751205.2751244}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SedaghatiMPPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Seltzer15, author = {Margo I. Seltzer}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Automatically Scalable Computation}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {283}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751206}, doi = {10.1145/2751205.2751206}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Seltzer15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShudlerCHSW15, author = {Sergei Shudler and Alexandru Calotoiu and Torsten Hoefler and Alexandre Strube and Felix Wolf}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Exascaling Your Library: Will Your Implementation Meet Your Expectations?}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {165--175}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751216}, doi = {10.1145/2751205.2751216}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShudlerCHSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/StengelTHW15, author = {Holger Stengel and Jan Treibig and Georg Hager and Gerhard Wellein}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Quantifying Performance Bottlenecks of Stencil Computations Using the Execution-Cache-Memory Model}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {207--216}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751240}, doi = {10.1145/2751205.2751240}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/StengelTHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SundarG15, author = {Hari Sundar and Omar Ghattas}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {A Nested Partitioning Algorithm for Adaptive Meshes on Heterogeneous Clusters}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {319--328}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751246}, doi = {10.1145/2751205.2751246}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SundarG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TuncerLC15, author = {Ozan Tuncer and Vitus J. Leung and Ayse K. Coskun}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {PaCMap: Topology Mapping of Unstructured Communication Patterns onto Non-contiguous Allocations}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {37--46}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751225}, doi = {10.1145/2751205.2751225}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TuncerLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangYSW15, author = {Bin Wang and Weikuan Yu and Xian{-}He Sun and Xinning Wang}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {DaCache: Memory Divergence-Aware {GPU} Cache Management}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {89--98}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751239}, doi = {10.1145/2751205.2751239}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangYSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuCLSV15, author = {Bo Wu and Guoyang Chen and Dong Li and Xipeng Shen and Jeffrey S. Vetter}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Enabling and Exploiting Flexible Task Assignment on {GPU} through SM-Centric Program Transformations}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {119--130}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751213}, doi = {10.1145/2751205.2751213}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuCLSV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuXHGWC15, author = {Yulong Yu and Weijun Xiao and Xubin He and He Guo and Yuxin Wang and Xin Chen}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {A Stall-Aware Warp Scheduling for Dynamically Optimizing Thread-level Parallelism in GPGPUs}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {15--24}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751234}, doi = {10.1145/2751205.2751234}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YuXHGWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZandifarJMKAR15, author = {Mani Zandifar and Mustafa Abdul Jabbar and Alireza Majidi and David E. Keyes and Nancy M. Amato and Lawrence Rauchwerger}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Composing Algorithmic Skeletons to Express High-Performance Scientific Applications}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {415--424}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751241}, doi = {10.1145/2751205.2751241}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZandifarJMKAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouCJX15, author = {Xu Zhou and Qiang Cao and Hong Jiang and Changsheng Xie}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Underprovisioning the Grid Power Infrastructure for Green Datacenters}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {229--240}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751222}, doi = {10.1145/2751205.2751222}, timestamp = {Fri, 23 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhouCJX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouCL15, author = {Ruijin Zhou and Huixiang Chen and Tao Li}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Towards Lightweight and Swift Storage Resource Management in Big Data Cloud Era}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {133--142}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751230}, doi = {10.1145/2751205.2751230}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhouCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZiabariAUCJK15, author = {Amir Kavyan Ziabari and Jos{\'{e}} L. Abell{\'{a}}n and Rafael Ubal and Chao Chen and Ajay Joshi and David R. Kaeli}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Leveraging Silicon-Photonic NoC for Designing Scalable GPUs}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {273--282}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751229}, doi = {10.1145/2751205.2751229}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZiabariAUCJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZouR15, author = {Yun Zou and Sanjay V. Rajopadhye}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Automatic Energy Efficient Parallelization of Uniform Dependence Computations}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {373--382}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751245}, doi = {10.1145/2751205.2751245}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZouR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2015, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2751205}, isbn = {978-1-4503-3559-1}, timestamp = {Mon, 08 Jun 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AshariSES14, author = {Arash Ashari and Naser Sedaghati and John Eisenlohr and P. Sadayappan}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {An efficient two-dimensional blocking strategy for sparse matrix-vector multiplication on GPUs}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {273--282}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597678}, doi = {10.1145/2597652.2597678}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AshariSES14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BahmaniM14, author = {Amir Bahmani and Frank Mueller}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Scalable performance analysis of exascale {MPI} programs through signature-based clustering algorithms}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {155--164}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597676}, doi = {10.1145/2597652.2597676}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BahmaniM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BaskaranML14, author = {Muthu Manikandan Baskaran and Beno{\^{\i}}t Meister and Richard Lethin}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Parallelizing and optimizing sparse tensor computations}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {179}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600115}, doi = {10.1145/2597652.2600115}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BaskaranML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BilmesACD14, author = {Jeff A. Bilmes and Krste Asanovic and Chee{-}Whye Chin and Jim Demmel}, editor = {Utpal Banerjee}, title = {Author retrospective for optimizing matrix multiply using PHiPAC: a portable high-performance {ANSI} {C} coding methodology}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {42--44}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591656}, doi = {10.1145/2591635.2591656}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BilmesACD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChaconMERM14, author = {Alejandro Chac{\'{o}}n and Santiago Marco{-}Sola and Antonio Espinosa and Paolo Ribeca and Juan Carlos Moure}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Thread-cooperative, bit-parallel computation of levenshtein distance on {GPU}}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {103--112}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597677}, doi = {10.1145/2597652.2597677}, timestamp = {Tue, 10 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChaconMERM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChangS14, author = {Jichuan Chang and Gurindar S. Sohi}, editor = {Utpal Banerjee}, title = {Author retrospective for cooperative cache partitioning for chip multiprocessors}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {80--81}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591669}, doi = {10.1145/2591635.2591669}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChangS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenGG14, author = {Quan Chen and Minyi Guo and Haibing Guan}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {{LAWS:} locality-aware work-stealing for multi-socket multi-core architectures}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {3--12}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597665}, doi = {10.1145/2597652.2597665}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenGG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenL14, author = {Shuo Chen and Xiaoming Li}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Input-adaptive parallel sparse fast fourier transform for stream processing}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {93--102}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597669}, doi = {10.1145/2597652.2597669}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Clauss14, author = {Philippe Clauss}, editor = {Utpal Banerjee}, title = {Author retrospective for counting solutions to linear and nonlinear constraints through ehrhart polynomials: applications to analyze and transform scientific programs}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {37--39}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591654}, doi = {10.1145/2591635.2591654}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Clauss14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CostaAYR14, author = {Lauro Beltr{\~{a}}o Costa and Samer Al{-}Kiswany and Hao Yang and Matei Ripeanu}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Supporting storage configuration for {I/O} intensive workflows}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {191--200}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597679}, doi = {10.1145/2597652.2597679}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CostaAYR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Crowley14, author = {Patrick Crowley}, editor = {Utpal Banerjee}, title = {Author retrospective for characterizing processor architectures for programmable network interfaces}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {54--55}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591659}, doi = {10.1145/2591635.2591659}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Crowley14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CuiMZBC14, author = {Zehan Cui and Sally A. McKee and Zhongbin Zha and Yungang Bao and Mingyu Chen}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {DTail: a flexible approach to {DRAM} refresh management}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {43--52}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597663}, doi = {10.1145/2597652.2597663}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CuiMZBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CytronFABC14, author = {Ron K. Cytron and Jeanne Ferrante and Frances E. Allen and Michael G. Burke and Philippe Charles}, editor = {Utpal Banerjee}, title = {Author retrospective for PTRAN's analysis and optimization techniques}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {1--3}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591638}, doi = {10.1145/2591635.2591638}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CytronFABC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DallyB14, author = {William J. Dally and James D. Balfour}, editor = {Utpal Banerjee}, title = {Author retrospective for design tradeoffs for tiled {CMP} on-chip networks}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {77--79}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591668}, doi = {10.1145/2591635.2591668}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DallyB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DemirPSHKM14, author = {Yigit Demir and Yan Pan and Seokwoo Song and Nikos Hardavellas and John Kim and Gokhan Memik}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Galaxy: a high-performance energy-efficient multi-chip architecture using photonic interconnects}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {303--312}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597664}, doi = {10.1145/2597652.2597664}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DemirPSHKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EbciogluN14, author = {Kemal Ebcioglu and Alexandru Nicolau}, editor = {Utpal Banerjee}, title = {Author retrospective for a \emph{global} resource-constrained parallelization technique}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {7--8}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591642}, doi = {10.1145/2591635.2591642}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EbciogluN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EichenbergerDA14, author = {Alexandre E. Eichenberger and Edward S. Davidson and Santosh G. Abraham}, editor = {Utpal Banerjee}, title = {Author retrospective for optimum modulo schedules for minimum register requirements}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {35--36}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591653}, doi = {10.1145/2591635.2591653}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EichenbergerDA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ErlebacherSFB14, author = {Gordon Erlebacher and Erik Saule and Natasha Flyer and Evan F. Bollig}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Acceleration of derivative calculations with application to radial basis function: finite-differences on the intel mic architecture}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {263--272}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597656}, doi = {10.1145/2597652.2597656}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ErlebacherSFB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Feautrier14, author = {Paul Feautrier}, editor = {Utpal Banerjee}, title = {Author retrospective for array expansion, array shrinking, or there and back again}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591641}, doi = {10.1145/2591635.2591641}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Feautrier14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FeliuSPD14, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Addressing bandwidth contention in {SMT} multicores through scheduling}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {167}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600109}, doi = {10.1145/2597652.2600109}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FeliuSPD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GonzalezA14, author = {Antonio Gonz{\'{a}}lez and Carlos Aliagas}, editor = {Utpal Banerjee}, title = {Author retrospective for the dual data cache}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {32--34}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591652}, doi = {10.1145/2591635.2591652}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GonzalezA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GoodmanH14, author = {James R. Goodman and Wei{-}Chung Hsu}, editor = {Utpal Banerjee}, title = {Author retrospective for code scheduling and register allocation in large basic blocks}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {4--5}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591640}, doi = {10.1145/2591635.2591640}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GoodmanH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GornishGV14, author = {Edward H. Gornish and Elana D. Granston and Alexander V. Veidenbaum}, editor = {Utpal Banerjee}, title = {Author retrospective for compiler-directed data prefetching in multiprocessors with memory hierarchies}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {9--11}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591644}, doi = {10.1145/2591635.2591644}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GornishGV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HartleyCRIMU14, author = {Timothy D. R. Hartley and {\"{U}}mit V. {\c{C}}ataly{\"{u}}rek and Antonio Ruiz and Francisco D. Igual and Rafael Mayo and Manuel Ujaldon}, editor = {Utpal Banerjee}, title = {Author's retrospective for biomedical image analysis on a cooperative cluster of gpus and multicores}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {82--84}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591670}, doi = {10.1145/2591635.2591670}, timestamp = {Thu, 24 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HartleyCRIMU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HayesZ14, author = {Ari B. Hayes and Eddy Z. Zhang}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Unified on-chip memory allocation for {SIMT} architecture}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {293--302}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597685}, doi = {10.1145/2597652.2597685}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HayesZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Hill14, author = {Mark D. Hill}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {21st century computer architecture keynote at 2014 international conference on supercomputing {(ICS)}}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {123}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597687}, doi = {10.1145/2597652.2597687}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Hill14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuhKS0BK14, author = {Jaehyuk Huh and Changkyu Kim and Hazim Shafi and Lixin Zhang and Doug Burger and Stephen W. Keckler}, editor = {Utpal Banerjee}, title = {Author retrospective for a {NUCA} substrate for flexible {CMP} cache sharing}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {74--76}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591667}, doi = {10.1145/2591635.2591667}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuhKS0BK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuoRA14, author = {Xin Huo and Bin Ren and Gagan Agrawal}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {A programming system for xeon phis with runtime {SIMD} parallelization}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {283--292}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597682}, doi = {10.1145/2597652.2597682}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HuoRA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IbrahimY14, author = {Khaled Z. Ibrahim and Katherine A. Yelick}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {On the conditions for efficient interoperability with threads: an experience with {PGAS} languages using cray communication domains}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {23--32}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597657}, doi = {10.1145/2597652.2597657}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IbrahimY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IrigoinJT14, author = {Fran{\c{c}}ois Irigoin and Pierre Jouvelot and R{\'{e}}mi Triolet}, editor = {Utpal Banerjee}, title = {Author retrospective for semantical interprocedural parallelization: an overview of the {PIPS} project}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {12--14}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591645}, doi = {10.1145/2591635.2591645}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IrigoinJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Kubiatowicz14, author = {John Kubiatowicz}, editor = {Utpal Banerjee}, title = {Author retrospective for anatomy of a message in the alewife multiprocessor}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {26--28}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591650}, doi = {10.1145/2591635.2591650}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Kubiatowicz14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Langer14, author = {Akhil Langer}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {An optimal distributed load balancing algorithm for homogeneous work units}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {165}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600108}, doi = {10.1145/2597652.2600108}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Langer14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Li14, author = {Zhiyuan Li}, editor = {Utpal Banerjee}, title = {Author's retrospective for array privatization for parallel execution of loops}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {21--23}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591648}, doi = {10.1145/2591635.2591648}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Li14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiLC14, author = {Lingda Li and Junlin Lu and Xu Cheng}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Block value based insertion policy for high performance last-level caches}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {63--72}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597653}, doi = {10.1145/2597652.2597653}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Lippert14, author = {Thomas Lippert}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {{HPC} for the human brain project}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {1}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2616584}, doi = {10.1145/2597652.2616584}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Lippert14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LuCLZ14, author = {Yin Lu and Yong Chen and Robert Latham and Yu Zhuang}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Revealing applications' access pattern in collective {I/O} for cache management}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {181--190}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597686}, doi = {10.1145/2597652.2597686}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LuCLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LvCCLS14, author = {Qin Lv and Pei Cao and Edith Cohen and Kai Li and Scott Shenker}, editor = {Utpal Banerjee}, title = {Author retrospective for search and replication in unstructured peer-to-peer networks}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {64--82}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591663}, doi = {10.1145/2591635.2591663}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LvCCLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/McKinley14, author = {Kathryn S. McKinley}, editor = {Utpal Banerjee}, title = {Author retrospective for optimizing for parallelism and data locality}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {15--17}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591646}, doi = {10.1145/2591635.2591646}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/McKinley14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MehtaFZY14, author = {Sanyam Mehta and Zhenman Fang and Antonia Zhai and Pen{-}Chung Yew}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Multi-stage coordinated prefetching for present-day processors}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {73--82}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597660}, doi = {10.1145/2597652.2597660}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MehtaFZY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Mellor-CrummeyH14, author = {John M. Mellor{-}Crummey and Seema Hiranandani and Ajay Sethi}, editor = {Utpal Banerjee}, title = {Author retrospective: compilation techniques for block-cyclic distributions}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {29--31}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591651}, doi = {10.1145/2591635.2591651}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Mellor-CrummeyH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MichelogiannakisWWS14, author = {George Michelogiannakis and Alexander Williams and Samuel Williams and John Shalf}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Collective memory transfers for multi-core chips}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {343--352}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597654}, doi = {10.1145/2597652.2597654}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MichelogiannakisWWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Mudge14, author = {Trevor N. Mudge}, editor = {Utpal Banerjee}, title = {Author retrospective improving data cache performance by pre-executing instructions under a cache miss}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {40--41}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591655}, doi = {10.1145/2591635.2591655}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Mudge14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Naruko14, author = {Takahiro Naruko}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Reducing energy consumption of NoC by router bypassing}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {173}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600112}, doi = {10.1145/2597652.2600112}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Naruko14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NyewOOW14, author = {Hui Meen Nyew and Nilufer Onder and Soner {\"{O}}nder and Zhenlin Wang}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Verifying micro-architecture simulators using event traces}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {323--332}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597680}, doi = {10.1145/2597652.2597680}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/NyewOOW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OhnoH14, author = {Jun Ohno and Kei Hiraki}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Accelerating cache coherence mechanism with speculation}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {171}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600111}, doi = {10.1145/2597652.2600111}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OhnoH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OldfieldMFR14, author = {Ron A. Oldfield and Kenneth Moreland and Nathan Fabian and David H. Rogers}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Evaluation of methods to integrate analysis into a large-scale shock shock physics code}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {83--92}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597668}, doi = {10.1145/2597652.2597668}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OldfieldMFR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OlukotunHW14, author = {Kunle Olukotun and Lance Hammond and Mark Willey}, editor = {Utpal Banerjee}, title = {Author's retrospective for: improving the performance of speculatively parallel applications on the hydra {CMP}}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {51--53}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591658}, doi = {10.1145/2591635.2591658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OlukotunHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PearceGSAA14, author = {Olga Pearce and Todd Gamblin and Bronis R. de Supinski and Tom Arsenlis and Nancy M. Amato}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Load balancing n-body simulations with highly non-uniform density}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {113--122}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597659}, doi = {10.1145/2597652.2597659}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PearceGSAA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PeirLLSL14, author = {Jih{-}Kwon Peir and Shih{-}Chang Kevin Lai and Shih{-}Lien Lu and Jared Stark and Konrad Lai}, editor = {Utpal Banerjee}, title = {Author retrospective for bloom filtering cache misses for accurate data speculation and prefetching}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {65--67}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591664}, doi = {10.1145/2591635.2591664}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PeirLLSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PericasTM14, author = {Miquel Peric{\`{a}}s and Kenjiro Taura and Satoshi Matsuoka}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Scalable analysis of multicore data reuse and sharing}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {353--362}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597674}, doi = {10.1145/2597652.2597674}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PericasTM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Pingali14, author = {Keshav Pingali}, editor = {Utpal Banerjee}, title = {Author retrospective for synthesizing transformations for locality enhancement of imperfectly-nested loop nests}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {56--58}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591660}, doi = {10.1145/2591635.2591660}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Pingali14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PinheiroB14, author = {Eduardo Pinheiro and Ricardo Bianchini}, editor = {Utpal Banerjee}, title = {Author retrospective on energy conservation techniques for disk array-based servers}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {71--73}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591666}, doi = {10.1145/2591635.2591666}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PinheiroB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RamirezFSV14, author = {Alex Ram{\'{\i}}rez and Ayose Falc{\'{o}}n and Oliverio J. Santana and Mateo Valero}, editor = {Utpal Banerjee}, title = {Author retrospective for software trace cache}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {45--47}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2594508}, doi = {10.1145/2591635.2594508}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RamirezFSV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ReddyB14, author = {Chandan Reddy and Uday Bondhugula}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Effective automatic computation placement and dataallocation for parallelization of regular programs}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {13--22}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597673}, doi = {10.1145/2597652.2597673}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ReddyB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RenRYFAC14, author = {Bin Ren and Nishkam Ravi and Yi Yang and Min Feng and Gagan Agrawal and Srimat T. Chakradhar}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Automating and optimizing data transfers for many-core coprocessors}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {177}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600114}, doi = {10.1145/2597652.2600114}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RenRYFAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RothM14, author = {Philip C. Roth and Jeremy S. Meredith}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Value influence analysis for message passing applications}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {145--154}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597666}, doi = {10.1145/2597652.2597666}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RothM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SaravananCR14, author = {Karthikeyan P. Saravanan and Paul M. Carpenter and Alex Ram{\'{\i}}rez}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {A performance perspective on energy efficient {HPC} links}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {313--322}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597671}, doi = {10.1145/2597652.2597671}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SaravananCR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShenVZLS14, author = {Jie Shen and Ana Lucia Varbanescu and Peng Zou and Yutong Lu and Henk J. Sips}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Improving performance by matching imbalanced workloads with heterogeneous platforms}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {241--250}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597675}, doi = {10.1145/2597652.2597675}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShenVZLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SiPBTI14, author = {Min Si and Antonio J. Pe{\~{n}}a and Pavan Balaji and Masamichi Takagi and Yutaka Ishikawa}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {{MT-MPI:} multithreaded {MPI} for many-core environments}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {125--134}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597658}, doi = {10.1145/2597652.2597658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SiPBTI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Snir14, author = {Marc Snir}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {The future of supercomputing}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {261--262}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2616585}, doi = {10.1145/2597652.2616585}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Snir14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SongD14, author = {Fengguang Song and Jack J. Dongarra}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Scaling up matrix computations on shared-memory manycore systems with 1000 {CPU} cores}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {333--342}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597670}, doi = {10.1145/2597652.2597670}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SongD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SuhFCGDD14, author = {G. Edward Suh and Christopher W. Fletcher and Dwaine E. Clarke and Blaise Gassend and Marten van Dijk and Srinivas Devadas}, editor = {Utpal Banerjee}, title = {Author retrospective {AEGIS:} architecture for tamper-evident and tamper-resistant processing}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {68--70}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591665}, doi = {10.1145/2591635.2591665}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SuhFCGDD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SuhKDR14, author = {G. Edward Suh and George Kurian and Srinivas Devadas and Larry Rudolph}, editor = {Utpal Banerjee}, title = {Author retrospective for analytical cache models with applications to cache partitioning}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {61--63}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591662}, doi = {10.1145/2591635.2591662}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SuhKDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TallentH14, author = {Nathan R. Tallent and Adolfy Hoisie}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Palm: easing the burden of analytical performance modeling}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {221--230}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597683}, doi = {10.1145/2597652.2597683}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TallentH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TangLHL14, author = {Shanjiang Tang and Bu{-}Sung Lee and Bingsheng He and Haikun Liu}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Long-term resource fairness: towards economic fairness on pay-as-you-use computing systems}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {251--260}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597672}, doi = {10.1145/2597652.2597672}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TangLHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanimotoONM14, author = {Teruo Tanimoto and Takatsugu Ono and Kohta Nakashima and Takashi Miyoshi}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Hardware-assisted scalable flow control of shared receive queue}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {175}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600113}, doi = {10.1145/2597652.2600113}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TanimotoONM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TianKJL14, author = {Yingying Tian and Samira Manabi Khan and Daniel A. Jim{\'{e}}nez and Gabriel H. Loh}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Last-level cache deduplication}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {53--62}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597655}, doi = {10.1145/2597652.2597655}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TianKJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TraffRH14, author = {Jesper Larsson Tr{\"{a}}ff and Antoine Rougier and Sascha Hunold}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Implementing a classic: zero-copy all-to-all communication with mpi datatypes}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {135--144}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597662}, doi = {10.1145/2597652.2597662}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TraffRH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangXZ14, author = {Wei Wang and Tao Xie and Deng Zhou}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Understanding the impact of threshold voltage on {MLC} flash memory performance and reliability}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {201--210}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597681}, doi = {10.1145/2597652.2597681}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangXZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Wasi-ur-RahmanLIP14, author = {Md. Wasi{-}ur{-}Rahman and Xiaoyi Lu and Nusrat Sharmin Islam and Dhabaleswar K. Panda}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {{HOMR:} a hybrid approach to exploit maximum overlapping in MapReduce over high performance interconnects}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {33--42}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597684}, doi = {10.1145/2597652.2597684}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Wasi-ur-RahmanLIP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Wolski14, author = {Rich Wolski}, editor = {Utpal Banerjee}, title = {Author retrospective of Dynamic application scheduling using on-line analytics: then and now}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {48--50}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591657}, doi = {10.1145/2591635.2591657}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Wolski14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XiaJXC0S14, author = {Fei Xia and Dejun Jiang and Jin Xiong and Mingyu Chen and Lixin Zhang and Ninghui Sun}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {{DWC:} dynamic write consolidation for phase change memory systems}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {211--220}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597661}, doi = {10.1145/2597652.2597661}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XiaJXC0S14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YangG14, author = {Tao Yang and Apostolos Gerasoulis}, editor = {Utpal Banerjee}, title = {Author retrospective for {PYRROS:} static task scheduling and code generation for message passing multiprocessors}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {18--20}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591647}, doi = {10.1145/2591635.2591647}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YangG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YehMP14, author = {Tse{-}Yu Yeh and Deborah T. Marr and Yale N. Patt}, editor = {Utpal Banerjee}, title = {Author retrospective for increasing the instruction fetch rate via multiple branch prediction and a branch address cache}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {24--25}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591649}, doi = {10.1145/2591635.2591649}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YehMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YouSK14, author = {Yang You and Shuaiwen Leon Song and Darren J. Kerbyson}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {An adaptive cross-architecture combination method for graph traversal}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {169}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600110}, doi = {10.1145/2597652.2600110}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/YouSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuR14, author = {Hao Yu and Lawrence Rauchwerger}, editor = {Utpal Banerjee}, title = {Author retrospective for adaptive reduction parallelization techniques}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {59--60}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591661}, doi = {10.1145/2591635.2591661}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YuR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouSKTL14, author = {Ruijin Zhou and Sankaran Sivathanu and Jinpyo Kim and Bing Tsai and Tao Li}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {An end-to-end analysis of file system features on sparse virtual disks}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {231--240}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2597667}, doi = {10.1145/2597652.2597667}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouSKTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2014, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2597652}, isbn = {978-1-4503-2642-1}, timestamp = {Mon, 06 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/25a, editor = {Utpal Banerjee}, title = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2591635}, isbn = {978-1-4503-2840-1}, timestamp = {Fri, 13 Mar 2015 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/25a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AananthakrishnanBG13, author = {Sriram Aananthakrishnan and Greg Bronevetsky and Ganesh Gopalakrishnan}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Hybrid approach for data-flow analysis of {MPI} programs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {455--456}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467286}, doi = {10.1145/2464996.2467286}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AananthakrishnanBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlSaberK13, author = {Nabeel AlSaber and Milind Kulkarni}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {SemCache: semantics-aware caching for efficient {GPU} offloading}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {421--432}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465021}, doi = {10.1145/2464996.2465021}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AlSaberK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlvanosFTAM13, author = {Michail Alvanos and Montse Farreras and Ettore Tiotto and Jos{\'{e}} Nelson Amaral and Xavier Martorell}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Improving communication in {PGAS} environments: static and dynamic coalescing in {UPC}}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {129--138}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465006}, doi = {10.1145/2464996.2465006}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlvanosFTAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlvanosTFTAM13, author = {Michail Alvanos and Gabriel Tanase and Montse Farreras and Ettore Tiotto and Jos{\'{e}} Nelson Amaral and Xavier Martorell}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Improving performance of all-to-all communication through loop scheduling in {PGAS} environments}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {457--458}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467277}, doi = {10.1145/2464996.2467277}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlvanosTFTAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AmilkanthwarB13, author = {Madhur Amilkanthwar and Shankar Balachandran}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{CUPL:} a compile-time uncoalesced memory access pattern locator for {CUDA}}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {459--460}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467288}, doi = {10.1145/2464996.2467288}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AmilkanthwarB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArnauPX13, author = {Jos{\'{e}}{-}Mar{\'{\i}}a Arnau and Joan{-}Manuel Parcerisa and Polychronis Xekalakis}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{TEAPOT:} a toolset for evaluating performance, power and image quality on mobile graphics systems}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {37--46}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2464999}, doi = {10.1145/2464996.2464999}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ArnauPX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BadinDBDN13, author = {Matthew Badin and Paolo D'Alberto and Lubomir Bic and Michael B. Dillencourt and Alexandru Nicolau}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Improving numerical accuracy for non-negative matrix multiplication on GPUs using recursive algorithms}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {213--222}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465010}, doi = {10.1145/2464996.2465010}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BadinDBDN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Blainey13, author = {Bob Blainey}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Business meets supercomputing: keynote talk}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {1--2}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465446}, doi = {10.1145/2464996.2465446}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Blainey13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BuenoMBAL13, author = {Javier Bueno and Xavier Martorell and Rosa M. Badia and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Implementing OmpSs support for regions of data in architectures with multiple address spaces}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {359--368}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465017}, doi = {10.1145/2464996.2465017}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BuenoMBAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenDS13, author = {Weiwei Chen and Ewa Deelman and Rizos Sakellariou}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Imbalance optimization in scientific workflows}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {461--462}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467270}, doi = {10.1145/2464996.2467270}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenDS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChoPOKYG13, author = {Sangyeun Cho and Chanik Park and Hyunok Oh and Sungchan Kim and Youngmin Yi and Gregory R. Ganger}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Active disk meets flash: a case for intelligent SSDs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {91--102}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465003}, doi = {10.1145/2464996.2465003}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChoPOKYG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CiobanuPPG13, author = {Catalin Bogdan Ciobanu and Dionisios N. Pnevmatikatos and Kyprianos D. Papadimitriou and Georgi Nedeltchev Gaydadjiev}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{FASTER} run-time reconfiguration management}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {463--464}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467283}, doi = {10.1145/2464996.2467283}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CiobanuPPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ClarkeTM13, author = {Hadrien A. Clarke and Antoine Trouv{\'{e}} and Kazuaki J. Murakami}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{MAD7:} a memory architecture simulator targeted at design space exploration}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {465--466}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467272}, doi = {10.1145/2464996.2467272}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ClarkeTM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DuyO13, author = {Truong Vinh Truong Duy and Taisuke Ozaki}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {A decomposition method with minimal communication volume for parallelization of multi-dimensional FFTs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {467--468}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467276}, doi = {10.1145/2464996.2467276}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DuyO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DuyO13a, author = {Truong Vinh Truong Duy and Taisuke Ozaki}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {A massively parallel domain decomposition method for large-scale {DFT} electronic structure calculations}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {469--470}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467273}, doi = {10.1145/2464996.2467273}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DuyO13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EdmondsWL13, author = {Nicholas Gerard Edmonds and Jeremiah Willcock and Andrew Lumsdaine}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Expressing graph algorithms using generalized active messages}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {283--292}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465441}, doi = {10.1145/2464996.2465441}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/EdmondsWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangZ13, author = {Kun Fang and Zhichun Zhu}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Conservative row activation to improve memory power efficiency}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {81--90}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465002}, doi = {10.1145/2464996.2465002}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FangZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FoteinosC13, author = {Panagiotis A. Foteinos and Nikos Chrisochoides}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {High quality real-time image-to-mesh conversion for finite element simulations}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {233--242}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465439}, doi = {10.1145/2464996.2465439}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FoteinosC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FoteinosFCC13, author = {Panagiotis A. Foteinos and Daming Feng and Andrey N. Chernikov and Nikos Chrisochoides}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Multi-layered unstructured mesh generation}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {471--472}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467281}, doi = {10.1145/2464996.2467281}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FoteinosFCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FringsALGSW13, author = {Wolfgang Frings and Dong H. Ahn and Matthew P. LeGendre and Todd Gamblin and Bronis R. de Supinski and Felix Wolf}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Massively parallel loading}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {389--398}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465020}, doi = {10.1145/2464996.2465020}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FringsALGSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GrassoPCF13, author = {Ivan Grasso and Simone Pellegrini and Biagio Cosenza and Thomas Fahringer}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {LibWater: heterogeneous distributed computing made easy}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {161--172}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465008}, doi = {10.1145/2464996.2465008}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GrassoPCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HaidarGTD13, author = {Azzam Haidar and Mark Gates and Stanimire Tomov and Jack J. Dongarra}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Toward a scalable multi-GPU eigensolver via compute-intensive kernels and efficient communication}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {223--232}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465438}, doi = {10.1145/2464996.2465438}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HaidarGTD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HamidouchePSKP13, author = {Khaled Hamidouche and Sreeram Potluri and Hari Subramoni and Krishna Chaitanya Kandalla and Dhabaleswar K. Panda}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{MIC-RO:} enabling efficient remote offload on heterogeneous many integrated core {(MIC)} clusters with InfiniBand}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {399--408}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465445}, doi = {10.1145/2464996.2465445}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HamidouchePSKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HenrettyVFPRS13, author = {Thomas Henretty and Richard Veras and Franz Franchetti and Louis{-}No{\"{e}}l Pouchet and J. Ramanujam and P. Sadayappan}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {A stencil compiler for short-vector {SIMD} architectures}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {13--24}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467268}, doi = {10.1145/2464996.2467268}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HenrettyVFPRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HoganWLK13, author = {Justin A. Hogan and Raymond J. Weber and Brock J. LaMeres and Todd Kaiser}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Network-on-chip for a partially reconfigurable {FPGA} system}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {473--474}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467285}, doi = {10.1145/2464996.2467285}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HoganWLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuoKA13, author = {Xin Huo and Sriram Krishnamoorthy and Gagan Agrawal}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Efficient scheduling of recursive control flow on GPUs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {409--420}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2479870}, doi = {10.1145/2464996.2479870}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HuoKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JhaAK13, author = {Saurabh Jha and Tejaswi Agarwal and B. Rajesh Kanna}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploiting data parallelism in the yConvex hypergraph algorithm for image representation using GPGPUs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {475--476}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467269}, doi = {10.1145/2464996.2467269}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/JhaAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiangZHZZCHZWZ13, author = {Tao Jiang and Lele Zhang and Rui Hou and Yi Zhang and Qianlong Zhang and Lin Chai and Jing Han and Wuxiong Zhang and Cong Wang and Lixin Zhang}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {The ARMv8 simulator}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {477--478}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467287}, doi = {10.1145/2464996.2467287}, timestamp = {Fri, 12 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/JiangZHZZCHZWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JothiA13, author = {Komal Jothi and Haitham Akkary}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Tuning the continual flow pipeline architecture}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {243--252}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465011}, doi = {10.1145/2464996.2465011}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JothiA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JungC13, author = {Ju{-}Young Jung and Sangyeun Cho}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Memorage: emerging persistent {RAM} based malleable main memory and storage architecture}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {115--126}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465005}, doi = {10.1145/2464996.2465005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JungC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JungSK13, author = {Myoungsoo Jung and John Shalf and Mahmut T. Kandemir}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Design of a large-scale storage-class {RRAM} system}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {103--114}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465004}, doi = {10.1145/2464996.2465004}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JungSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KeeverI13, author = {Erik Keever and James N. Imamura}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Imogen: a parallel 3D fluid and {MHD} code for GPUs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {479--480}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467275}, doi = {10.1145/2464996.2467275}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KeeverI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KoflerGCF13, author = {Klaus Kofler and Ivan Grasso and Biagio Cosenza and Thomas Fahringer}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {An automatic input-sensitive approach for heterogeneous task partitioning}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {149--160}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465007}, doi = {10.1145/2464996.2465007}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KoflerGCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KoliaiBTVAJ13, author = {Souad Koliai and Zakaria Bendifallah and Mathieu Tribalat and C{\'{e}}dric Valensi and Jean{-}Thomas Acquaviva and William Jalby}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Quantifying performance bottleneck cost through differential analysis}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {263--272}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465440}, doi = {10.1145/2464996.2465440}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KoliaiBTVAJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KoukosBSK13, author = {Konstantinos Koukos and David Black{-}Schaffer and Vasileios Spiliopoulos and Stefanos Kaxiras}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Towards more efficient execution: a decoupled access-execute approach}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {253--262}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465012}, doi = {10.1145/2464996.2465012}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KoukosBSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LamHSL13, author = {Michael O. Lam and Jeffrey K. Hollingsworth and Bronis R. de Supinski and Matthew P. LeGendre}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Automatically adapting programs for mixed-precision floating-point computation}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {369--378}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465018}, doi = {10.1145/2464996.2465018}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LamHSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiMZB13, author = {Min Li and Sushil Mantri and Pin Zhou and Ali Raza Butt}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{SMIO:} {I/O} similarity aware virtual machine management invirtual desktop environments}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {481--482}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467274}, doi = {10.1145/2464996.2467274}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiMZB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LinNG13, author = {Changhui Lin and Vijay Nagarajan and Rajiv Gupta}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Address-aware fences}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {313--324}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465015}, doi = {10.1145/2464996.2465015}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LinNG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuJKPP13, author = {Chenyang Liu and Muhammad Hasan Jamal and Milind Kulkarni and Arun Prakash and Vijay S. Pai}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploiting domain knowledge to optimize parallel computational mechanics codes}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {25--36}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2464998}, doi = {10.1145/2464996.2464998}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuJKPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuMF13, author = {Xu Liu and John M. Mellor{-}Crummey and Michael W. Fagan}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {A new approach for performance analysis of openMP programs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {69--80}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465433}, doi = {10.1145/2464996.2465433}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuMF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuSCD13, author = {Xing Liu and Mikhail Smelyanskiy and Edmond Chow and Pradeep Dubey}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Efficient sparse matrix-vector multiplication on x86-based many-core processors}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {273--282}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465013}, doi = {10.1145/2464996.2465013}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuSCD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MarinMV13, author = {Gabriel Marin and Collin McCurdy and Jeffrey S. Vetter}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Diagnosis and optimization of application prefetching performance}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {303--312}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465014}, doi = {10.1145/2464996.2465014}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MarinMV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OzogSMHDB13, author = {David Ozog and Sameer Shende and Allen D. Malony and Jeff R. Hammond and James Dinan and Pavan Balaji}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Inspector/executor load balancing algorithms for block-sparse tensor contractions}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {483--484}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467282}, doi = {10.1145/2464996.2467282}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OzogSMHDB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PapaefstathiouKNP13, author = {Vassilis Papaefstathiou and Manolis Katevenis and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Prefetching and cache management using task lifetimes}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {325--334}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465443}, doi = {10.1145/2464996.2465443}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PapaefstathiouKNP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ParkSI13, author = {Chang{-}Seo Park and Koushik Sen and Costin Iancu}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Scaling data race detection for partitioned global address space programs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {47--58}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465000}, doi = {10.1145/2464996.2465000}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ParkSI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PatkiLRSS13, author = {Tapasya Patki and David K. Lowenthal and Barry Rountree and Martin Schulz and Bronis R. de Supinski}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploring hardware overprovisioning in power-constrained, high performance computing}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {173--182}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465009}, doi = {10.1145/2464996.2465009}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PatkiLRSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PophaleCC13, author = {Swaroop Pophale and Tony Curtis and Barbara M. Chapman}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Improving performance of openSHMEM reference library by portable {PE} mapping technique}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {485--486}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467279}, doi = {10.1145/2464996.2467279}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PophaleCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PrietoPG13, author = {Pablo Prieto and Valentin Puente and Jos{\'{e}}{-}{\'{A}}ngel Gregorio}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {{CMP} off-chip bandwidth scheduling guided by instruction criticality}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {379--388}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465019}, doi = {10.1145/2464996.2465019}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PrietoPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PrisacariRMH13, author = {Bogdan Prisacari and Germ{\'{a}}n Rodr{\'{\i}}guez and Cyriel Minkenberg and Torsten Hoefler}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Bandwidth-optimal all-to-all exchanges in fat tree networks}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {139--148}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465434}, doi = {10.1145/2464996.2465434}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PrisacariRMH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RajamonySS13, author = {Ramakrishnan Rajamony and Mark W. Stephenson and William Evan Speight}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {The power 775 architecture at scale}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {183--192}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465435}, doi = {10.1145/2464996.2465435}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RajamonySS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SabneSE13, author = {Amit Sabne and Putt Sakdhnagool and Rudolf Eigenmann}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Scaling large-data computations on multi-GPU accelerators}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {443--454}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465023}, doi = {10.1145/2464996.2465023}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SabneSE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Shrestha13, author = {Sonish Shrestha}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Using platform-independent data locality analysis to predict cache performance on abstract hardware platforms}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {487--488}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467271}, doi = {10.1145/2464996.2467271}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Shrestha13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Smith13, author = {James E. Smith}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {The role of computer designers in reverse-engineering the brain}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {335--336}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2464997}, doi = {10.1145/2464996.2464997}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Smith13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SorensenGG13, author = {Tyler Sorensen and Ganesh Gopalakrishnan and Vinod Grover}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Towards shared memory consistency models for GPUs}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {489--490}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467280}, doi = {10.1145/2464996.2467280}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SorensenGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SridharanGS13, author = {Srinath Sridharan and Gagan Gupta and Gurindar S. Sohi}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Holistic run-time parallelism management for time and energy efficiency}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {337--348}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465016}, doi = {10.1145/2464996.2465016}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SridharanGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/StoneS13, author = {Andrew Stone and Michelle Mills Strout}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Abstractions to separate concerns in semi-regular grids}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {3--12}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467267}, doi = {10.1145/2464996.2467267}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/StoneS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SundarMB13, author = {Hari Sundar and Dhairya Malhotra and George Biros}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {HykSort: a new variant of hypercube quicksort on distributed memory architectures}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {293--302}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465442}, doi = {10.1145/2464996.2465442}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SundarMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Teig13, author = {Steven L. Teig}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Function, latency, bandwidth, power: towards a better computer}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {127--128}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465447}, doi = {10.1145/2464996.2465447}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Teig13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/UnderwoodBSSS13, author = {Keith D. Underwood and Eric Borch and John Sizer and Timothy Stremcha and Michael Strom}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Evaluating on-die interconnects for a 4 TB/s router}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {203--212}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465437}, doi = {10.1145/2464996.2465437}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/UnderwoodBSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ValeroSPD13, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploiting reuse information to reduce refresh energy in on-chip eDRAM caches}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {491--492}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467278}, doi = {10.1145/2464996.2467278}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ValeroSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VasudevanVK13, author = {R. Vasudevan and Sathish S. Vadhiyar and Laxmikant V. Kal{\'{e}}}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {G-Charm: an adaptive runtime system for message-driven parallel applications on hybrid systems}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {349--358}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465444}, doi = {10.1145/2464996.2465444}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VasudevanVK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangCP13, author = {Ruisheng Wang and Lizhong Chen and Timothy Mark Pinkston}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Bubble coloring: avoiding routing- and protocol-induced deadlocks with minimal virtual channel requirement}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {193--202}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465436}, doi = {10.1145/2464996.2465436}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangJH13, author = {Cong Wang and Tao Jiang and Rui Hou}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {V-OpenCL: a method to use remote {GPGPU}}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {493--494}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467289}, doi = {10.1145/2464996.2467289}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WeberHLK13, author = {Raymond J. Weber and Justin A. Hogan and Brock J. LaMeres and Todd Kaiser}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Power efficiency in a partially reconfigurable multiprocessor system}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {495--496}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467284}, doi = {10.1145/2464996.2467284}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WeberHLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuM13, author = {Xing Wu and Frank Mueller}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Elastic and scalable tracing and accurate replay of non-deterministic events}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {59--68}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465001}, doi = {10.1145/2464996.2465001}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XiangYMRHZ13, author = {Ping Xiang and Yi Yang and Mike Mantor and Norm Rubin and Lisa R. Hsu and Huiyang Zhou}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploiting uniform vector instructions for {GPGPU} performance, energy efficiency, and opportunistic reliability enhancement}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {433--442}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465022}, doi = {10.1145/2464996.2465022}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XiangYMRHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2013, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2464996}, isbn = {978-1-4503-2130-3}, timestamp = {Wed, 29 May 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CasasSBS12, author = {Marc Casas{-}Guix and Bronis R. de Supinski and Greg Bronevetsky and Martin Schulz}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Fault resilience of the algebraic multi-grid solver}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {91--100}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304590}, doi = {10.1145/2304576.2304590}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CasasSBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenGH12, author = {Quan Chen and Minyi Guo and Zhiyi Huang}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {{CATS:} cache aware task-stealing based on online profiling in multi-socket multi-core architectures}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {163--172}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304599}, doi = {10.1145/2304576.2304599}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CzechowskiBMIYV12, author = {Kenneth Czechowski and Casey Battaglino and Chris McClanahan and Kartik Iyer and P.{-}K. Yeung and Richard W. Vuduc}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {On the communication complexity of 3D FFTs and its implications for Exascale}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {205--214}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304604}, doi = {10.1145/2304576.2304604}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CzechowskiBMIYV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GreenMB12, author = {Oded Green and Robert McColl and David A. Bader}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {{GPU} merge path: a {GPU} merging algorithm}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {331--340}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304621}, doi = {10.1145/2304576.2304621}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GreenMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Gschwind12, author = {Michael Gschwind}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Blue Gene/Q: design for sustained multi-petaflop computing}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {245--246}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304609}, doi = {10.1145/2304576.2304609}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Gschwind12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GulurMMG12, author = {Nagendra Dwarakanath Gulur and R. Manikantan and Mahesh Mehendale and R. Govindarajan}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Multiple sub-row buffers in {DRAM:} unlocking performance and energy improvement opportunities}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {257--266}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304613}, doi = {10.1145/2304576.2304613}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GulurMMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuoWS12, author = {Ziyu Guo and Bo Wu and Xipeng Shen}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {One stone two birds: synchronization relaxation and redundancy removal in {GPU-CPU} translation}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {25--36}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304583}, doi = {10.1145/2304576.2304583}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GuoWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HanJLWT12, author = {Liang Han and Xiaowei Jiang and Wei Liu and Youfeng Wu and James Tuck}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {HiRe: using hint {\&} release to improve synchronization of speculative threads}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {143--152}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304597}, doi = {10.1145/2304576.2304597}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HanJLWT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HolewinskiPS12, author = {Justin Holewinski and Louis{-}No{\"{e}}l Pouchet and P. Sadayappan}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {High-performance code generation for stencil computations on {GPU} architectures}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {311--320}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304619}, doi = {10.1145/2304576.2304619}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HolewinskiPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IshiiIH12, author = {Yasuo Ishii and Mary Inaba and Kei Hiraki}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Unified memory optimizing architecture: memory subsystem control with a unified predictor}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {267--278}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304614}, doi = {10.1145/2304576.2304614}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IshiiIH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiaSM12, author = {Wenhao Jia and Kelly A. Shaw and Margaret Martonosi}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Characterizing and improving the use of demand-fetched caches in GPUs}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {15--24}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304582}, doi = {10.1145/2304576.2304582}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JiaSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JoubertS12, author = {Wayne Joubert and Shi{-}Quan Su}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {An analysis of computational workloads for the {ORNL} Jaguar system}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {247--256}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304611}, doi = {10.1145/2304576.2304611}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JoubertS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KestorGUCV12, author = {Gokcen Kestor and Roberto Gioiosa and Osman S. Unsal and Adri{\'{a}}n Cristal and Mateo Valero}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Enhancing the performance of assisted execution runtime systems through hardware/software techniques}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {153--162}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304598}, doi = {10.1145/2304576.2304598}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KestorGUCV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimSLNJL12, author = {Jungwon Kim and Sangmin Seo and Jun Lee and Jeongho Nah and Gangwon Jo and Jaejin Lee}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {SnuCL: an OpenCL framework for heterogeneous {CPU/GPU} clusters}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {341--352}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304623}, doi = {10.1145/2304576.2304623}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KimSLNJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiLTCS12, author = {Jiajia Li and Xingjian Li and Guangming Tan and Mingyu Chen and Ninghui Sun}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {An optimized large-scale hybrid {DGEMM} design for CPUs and {ATI} GPUs}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {377--386}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304626}, doi = {10.1145/2304576.2304626}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiLTCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LuoPII12, author = {Miao Luo and Dhabaleswar K. Panda and Khaled Z. Ibrahim and Costin Iancu}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Congestion avoidance on manycore high performance computing systems}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {121--132}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304594}, doi = {10.1145/2304576.2304594}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LuoPII12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaK12, author = {Wenjing Ma and Sriram Krishnamoorthy}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Data-driven fault tolerance for work stealing computations}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {79--90}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304589}, doi = {10.1145/2304576.2304589}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaoADSWZH12, author = {Mengjie Mao and Hong An and Bobin Deng and Tao Sun and Xuechao Wei and Wei Zhou and Wenting Han}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Distributed replay protocol for distributed uniprocessors}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {3--14}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304580}, doi = {10.1145/2304576.2304580}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaoADSWZH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MittalJGSK12, author = {Anshul Mittal and Nikhil Jain and Thomas George and Yogish Sabharwal and Sameer Kumar}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Collective algorithms for sub-communicators}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {225--234}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304606}, doi = {10.1145/2304576.2304606}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MittalJGSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MukundanGKIM12, author = {Janani Mukundan and Saugata Ghose and Robert Karmazin and Engin Ipek and Jos{\'{e}} F. Mart{\'{\i}}nez}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Overcoming single-thread performance hurdles in the core fusion reconfigurable multicore architecture}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {101--110}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304592}, doi = {10.1145/2304576.2304592}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MukundanGKIM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Patt12, author = {Yale N. Patt}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {High performance supercomputers: should the individual processor be more than a brick?}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {1--2}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304578}, doi = {10.1145/2304576.2304578}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Patt12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PearceGSSA12, author = {Olga Pearce and Todd Gamblin and Bronis R. de Supinski and Martin Schulz and Nancy M. Amato}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Quantifying the effectiveness of load balance algorithms}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {185--194}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304601}, doi = {10.1145/2304576.2304601}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PearceGSSA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PietracaprinaPRSU12, author = {Andrea Pietracaprina and Geppino Pucci and Matteo Riondato and Francesco Silvestri and Eli Upfal}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Space-round tradeoffs for MapReduce computations}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {235--244}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304607}, doi = {10.1145/2304576.2304607}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PietracaprinaPRSU12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RatanaworabhanBKZ12, author = {Paruj Ratanaworabhan and Martin Burtscher and Darko Kirovski and Benjamin G. Zorn}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Hardware support for enforcing isolation in lock-based parallel programs}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {301--310}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304618}, doi = {10.1145/2304576.2304618}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RatanaworabhanBKZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RaviYBC12, author = {Nishkam Ravi and Yi Yang and Tao Bao and Srimat T. Chakradhar}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Apricot: an optimizing compiler and productivity tool for x86-compatible many-core coprocessors}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {47--58}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304585}, doi = {10.1145/2304576.2304585}, timestamp = {Wed, 18 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RaviYBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Romein12, author = {John W. Romein}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {An efficient work-distribution strategy for gridding radio-telescope data on GPUs}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {321--330}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304620}, doi = {10.1145/2304576.2304620}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Romein12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SardashtiW12, author = {Somayeh Sardashti and David A. Wood}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {UniFI: leveraging non-volatile memories for a unified fault tolerance and idle power management technique}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {59--68}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304587}, doi = {10.1145/2304576.2304587}, timestamp = {Mon, 25 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SardashtiW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShantharamSR12, author = {Manu Shantharam and Sowmyalatha Srinivasmurthy and Padma Raghavan}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Fault tolerant preconditioned conjugate gradient for sparse linear system solution}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {69--78}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304588}, doi = {10.1145/2304576.2304588}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShantharamSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SongTD12, author = {Fengguang Song and Stanimire Tomov and Jack J. Dongarra}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Enabling and scaling matrix computations on heterogeneous multi-core and multi-GPU systems}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {365--376}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304625}, doi = {10.1145/2304576.2304625}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SongTD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/StevensonFSHC12, author = {John P. Stevenson and Amin Firoozshahian and Alex Solomatnikov and Mark Horowitz and David R. Cheriton}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Sparse matrix-vector multiply on the {HICAMP} architecture}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {195--204}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304603}, doi = {10.1145/2304576.2304603}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/StevensonFSHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SuK12, author = {Bor{-}Yiing Su and Kurt Keutzer}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {clSpMV: {A} Cross-Platform OpenCL SpMV Framework on GPUs}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {353--364}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304624}, doi = {10.1145/2304576.2304624}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SuK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SunAWZS12, author = {Tao Sun and Hong An and Tao Wang and Haibo Zhang and Xiufeng Sui}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {CRQ-based fair scheduling on composable multicore architectures}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {173--184}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304600}, doi = {10.1145/2304576.2304600}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SunAWZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanLTC12, author = {Mingxing Tan and Xianhua Liu and Tong Tong and Xu Cheng}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {{CVP:} an energy-efficient indirect branch prediction with compiler-guided value pattern}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {111--120}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304593}, doi = {10.1145/2304576.2304593}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TanLTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanaseAXA12, author = {Gabriel Ilie Tanase and Gheorghe Alm{\'{a}}si and Hanhong Xue and Charles Archer}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Composable, non-blocking collective operations on power7 {IH}}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {215--224}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304605}, doi = {10.1145/2304576.2304605}, timestamp = {Thu, 09 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TanaseAXA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/UnderwoodB12, author = {Keith D. Underwood and Eric Borch}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Exploiting communication and packaging locality for cost-effective large scale networks}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {291--300}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304616}, doi = {10.1145/2304576.2304616}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/UnderwoodB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XuYM12, author = {Yi Xu and Jun Yang and Rami G. Melhem}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {133--142}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304595}, doi = {10.1145/2304576.2304595}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XuYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuL12, author = {Hongtao Yu and Zhiyuan Li}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Fast loop-level data dependence profiling}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {37--46}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304584}, doi = {10.1145/2304576.2304584}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YuL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhanJS12, author = {Dongyuan Zhan and Hong Jiang and Sharad C. Seth}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Locality {\&} utility co-optimization for practical capacity management of shared last level caches}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {279--290}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304615}, doi = {10.1145/2304576.2304615}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhanJS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2012, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2304576}, isbn = {978-1-4503-1316-2}, timestamp = {Tue, 26 Jun 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Adve11, author = {Sarita V. Adve}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Rethinking shared-memory languages and hardware}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {1}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995898}, doi = {10.1145/1995896.1995898}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/Adve11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BasuBH11, author = {Arkaprava Basu and Jayaram Bobba and Mark D. Hill}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Karma: scalable deterministic record-replay}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {359--368}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995950}, doi = {10.1145/1995896.1995950}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BasuBH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BerkaV11, author = {Tobias Berka and Mari{\'{a}}n Vajtersic}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} information retrieval as a persistent parallel service on supercomputer infrastructure}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {369}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995952}, doi = {10.1145/1995896.1995952}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BerkaV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BuenoDMABL11, author = {Javier Bueno and Alejandro Duran and Xavier Martorell and Eduard Ayguad{\'{e}} and Rosa M. Badia and Jes{\'{u}}s Labarta}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Poster: programming clusters of GPUs with OMPSs}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {378}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995961}, doi = {10.1145/1995896.1995961}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BuenoDMABL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarringtonTOLPSP11, author = {Laura Carrington and Mustafa M. Tikir and Catherine Olschanowsky and Michael Laurenzano and Joshua Peraza and Allan Snavely and Stephen Poole}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {An idiom-finding tool for increasing productivity of accelerators}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {202--212}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995928}, doi = {10.1145/1995896.1995928}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarringtonTOLPSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenHBMTC11, author = {Licheng Chen and Yongbing Huang and Yungang Bao and Onur Mutlu and Guangming Tan and Mingyu Chen}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Poster: revisiting virtual channel memory for performance and fairness on multi-core architecture}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {379}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995962}, doi = {10.1145/1995896.1995962}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenHBMTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenJ11, author = {Jian Chen and Lizy Kurian John}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Predictive coordination of multiple on-chip resources for chip multiprocessors}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {192--201}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995927}, doi = {10.1145/1995896.1995927}, timestamp = {Wed, 12 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenKZ11, author = {Feng Chen and David A. Koufaty and Xiaodong Zhang}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Hystor: making the best use of solid state drives in high performance storage systems}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {22--32}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995902}, doi = {10.1145/1995896.1995902}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenKZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenWL11, author = {Ming Chen and Xiaorui Wang and Xue Li}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Coordinating processor and main memory for efficientserver power control}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {130--140}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995917}, doi = {10.1145/1995896.1995917}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChhabraRSP11, author = {Siddhartha Chhabra and Brian Rogers and Yan Solihin and Milos Prvulovic}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {SecureME: a hardware-software approach to full system security}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {108--119}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995914}, doi = {10.1145/1995896.1995914}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChhabraRSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChiJ11, author = {Chi Ching Chi and Ben H. H. Juurlink}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {A QHD-capable parallel {H.264} decoder}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {317--326}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995945}, doi = {10.1145/1995896.1995945}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChiJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Dakshinamurthy11, author = {Amruth Rudraiah Dakshinamurthy}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} automatic extraction of SST/macro skeleton models}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {382}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995965}, doi = {10.1145/1995896.1995965}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Dakshinamurthy11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DaviesC11, author = {Teresa Davies and Zizhong Chen}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} soft error detection and recovery for high performance linpack}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {372}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995955}, doi = {10.1145/1995896.1995955}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DaviesC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DaviesKLDC11, author = {Teresa Davies and Christer Karlsson and Hui Liu and Chong Ding and Zizhong Chen}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {High performance linpack benchmark: a fault tolerant implementation without checkpointing}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {162--171}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995923}, doi = {10.1145/1995896.1995923}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DaviesKLDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Dorier11, author = {Matthieu Dorier}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} Damaris - using dedicated i/o cores for scalable post-petascale {HPC} simulations}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {370}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995953}, doi = {10.1145/1995896.1995953}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Dorier11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangZIFGLLKJM11, author = {Zhen Fang and Li Zhao and Ravishankar R. Iyer and Carlos Flores Fajardo and German Fabila Garcia and Seung Eun Lee and Bin Li and Steve R. King and Xiaowei Jiang and Srihari Makineni}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Cost-effectively offering private buffers in SoCs and CMPs}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {275--284}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995940}, doi = {10.1145/1995896.1995940}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FangZIFGLLKJM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Feldman11, author = {Steven Feldman}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} facilitating efficient parallelization of information storage and retrieval on large data sets}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {381}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995964}, doi = {10.1145/1995896.1995964}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Feldman11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FrascaP11, author = {Michael R. Frasca and Ramya Prabhakar}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} virtual i/o caching: dynamic storage cache management for concurrent workloads}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {376}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995959}, doi = {10.1145/1995896.1995959}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FrascaP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GahvariBSYJG11, author = {Hormozd Gahvari and Allison H. Baker and Martin Schulz and Ulrike Meier Yang and Kirk E. Jordan and William Gropp}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Modeling the performance of an algebraic multigrid cycle on {HPC} platforms}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {172--181}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995924}, doi = {10.1145/1995896.1995924}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GahvariBSYJG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GilNAGS11, author = {J. Rub{\'{e}}n Titos Gil and Anurag Negi and Manuel E. Acacio and Jos{\'{e}} M. Garc{\'{\i}}a and Per Stenstr{\"{o}}m}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{ZEBRA:} a data-centric, hybrid-policy hardware transactional memory design}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {53--62}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995906}, doi = {10.1145/1995896.1995906}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GilNAGS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Gropp11, author = {William D. Gropp}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Performance modeling as the key to extreme scale computing}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {213}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995930}, doi = {10.1145/1995896.1995930}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Gropp11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuSL11, author = {Liang Gu and Jakob Siegel and Xiaoming Li}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Using GPUs to compute large out-of-card FFTs}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {255--264}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995937}, doi = {10.1145/1995896.1995937}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GuSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Hammond11, author = {Steven W. Hammond}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Challenges and opportunities in renewable energy and energy efficiency}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {151}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995920}, doi = {10.1145/1995896.1995920}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Hammond11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HoeflerS11, author = {Torsten Hoefler and Marc Snir}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Generic topology mapping strategies for large-scale parallel architectures}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {75--84}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995909}, doi = {10.1145/1995896.1995909}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HoeflerS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuJFTLZ11, author = {Yang Hu and Hong Jiang and Dan Feng and Lei Tian and Hao Luo and Shu Ping Zhang}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Performance impact and interplay of {SSD} parallelism through advanced commands, allocation strategy and data granularity}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {96--107}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995912}, doi = {10.1145/1995896.1995912}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HuJFTLZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HughesL11, author = {Clay Hughes and Tao Li}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Optimizing throughput/power trade-offs in hardware transactional memory using {DVFS} and intelligent scheduling}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {141--150}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995918}, doi = {10.1145/1995896.1995918}, timestamp = {Wed, 15 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HughesL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuoRMA11, author = {Xin Huo and Vignesh T. Ravi and Wenjing Ma and Gagan Agrawal}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {An execution strategy and optimized runtime support for parallelizing irregular reductions on modern GPUs}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {2--11}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995900}, doi = {10.1145/1995896.1995900}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HuoRMA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Jang11, author = {Choonki Jang}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} an automatic code overlaying technique for multicores with explicitly-managed memory hierarchies}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {377}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995960}, doi = {10.1145/1995896.1995960}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Jang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ManivannanJS11, author = {Madhavan Manivannan and Ben H. H. Juurlink and Per Stenstr{\"{o}}m}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Poster: implications of merging phases on scalability of multi-core architectures}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {380}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995963}, doi = {10.1145/1995896.1995963}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ManivannanJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/McFarlinAFP11, author = {Daniel S. McFarlin and Volodymyr Arbatov and Franz Franchetti and Markus P{\"{u}}schel}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Automatic {SIMD} vectorization of fast fourier transforms for the larrabee and {AVX} instruction sets}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {265--274}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995938}, doi = {10.1145/1995896.1995938}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/McFarlinAFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OuyangYNXL11, author = {Jin Ouyang and Chuan Yang and Dimin Niu and Yuan Xie and Zhiwen Liu}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {F\({}^{\mbox{2}}\)BFLY: an on-chip free-space optical network with wavelength-switching}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {348--358}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995949}, doi = {10.1145/1995896.1995949}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OuyangYNXL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PassasK11, author = {Stavros Passas and Sven Karlsson}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} FenixOS - a research operating system focused on high scalability and reliability}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {371}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995954}, doi = {10.1145/1995896.1995954}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PassasK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PerarnauTH11, author = {Swann Perarnau and Marc Tchiboukdjian and Guillaume Huard}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Controlling cache utilization of {HPC} applications}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {295--304}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995942}, doi = {10.1145/1995896.1995942}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PerarnauTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PienaarRC11, author = {Jacques A. Pienaar and Anand Raghunathan and Srimat T. Chakradhar}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{MDR:} performance model driven runtime for heterogeneous parallel platforms}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {225--234}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995933}, doi = {10.1145/1995896.1995933}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PienaarRC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PolflietRE11, author = {Stijn Polfliet and Frederick Ryckbosch and Lieven Eeckhout}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Optimizing the datacenter for data-centric workloads}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {182--191}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995926}, doi = {10.1145/1995896.1995926}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PolflietRE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Pophale11, author = {Swaroop Suhas Pophale}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} OpenSHMEM library development}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {374}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995957}, doi = {10.1145/1995896.1995957}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Pophale11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/QuislantGPZ11, author = {Ricardo Quislant and Eladio Guti{\'{e}}rrez and Oscar G. Plata and Emilio L. Zapata}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Multiset signatures for transactional memory}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {43--52}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995905}, doi = {10.1145/1995896.1995905}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/QuislantGPZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RamosGB11, author = {Luiz E. Ramos and Eugene Gorbatov and Ricardo Bianchini}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Page placement in hybrid memory systems}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {85--95}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995911}, doi = {10.1145/1995896.1995911}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RamosGB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RibutzkaHMG11, author = {Juergen Ributzka and Yuhei Hayashi and Joseph B. Manzano and Guang R. Gao}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {The elephant and the mice: the role of non-strict fine-grain synchronization for modern many-core architectures}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {338--347}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995948}, doi = {10.1145/1995896.1995948}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RibutzkaHMG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SchoenrockDGGP11, author = {Andrew Schoenrock and Frank K. H. A. Dehne and James R. Green and Ashkan Golshani and Sylvain Pitre}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{MP-PIPE:} a massively parallel protein-protein interaction prediction engine}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {327--337}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995946}, doi = {10.1145/1995896.1995946}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SchoenrockDGGP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShantharamSR11, author = {Manu Shantharam and Sowmyalatha Srinivasmurthy and Padma Raghavan}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Characterizing the impact of soft errors on iterative methods in scientific computing}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {152--161}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995922}, doi = {10.1145/1995896.1995922}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShantharamSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SheiRC11, author = {Chun{-}Yu Shei and Pushkar Ratnalikar and Arun Chauhan}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Automating {GPU} computing in {MATLAB}}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {245--254}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995936}, doi = {10.1145/1995896.1995936}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SheiRC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SpiliopoulosKKE11, author = {Vasileios Spiliopoulos and Georgios Keramidas and Stefanos Kaxiras and Konstantinos Efstathiou}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Poster: {DVFS} management in real-processors}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {373}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995956}, doi = {10.1145/1995896.1995956}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SpiliopoulosKKE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SudarsanBCKMOZS11, author = {Rajesh Sudarsan and Julian Borrill and Christopher Cantalupo and Theodore Kisner and Kamesh Madduri and Leonid Oliker and Yili Zheng and Horst D. Simon}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Cosmic microwave background map-making at the petascale and beyond}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {305--316}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995944}, doi = {10.1145/1995896.1995944}, timestamp = {Thu, 06 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SudarsanBCKMOZS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TabbaHG11, author = {Fuad Tabba and Andrew W. Hay and James R. Goodman}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Transactional conflict decoupling and value prediction}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {33--42}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995904}, doi = {10.1145/1995896.1995904}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TabbaHG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TallentMFLA11, author = {Nathan R. Tallent and John M. Mellor{-}Crummey and Michael Franco and Reed Landrum and Laksono Adhianto}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Scalable fine-grained call path tracing}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {63--74}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995908}, doi = {10.1145/1995896.1995908}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/TallentMFLA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Tian11, author = {Yuan Tian}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {{SRC:} enabling petascale data analysis for scientific applications through data reorganization}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {375}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995958}, doi = {10.1145/1995896.1995958}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Tian11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/UnatCB11, author = {Didem Unat and Xing Cai and Scott B. Baden}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Mint: realizing {CUDA} performance in 3D stencil methods with annotated {C}}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {214--224}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995932}, doi = {10.1145/1995896.1995932}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/UnatCB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VernerSS11, author = {Uri Verner and Assaf Schuster and Mark Silberstein}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Processing data streams with hard real-time constraints on heterogeneous systems}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {120--129}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995915}, doi = {10.1145/1995896.1995915}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VernerSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WillcockHEL11, author = {Jeremiah Willcock and Torsten Hoefler and Nicholas Gerard Edmonds and Andrew Lumsdaine}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Active pebbles: parallel programming for data-driven applications}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {235--244}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995934}, doi = {10.1145/1995896.1995934}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WillcockHEL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuMP11, author = {Xing Wu and Frank Mueller and Scott Pakin}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Automatic generation of executable communication specifications from parallel applications}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {12--21}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995901}, doi = {10.1145/1995896.1995901}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XuDZY11, author = {Yi Xu and Yu Du and Youtao Zhang and Jun Yang}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {A composite and scalable cache coherence protocol for large scale CMPs}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {285--294}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995941}, doi = {10.1145/1995896.1995941}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/XuDZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2011, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896}, doi = {10.1145/1995896}, isbn = {978-1-4503-0102-2}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AsadiFGWWN10, author = {Narges Bani Asadi and Christopher W. Fletcher and Greg Gibeling and John Wawrzynek and Wing H. Wong and Garry P. Nolan}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {\emph{ParaLearn}: a massively parallel, scalable system for learning interaction networks on FPGAs}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {83--94}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810100}, doi = {10.1145/1810085.1810100}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/AsadiFGWWN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BaekBKO10, author = {Woongki Baek and Nathan Grasso Bronson and Christos Kozyrakis and Kunle Olukotun}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Making nested parallel transactions practical using lightweight hardware support}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {61--71}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810097}, doi = {10.1145/1810085.1810097}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BaekBKO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BertranGMNA10, author = {Ramon Bertran and Marc Gonz{\'{a}}lez and Xavier Martorell and Nacho Navarro and Eduard Ayguad{\'{e}}}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Decomposable and responsive power models for multicore processors using performance counters}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {147--158}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810108}, doi = {10.1145/1810085.1810108}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BertranGMNA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BhadauriaM10, author = {Major Bhadauria and Sally A. McKee}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {An approach to resource-aware co-scheduling for CMPs}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {189--199}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810113}, doi = {10.1145/1810085.1810113}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BhadauriaM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BissetCFMM10, author = {Keith R. Bisset and Jiangzhuo Chen and Xizhou Feng and Yifei Ma and Madhav V. Marathe}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Indemics: an interactive data intensive framework for high performance epidemic simulation}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {233--242}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810118}, doi = {10.1145/1810085.1810118}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BissetCFMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChauhanS10, author = {Arun Chauhan and Chun{-}Yu Shei}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Static reuse distances for locality-based optimizations in {MATLAB}}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {295--304}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810125}, doi = {10.1145/1810085.1810125}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChauhanS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenCM10, author = {Yifeng Chen and Xiang Cui and Hong Mei}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Large-scale {FFT} on {GPU} clusters}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {315--324}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810128}, doi = {10.1145/1810085.1810128}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChenCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChiJM10, author = {Chi Ching Chi and Ben H. H. Juurlink and Cor Meenderinck}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Evaluation of parallel {H.264} decoding strategies for the Cell Broadband Engine}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {105--114}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810102}, doi = {10.1145/1810085.1810102}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChiJM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Dally10, author = {William J. Dally}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Throughput computing}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {2}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810088}, doi = {10.1145/1810085.1810088}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Dally10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DouLWGZS10, author = {Yong Dou and Yuanwu Lei and Guiming Wu and Song Guo and Jie Zhou and Li Shen}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {{FPGA} accelerating double/quad-double high precision floating-point applications for ExaScale computing}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {325--336}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810129}, doi = {10.1145/1810085.1810129}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DouLWGZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GamblinSSFR10, author = {Todd Gamblin and Bronis R. de Supinski and Martin Schulz and Robert J. Fowler and Daniel A. Reed}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Clustering performance data efficiently at massive scales}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {243--252}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810119}, doi = {10.1145/1810085.1810119}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GamblinSSFR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GouKG10, author = {Chunyang Gou and Georgi Kuzmanov and Georgi Gaydadjiev}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {{SAMS} multi-layout memory: providing multiple views of data to boost {SIMD} performance}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {179--188}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810111}, doi = {10.1145/1810085.1810111}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GouKG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuLS10, author = {Liang Gu and Xiaoming Li and Jakob Siegel}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {An empirically tuned 2D and 3D {FFT} library on {CUDA} {GPU}}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {305--314}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810127}, doi = {10.1145/1810085.1810127}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GuLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Hirao10, author = {Kimihiko Hirao}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {The next-generation supercomputer project and a plan for the advanced institute for computational science}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {3}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810089}, doi = {10.1145/1810085.1810089}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Hirao10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JainS10, author = {Nikhil Jain and Yogish Sabharwal}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Optimal bucket algorithms for large {MPI} collectives on torus interconnects}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {27--36}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810093}, doi = {10.1145/1810085.1810093}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JainS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LindermanBAMAN10, author = {Michael D. Linderman and Robert V. Bruggner and Vivek Athalye and Teresa H. Meng and Narges Bani Asadi and Garry P. Nolan}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {High-throughput Bayesian network learning using heterogeneous multicore computers}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {95--104}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810101}, doi = {10.1145/1810085.1810101}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LindermanBAMAN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiraMG10, author = {Javier Lira and Carlos Molina and Antonio Gonz{\'{a}}lez}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {The auction: optimizing banks usage in Non-Uniform Cache Architectures}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {37--47}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810095}, doi = {10.1145/1810085.1810095}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiraMG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuL10, author = {Lixia Liu and Zhiyuan Li}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {A compiler-automated array compression scheme for optimizing memory intensive programs}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {285--294}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810124}, doi = {10.1145/1810085.1810124}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MahramH10, author = {Atabak Mahram and Martin C. Herbordt}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Fast and accurate {NCBI} {BLASTP:} acceleration with multiphase FPGA-based prefiltering}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {73--82}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810099}, doi = {10.1145/1810085.1810099}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MahramH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MalonyBSM10, author = {Allen D. Malony and Scott Biersdorff and Wyatt Spear and Shangkar Mayanglambam}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {An experimental approach to performance measurement of heterogeneous parallel applications using {CUDA}}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {127--136}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810105}, doi = {10.1145/1810085.1810105}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MalonyBSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MarjanovicLAV10, author = {Vladimir Marjanovic and Jes{\'{u}}s Labarta and Eduard Ayguad{\'{e}} and Mateo Valero}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Overlapping communication and computation by using a hybrid MPI/SMPSs approach}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {5--16}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810091}, doi = {10.1145/1810085.1810091}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MarjanovicLAV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NaghmouchiSB10, author = {Jamin Naghmouchi and Daniele Paolo Scarpazza and Mladen Berekovic}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Small-ruleset regular expression matching on GPGPUs: quantitative performance analysis and optimization}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {337--348}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810130}, doi = {10.1145/1810085.1810130}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NaghmouchiSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OlinerA10, author = {Adam J. Oliner and Alex Aiken}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {A query language for understanding component interactions in production systems}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {201--210}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810114}, doi = {10.1145/1810085.1810114}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OlinerA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Pawlowski10, author = {Stephen S. Pawlowski}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Exascale science: the next frontier in high performance computing}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {1}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810087}, doi = {10.1145/1810085.1810087}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Pawlowski10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PerezBL10, author = {Josep M. P{\'{e}}rez and Rosa M. Badia and Jes{\'{u}}s Labarta}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Handling task dependencies under strided and aliased references}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {263--274}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810122}, doi = {10.1145/1810085.1810122}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PerezBL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PotluriLTSCTSBMP10, author = {Sreeram Potluri and Ping Lai and Karen A. Tomko and Sayantan Sur and Yifeng Cui and Mahidhar Tatineni and Karl W. Schulz and William L. Barth and Amitava Majumdar and Dhabaleswar K. Panda}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Quantifying performance benefits of overlap using {MPI-2} in a seismic modeling application}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {17--25}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810092}, doi = {10.1145/1810085.1810092}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PotluriLTSCTSBMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PrabhakarSKP10, author = {Ramya Prabhakar and Shekhar Srikantaiah and Mahmut T. Kandemir and Christina M. Patrick}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Adaptive multi-level cache allocation in distributed storage architectures}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {211--221}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810115}, doi = {10.1145/1810085.1810115}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PrabhakarSKP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RaviMCA10, author = {Vignesh T. Ravi and Wenjing Ma and David Chiu and Gagan Agrawal}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Compiler and runtime support for enabling generalized reduction computations on heterogeneous parallel configurations}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {137--146}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810106}, doi = {10.1145/1810085.1810106}, timestamp = {Tue, 22 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RaviMCA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShinHCCFH10, author = {Jaewook Shin and Mary W. Hall and Jacqueline Chame and Chun Chen and Paul F. Fischer and Paul D. Hovland}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Speeding up Nek5000 with autotuning and specialization}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {253--262}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810120}, doi = {10.1145/1810085.1810120}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShinHCCFH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SpekHW10, author = {Harmen L. A. van der Spek and C. W. Mattias Holm and Harry A. G. Wijshoff}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {How to unleash array optimizations on code using recursive data structures}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {275--284}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810123}, doi = {10.1145/1810085.1810123}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SpekHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/StrzodkaSPS10, author = {Robert Strzodka and Mohammed Shaheen and Dawid Pajak and Hans{-}Peter Seidel}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Cache oblivious parallelograms in iterative stencil computations}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {49--59}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810096}, doi = {10.1145/1810085.1810096}, timestamp = {Fri, 23 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/StrzodkaSPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangJ10, author = {Xuechen Zhang and Song Jiang}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {\emph{InterferenceRemoval}: removing interference of disk access for {MPI} programs through data replication}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {223--232}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810116}, doi = {10.1145/1810085.1810116}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangJGS10, author = {Eddy Z. Zhang and Yunlian Jiang and Ziyu Guo and Xipeng Shen}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Streamlining {GPU} applications on the fly: thread divergence elimination through runtime thread-data remapping}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {115--126}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810104}, doi = {10.1145/1810085.1810104}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhangJGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangSRL10, author = {Lixin Zhang and Evan Speight and Ramakrishnan Rajamony and Jiang Lin}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Enigma: architectural and operating system support for reducing the impact of address translation}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {159--168}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810109}, doi = {10.1145/1810085.1810109}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangSRL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhuCS10, author = {Huaiyu Zhu and Yong Chen and Xian{-}He Sun}, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Timing local streams: improving timeliness in data prefetching}, booktitle = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, pages = {169--178}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085.1810110}, doi = {10.1145/1810085.1810110}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhuCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2010, editor = {Taisuke Boku and Hiroshi Nakashima and Avi Mendelson}, title = {Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810085}, doi = {10.1145/1810085}, isbn = {978-1-4503-0018-6}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AliMP09, author = {Qasim Ali and Samuel P. Midkiff and Vijay S. Pai}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Efficient high performance collective communication for the cell blade}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {193--203}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542306}, doi = {10.1145/1542275.1542306}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AliMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArjomandS09, author = {Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {A comprehensive power-performance model for NoCs with multi-flit channel buffers}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {470--478}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542341}, doi = {10.1145/1542275.1542341}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ArjomandS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BautistaSHPD09, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Dynamic task set partitioning based on balancing memory requirements to reduce power consumption}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {513--514}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542356}, doi = {10.1145/1542275.1542356}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BautistaSHPD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BeamerABJS09, author = {Scott Beamer and Krste Asanovic and Christopher Batten and Ajay Joshi and Vladimir Stojanovic}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Designing multi-socket systems using silicon photonics}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {521--522}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542360}, doi = {10.1145/1542275.1542360}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BeamerABJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BelginBR09, author = {Mehmet Belgin and Godmar Back and Calvin J. Ribbens}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Pattern-based sparse matrix representation for memory-efficient {SMVM} kernels}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {100--109}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542294}, doi = {10.1145/1542275.1542294}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BelginBR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BhadauriaWM09, author = {Major Bhadauria and Vincent M. Weaver and Sally A. McKee}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {{PARSEC:} hardware profiling of emerging workloads for {CMP} design}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {509--510}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542354}, doi = {10.1145/1542275.1542354}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BhadauriaWM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BhateleKK09, author = {Abhinav Bhatele and Laxmikant V. Kal{\'{e}} and Sameer Kumar}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Dynamic topology aware load balancing algorithms for molecular dynamics applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {110--116}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542295}, doi = {10.1145/1542275.1542295}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BhateleKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BissetCFKM09, author = {Keith R. Bisset and Jiangzhuo Chen and Xizhou Feng and V. S. Anil Kumar and Madhav V. Marathe}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {EpiFast: a fast algorithm for large scale realistic epidemic simulations on distributed memory systems}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {430--439}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542336}, doi = {10.1145/1542275.1542336}, timestamp = {Wed, 06 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BissetCFKM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CaoNGMC09, author = {Jun Cao and Krista A. Novstrup and Ayush Goyal and Samuel P. Midkiff and James M. Caruthers}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {A parallel levenberg-marquardt algorithm}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {450--459}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542338}, doi = {10.1145/1542275.1542338}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CaoNGMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChellappaFP09, author = {Srinivas Chellappa and Franz Franchetti and Markus P{\"{u}}schel}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Computer generation of fast fourier transforms for the cell broadband engine}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {26--35}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542285}, doi = {10.1145/1542275.1542285}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChellappaFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChiuYC09, author = {Jih{-}Ching Chiu and Kai{-}Ming Yang and Yu{-}Liang Chou}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Design of a novel {SIMD} architecture by fusing operations and registers}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {503--504}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542351}, doi = {10.1145/1542275.1542351}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChiuYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChungBK09, author = {JaeWoong Chung and Woongki Baek and Christos Kozyrakis}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Fast memory snapshot for concurrent programmingwithout synchronization}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {117--125}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542297}, doi = {10.1145/1542275.1542297}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChungBK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DaloukasAB09, author = {Konstantis Daloukas and Christos D. Antonopoulos and Nikolaos Bellas}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Implementation of a wide-angle lens distortion correction algorithm on the cell broadband engine}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {4--13}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542283}, doi = {10.1145/1542275.1542283}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DaloukasAB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DanalisPSC09, author = {Anthony Danalis and Lori L. Pollock and D. Martin Swany and John Cavazos}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {MPI-aware compiler optimizations for improving communication-computation overlap}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {316--325}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542321}, doi = {10.1145/1542275.1542321}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DanalisPSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DusserPS09, author = {Julien Dusser and Thomas Piquet and Andr{\'{e}} Seznec}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Zero-content augmented caches}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {46--55}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542288}, doi = {10.1145/1542275.1542288}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DusserPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FarajKSMGH09, author = {Ahmad Faraj and Sameer Kumar and Brian E. Smith and Amith R. Mamidala and John A. Gunnels and Philip Heidelberger}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {{MPI} collective communications on the blue gene/p supercomputer: algorithms and optimizations}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {489--490}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542344}, doi = {10.1145/1542275.1542344}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FarajKSMGH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FernandesSS09, author = {Gabriel Falc{\~{a}}o Paiva Fernandes and V{\'{\i}}tor Manuel Mendes da Silva and Leonel Sousa}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {How GPUs can outperform ASICs for fast {LDPC} decoding}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {390--399}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542330}, doi = {10.1145/1542275.1542330}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FernandesSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Foster09, author = {Ian T. Foster}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Computing outside the box}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {3}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542281}, doi = {10.1145/1542275.1542281}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Foster09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GajinovZUCAHV09, author = {Vladimir Gajinov and Ferad Zyulkyarov and Osman S. Unsal and Adri{\'{a}}n Cristal and Eduard Ayguad{\'{e}} and Tim Harris and Mateo Valero}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {QuakeTM: parallelizing a complex sequential application using transactional memory}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {126--135}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542298}, doi = {10.1145/1542275.1542298}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GajinovZUCAHV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Grice09, author = {Don G. Grice}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {The roadrunner project and the importance of energy efficiency on the road to exascale computing}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {2}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542279}, doi = {10.1145/1542275.1542279}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Grice09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GuL09, author = {Liang Gu and Xiaoming Li}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Performance modeling for {DFT} algorithms in {FFTW}}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {507--508}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542353}, doi = {10.1145/1542275.1542353}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GuL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HammoudCM09, author = {Mohammad Hammoud and Sangyeun Cho and Rami G. Melhem}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Dynamic cache clustering for chip multiprocessors}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {56--67}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542289}, doi = {10.1145/1542275.1542289}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HammoudCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HartonoBBCKNRS09, author = {Albert Hartono and Muthu Manikandan Baskaran and C{\'{e}}dric Bastoul and Albert Cohen and Sriram Krishnamoorthy and Boyana Norris and J. Ramanujam and P. Sadayappan}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Parametric multi-level tiling of imperfectly nested loops}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {147--157}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542301}, doi = {10.1145/1542275.1542301}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HartonoBBCKNRS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HerdrichIINCM09, author = {Andrew Herdrich and Ramesh Illikkal and Ravi R. Iyer and Donald Newell and Vineet Chadha and Jaideep Moses}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Rate-based QoS techniques for cache/memory in {CMP} platforms}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {479--488}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542342}, doi = {10.1145/1542275.1542342}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HerdrichIINCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HilbrichSSM09, author = {Tobias Hilbrich and Bronis R. de Supinski and Martin Schulz and Matthias S. M{\"{u}}ller}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {A graph based approach for {MPI} deadlock detection}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {296--305}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542319}, doi = {10.1145/1542275.1542319}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HilbrichSSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HusseinA09, author = {Mohamed E. Hussein and Wael Abd{-}Almageed}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Approximate kernel matrix computation on GPUs forlarge scale learning applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {511--512}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542355}, doi = {10.1145/1542275.1542355}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HusseinA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IshiiIH09, author = {Yasuo Ishii and Mary Inaba and Kei Hiraki}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Access map pattern matching for data cache prefetch}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {499--500}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542349}, doi = {10.1145/1542275.1542349}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IshiiIH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IslamMS09, author = {Md. Mafijul Islam and Sally A. McKee and Per Stenstr{\"{o}}m}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Cancellation of loads that return zero using zero-value caches}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {493--494}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542346}, doi = {10.1145/1542275.1542346}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IslamMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JinJFT09, author = {Chao Jin and Hong Jiang and Dan Feng and Lei Tian}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {P-Code: a new {RAID-6} code with optimal properties}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {360--369}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542326}, doi = {10.1145/1542275.1542326}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JinJFT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiZLTD09, author = {Jian Li and Lixin Zhang and Charles Lefurgy and Richard R. Treumann and Wolfgang E. Denzel}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Thrifty interconnection network for {HPC} systems}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {505--506}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542352}, doi = {10.1145/1542275.1542352}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiZLTD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiaoHNZCT09, author = {Shih{-}wei Liao and Tzu{-}Han Hung and Donald Nguyen and Hucheng Zhou and Chinyen Chou and Chia{-}Heng Tu}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Prefetch optimizations on large-scale applications via parameter value prediction}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {519--520}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542359}, doi = {10.1145/1542275.1542359}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiaoHNZCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuA09, author = {Jiuxing Liu and B{\"{u}}lent Abali}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Virtualization polling engine {(VPE):} using dedicated {CPU} cores to accelerate {I/O} virtualization}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {225--234}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542309}, doi = {10.1145/1542275.1542309}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuGSYW09, author = {Chuanyi Liu and Yu Gu and Linchun Sun and Bin Yan and Dongsheng Wang}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {{R-ADMAD:} high reliability provision for large-scale de-duplication archival storage systems}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {370--379}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542327}, doi = {10.1145/1542275.1542327}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuGSYW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuLCOS09, author = {Tao Liu and Haibo Lin and Tong Chen and Kevin O'Brien and Ling Shao}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {{DBDB:} optimizing DMATransfer for the cell be architecture}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {36--45}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542286}, doi = {10.1145/1542275.1542286}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuLCOS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuPA09, author = {Jiuxing Liu and Dan E. Poff and B{\"{u}}lent Abali}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Evaluating high performance communication: a power perspective}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {326--337}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542322}, doi = {10.1145/1542275.1542322}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuPA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LotrichFPSDBP09, author = {Victor Lotrich and Norbert Flocke and Mark Ponton and Beverly A. Sanders and Erik Deumens and Rodney J. Bartlett and Ajith Perera}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {An infrastructure for scalable and portable parallel programs for computational chemistry}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {523--524}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542361}, doi = {10.1145/1542275.1542361}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LotrichFPSDBP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaA09, author = {Wenjing Ma and Gagan Agrawal}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {A translation system for enabling data mining applications on GPUs}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {400--409}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542331}, doi = {10.1145/1542275.1542331}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MengS09, author = {Jiayuan Meng and Kevin Skadron}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Performance modeling and automatic ghost zone optimization for iterative stencil loops on GPUs}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {256--265}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542313}, doi = {10.1145/1542275.1542313}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MengS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MontiBV09, author = {Henry M. Monti and Ali Raza Butt and Sudharshan S. Vazhkudai}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {/scratch as a cache: rethinking {HPC} center scratch storage}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {350--359}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542325}, doi = {10.1145/1542275.1542325}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MontiBV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NakashimaMUO09, author = {Hiroshi Nakashima and Yohei Miyake and Hideyuki Usui and Yoshiharu Omura}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {OhHelp: a scalable domain-decomposing dynamic load balancing for particle-in-cell simulations}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {90--99}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542293}, doi = {10.1145/1542275.1542293}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NakashimaMUO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NavaridasLMPF09, author = {Javier Navaridas and Mikel Luj{\'{a}}n and Jos{\'{e}} Miguel{-}Alonso and Luis A. Plana and Steve B. Furber}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Understanding the interconnection network of SpiNNaker}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {286--295}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542317}, doi = {10.1145/1542275.1542317}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/NavaridasLMPF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NavarroATC09, author = {Angeles G. Navarro and Rafael Asenjo and Siham Tabik and Calin Cascaval}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Load balancing using work-stealing for pipeline parallelism in emerging applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {517--518}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542358}, doi = {10.1145/1542275.1542358}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NavarroATC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NicolauLVK09, author = {Alexandru Nicolau and Guangqiang Li and Alexander V. Veidenbaum and Arun Kejariwal}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Synchronization optimizations for efficient execution on multi-cores}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {169--180}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542303}, doi = {10.1145/1542275.1542303}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/NicolauLVK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NieuwpoortR09, author = {Rob van Nieuwpoort and John W. Romein}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Using many-core hardware to correlate radio astronomy signals}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {440--449}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542337}, doi = {10.1145/1542275.1542337}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/NieuwpoortR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OvcharenkoSCJS09, author = {Aleksandr Ovcharenko and Onkar Sahni and Christopher D. Carothers and Kenneth E. Jansen and Mark S. Shephard}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Subdomain communication to increase scalability in large-scale scientific applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {497--498}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542348}, doi = {10.1145/1542275.1542348}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OvcharenkoSCJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PantB09, author = {Salil Mohan Pant and Gregory T. Byrd}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Limited early value communication to improve performance of transactional memory}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {421--429}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542334}, doi = {10.1145/1542275.1542334}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PantB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PapakonstantinouGSCCH09, author = {Alexandros Papakonstantinou and Karthik Gururaj and John A. Stratton and Deming Chen and Jason Cong and Wen{-}mei W. Hwu}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {High-performance {CUDA} kernel execution on FPGAs}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {515--516}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542357}, doi = {10.1145/1542275.1542357}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PapakonstantinouGSCCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PassasMB09, author = {Stavros Passas and Kostas Magoutis and Angelos Bilas}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Towards 100 gbit/s ethernet: multicore-based parallel communication protocol design}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {214--224}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542308}, doi = {10.1145/1542275.1542308}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PassasMB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PoeHL09, author = {James Poe and Clay Hughes and Tao Li}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {TransMetric: architecture independent workload characterization for transactional memory benchmarks}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {491--492}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542345}, doi = {10.1145/1542275.1542345}, timestamp = {Wed, 15 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PoeHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PorterT09, author = {Leo Porter and Dean M. Tullsen}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Creating artificial global history to improve branch prediction accuracy}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {266--275}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542315}, doi = {10.1145/1542275.1542315}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/PorterT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RehmanKN09, author = {M. Suhail Rehman and Kishore Kothapalli and P. J. Narayanan}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Fast and scalable list ranking on the {GPU}}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {235--243}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542311}, doi = {10.1145/1542275.1542311}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RehmanKN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RodriguezBMLV09, author = {Germ{\'{a}}n Rodr{\'{\i}}guez and Ram{\'{o}}n Beivide and Cyriel Minkenberg and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Exploring pattern-aware routing in generalized fat tree networks}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {276--285}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542316}, doi = {10.1145/1542275.1542316}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RodriguezBMLV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RountreeLSSFB09, author = {Barry Rountree and David K. Lowenthal and Bronis R. de Supinski and Martin Schulz and Vincent W. Freeh and Tyler K. Bletsch}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Adagio: making {DVS} practical for complex {HPC} applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {460--469}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542340}, doi = {10.1145/1542275.1542340}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RountreeLSSFB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ScarpazzaR09, author = {Daniele Paolo Scarpazza and Gregory F. Russell}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {High-performance regular expression scanning on the Cell/B.E. processor}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {14--25}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542284}, doi = {10.1145/1542275.1542284}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ScarpazzaR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShinXXGCMH09, author = {Ji{-}Yong Shin and Zenglin Xia and Ning{-}Yi Xu and Rui Gao and Xiongfei Cai and Seungryoul Maeng and Feng{-}Hsiung Hsu}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {{FTL} design exploration in reconfigurable high-performance {SSD} for server applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {338--349}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542324}, doi = {10.1145/1542275.1542324}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ShinXXGCMH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShirakoZNS09, author = {Jun Shirako and Jisheng M. Zhao and V. Krishna Nandivada and Vivek Sarkar}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Chunking parallel loops in the presence of synchronization}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {181--192}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542304}, doi = {10.1145/1542275.1542304}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShirakoZNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShriramanD09, author = {Arrvindh Shriraman and Sandhya Dwarkadas}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Refereeing conflicts in hardware transactional memory}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {136--146}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542299}, doi = {10.1145/1542275.1542299}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShriramanD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SinghBM09, author = {Karan Singh and Major Bhadauria and Sally A. McKee}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Prediction-based power estimation and scheduling for CMPs}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {501--502}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542350}, doi = {10.1145/1542275.1542350}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SinghBM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SmallY09, author = {Matthew Small and Xin Yuan}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Maximizing {MPI} point-to-point communication performance on RDMA-enabled clusters with customized protocols}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {306--315}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542320}, doi = {10.1145/1542275.1542320}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SmallY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TanGCM09, author = {Guangming Tan and Ziyu Guo and Mingyu Chen and Dan Meng}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Single-particle 3d reconstruction from cryo-electron microscopy images on {GPU}}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {380--389}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542329}, doi = {10.1145/1542275.1542329}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TanGCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Valero09, author = {Mateo Valero}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {A european perspective on supercomputing}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {1}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542277}, doi = {10.1145/1542275.1542277}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Valero09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VenkatasubramanianVn09, author = {Sundaresan Venkatasubramanian and Richard W. Vuduc}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Tuned and wildly asynchronous stencil kernels for hybrid {CPU/GPU} systems}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {244--255}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542312}, doi = {10.1145/1542275.1542312}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VenkatasubramanianVn09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangAGW09, author = {Huayong Wang and Henrique Andrade and Bugra Gedik and Kun{-}Lung Wu}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Auto-vectorization through code generation for stream processing applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {495--496}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542347}, doi = {10.1145/1542275.1542347}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangAGW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangCHT09, author = {Junchang Wang and Haipeng Cheng and Bei Hua and Xinan Tang}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Practice of parallelizing network applications on multi-core architectures}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {204--213}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542307}, doi = {10.1145/1542275.1542307}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangCHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangWBHLSNF09, author = {Cheng Wang and Youfeng Wu and Edson Borin and Shiliang Hu and Wei Liu and Dave Sager and Tin{-}Fook Ngai and Jesse Fang}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Dynamic parallelization of single-threaded binary programs using speculative slicing}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {158--168}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542302}, doi = {10.1145/1542275.1542302}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangWBHLSNF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XekalakisIC09, author = {Polychronis Xekalakis and Nikolas Ioannou and Marcelo Cintra}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Combining thread level speculation helper threads and runahead execution}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {410--420}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542333}, doi = {10.1145/1542275.1542333}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/XekalakisIC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XiangCSH09, author = {Lingxiang Xiang and Tianzhou Chen and Qingsong Shi and Wei Hu}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Less reused filter: improving l2 cache performance via filtering less reused lines}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {68--79}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542290}, doi = {10.1145/1542275.1542290}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/XiangCSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangX09, author = {Chuanjun Zhang and Bing Xue}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Divide-and-conquer: a bubble replacement for low level caches}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {80--89}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542291}, doi = {10.1145/1542275.1542291}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2009, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275}, doi = {10.1145/1542275}, isbn = {978-1-60558-498-0}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Agerwala08, author = {Tilak Agerwala}, editor = {Pin Zhou}, title = {Challenges on the road to exascale computing}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {2}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375529}, doi = {10.1145/1375527.1375529}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/Agerwala08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AliPM08, author = {Qasim Ali and Vijay S. Pai and Samuel P. Midkiff}, editor = {Pin Zhou}, title = {Advanced collective communication in aspen}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {83--93}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375543}, doi = {10.1145/1375527.1375543}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AliPM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarnesRLRSS08, author = {Bradley J. Barnes and Barry Rountree and David K. Lowenthal and Jaxk Reeves and Bronis R. de Supinski and Martin Schulz}, editor = {Pin Zhou}, title = {A regression-based approach to scalability prediction}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {368--377}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375580}, doi = {10.1145/1375527.1375580}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarnesRLRSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BaskaranBKRRS08, author = {Muthu Manikandan Baskaran and Uday Bondhugula and Sriram Krishnamoorthy and J. Ramanujam and Atanas Rountev and P. Sadayappan}, editor = {Pin Zhou}, title = {A compiler framework for optimization of affine loop nests for gpgpus}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {225--234}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375562}, doi = {10.1145/1375527.1375562}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BaskaranBKRRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BircherJ08, author = {William Lloyd Bircher and Lizy K. John}, editor = {Pin Zhou}, title = {Analysis of dynamic power management on multi-core processors}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {327--338}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375575}, doi = {10.1145/1375527.1375575}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BircherJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BronevetskyS08, author = {Greg Bronevetsky and Bronis R. de Supinski}, editor = {Pin Zhou}, title = {Soft error vulnerability of iterative linear algebra methods}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {155--164}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375552}, doi = {10.1145/1375527.1375552}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BronevetskyS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BrownT08, author = {Jeffery A. Brown and Dean M. Tullsen}, editor = {Pin Zhou}, title = {The shared-thread multiprocessor}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {73--82}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375541}, doi = {10.1145/1375527.1375541}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BrownT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BuehrerPG08, author = {Gregory Buehrer and Srinivasan Parthasarathy and Matthew Goyder}, editor = {Pin Zhou}, title = {Data mining on the cell broadband engine}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {26--35}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375534}, doi = {10.1145/1375527.1375534}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BuehrerPG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CasasBL08, author = {Marc Casas and Rosa M. Badia and Jes{\'{u}}s Labarta}, editor = {Pin Zhou}, title = {Automatic analysis of speedup of {MPI} applications}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {349--358}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375578}, doi = {10.1145/1375527.1375578}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CasasBL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenLZ08, author = {Tong Chen and Haibo Lin and Tao Zhang}, editor = {Pin Zhou}, title = {Orchestrating data transfer for the cell/B.E. processor}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {289--298}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375570}, doi = {10.1145/1375527.1375570}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChernikovC08, author = {Andrey N. Chernikov and Nikos Chrisochoides}, editor = {Pin Zhou}, title = {Three-dimensional delaunay refinement for multi-core processors}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {214--224}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375560}, doi = {10.1145/1375527.1375560}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChernikovC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChoiKSC08, author = {Hyung Won Choi and Hukeun Kwak and Andrew Sohn and Kyusik Chung}, editor = {Pin Zhou}, title = {Autonomous learning for efficient resource utilization of dynamic {VM} migration}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {185--194}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375556}, doi = {10.1145/1375527.1375556}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChoiKSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DotsenkoGSBM08, author = {Yuri Dotsenko and Naga K. Govindaraju and Peter{-}Pike J. Sloan and Charles Boyd and John Manferdelli}, editor = {Pin Zhou}, title = {Fast scan algorithms on graphics processors}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {205--213}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375559}, doi = {10.1145/1375527.1375559}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DotsenkoGSBM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GeladoKRLNH08, author = {Isaac Gelado and John H. Kelm and Shane Ryoo and Steven S. Lumetta and Nacho Navarro and Wen{-}mei W. Hwu}, editor = {Pin Zhou}, title = {{CUBA:} an architecture for efficient CPU/co-processor data communication}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {299--308}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375571}, doi = {10.1145/1375527.1375571}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GeladoKRLNH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Harris08, author = {Mark J. Harris}, editor = {Pin Zhou}, title = {Many-core {GPU} computing with {NVIDIA} {CUDA}}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {1}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375528}, doi = {10.1145/1375527.1375528}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Harris08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HartleyCRIMU08, author = {Timothy D. R. Hartley and {\"{U}}mit V. {\c{C}}ataly{\"{u}}rek and Antonio Ruiz and Francisco D. Igual and Rafael Mayo and Manuel Ujaldon}, editor = {Pin Zhou}, title = {Biomedical image analysis on a cooperative cluster of GPUs and multicores}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {15--25}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375533}, doi = {10.1145/1375527.1375533}, timestamp = {Thu, 24 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HartleyCRIMU08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IancuCY08, author = {Costin Iancu and Wei Chen and Katherine A. Yelick}, editor = {Pin Zhou}, title = {Performance portable optimizations for loops containing communication operations}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {266--276}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375567}, doi = {10.1145/1375527.1375567}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IancuCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IbrahimB08, author = {Khaled Z. Ibrahim and Fran{\c{c}}ois Bodin}, editor = {Pin Zhou}, title = {Implementing Wilson-Dirac operator on the cell broadband engine}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {4--14}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375532}, doi = {10.1145/1375527.1375532}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IbrahimB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Keyes08, author = {David E. Keyes}, editor = {Pin Zhou}, title = {Petaflop/s, seriously}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {3}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375530}, doi = {10.1145/1375527.1375530}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Keyes08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimM08, author = {Suhyun Kim and Soo{-}Mook Moon}, editor = {Pin Zhou}, title = {Rotating register allocation with multiple rotating branches}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {235--244}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375563}, doi = {10.1145/1375527.1375563}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KimM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KoopKP08, author = {Matthew J. Koop and Rahul Kumar and Dhabaleswar K. Panda}, editor = {Pin Zhou}, title = {Can software reliability outperform hardware reliability on high performance interconnects?: a case study with {MPI} over infiniband}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {145--154}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375551}, doi = {10.1145/1375527.1375551}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KoopKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KumarDAHCGBFPRSA08, author = {Sameer Kumar and G{\'{a}}bor D{\'{o}}zsa and Gheorghe Alm{\'{a}}si and Philip Heidelberger and Dong Chen and Mark Giampapa and Michael Blocksome and Ahmad Faraj and Jeff Parker and Joe Ratterman and Brian E. Smith and Charles Archer}, editor = {Pin Zhou}, title = {The deep computing messaging framework: generalized scalable message passing on the blue gene/P supercomputer}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {94--103}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375544}, doi = {10.1145/1375527.1375544}, timestamp = {Thu, 09 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KumarDAHCGBFPRSA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeeE08, author = {Seyong Lee and Rudolf Eigenmann}, editor = {Pin Zhou}, title = {Adaptive runtime tuning of parallel sparse matrix-vector multiplication on distributed memory systems}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {195--204}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375558}, doi = {10.1145/1375527.1375558}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LeeE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuLS08, author = {Lixia Liu and Zhiyuan Li and Ahmed H. Sameh}, editor = {Pin Zhou}, title = {Analyzing memory access intensity in parallel programs on multicore}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {359--367}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375579}, doi = {10.1145/1375527.1375579}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuMZM08, author = {Song Liu and Seda Ogrenci Memik and Yu Zhang and Gokhan Memik}, editor = {Pin Zhou}, title = {An approach for adaptive {DRAM} temperature and power management}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {63--72}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375540}, doi = {10.1145/1375527.1375540}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuMZM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LuWFLZ08, author = {Fang Lu and Lei Wang and Xiaobing Feng and Zhiyuan Li and Zhaoqing Zhang}, editor = {Pin Zhou}, title = {Exploiting idle register classes for fast spill destination}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {319--326}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375574}, doi = {10.1145/1375527.1375574}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LuWFLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ManikantanG08, author = {R. Manikantan and R. Govindarajan}, editor = {Pin Zhou}, title = {Focused prefetching: performance oriented prefetching based on commit stalls}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {339--348}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375576}, doi = {10.1145/1375527.1375576}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ManikantanG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MinE08, author = {Seung{-}Jai Min and Rudolf Eigenmann}, editor = {Pin Zhou}, title = {Optimizing irregular shared-memory applications for clusters}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {256--265}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375566}, doi = {10.1145/1375527.1375566}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MinE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MontiBV08, author = {Henry M. Monti and Ali Raza Butt and Sudharshan S. Vazhkudai}, editor = {Pin Zhou}, title = {Timely offloading of result-data in {HPC} centers}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {124--133}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375548}, doi = {10.1145/1375527.1375548}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MontiBV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RatnMSS08, author = {Prasun Ratn and Frank Mueller and Bronis R. de Supinski and Martin Schulz}, editor = {Pin Zhou}, title = {Preserving time in large-scale communication traces}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {46--55}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375537}, doi = {10.1145/1375527.1375537}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/RatnMSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShirakoPSS08, author = {Jun Shirako and David M. Peixotto and Vivek Sarkar and William N. Scherer III}, editor = {Pin Zhou}, title = {Phasers: a unified deadlock-free construct for collective and point-to-point synchronization}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {277--288}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375568}, doi = {10.1145/1375527.1375568}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShirakoPSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShmueliABCDKL08, author = {Edi Shmueli and George Alm{\'{a}}si and Jos{\'{e}} R. Brunheroto and Jos{\'{e}} G. Casta{\~{n}}os and G{\'{a}}bor D{\'{o}}zsa and Sameer Kumar and Derek Lieber}, editor = {Pin Zhou}, title = {Evaluating the effect of replacing {CNK} with linux on the compute-nodes of blue gene/l}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {165--174}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375554}, doi = {10.1145/1375527.1375554}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShmueliABCDKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShouE08, author = {Yixin Shou and Robert A. van Engelen}, editor = {Pin Zhou}, title = {Automatic {SIMD} vectorization of chains of recurrences}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {245--255}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375564}, doi = {10.1145/1375527.1375564}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShouE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SilbersteinSGPO08, author = {Mark Silberstein and Assaf Schuster and Dan Geiger and Anjul Patney and John D. Owens}, editor = {Pin Zhou}, title = {Efficient computation of sum-products on GPUs through software-managed cache}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {309--318}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375572}, doi = {10.1145/1375527.1375572}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SilbersteinSGPO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VermaAN08, author = {Akshat Verma and Puneet Ahuja and Anindya Neogi}, editor = {Pin Zhou}, title = {Power-aware dynamic placement of {HPC} applications}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {175--184}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375555}, doi = {10.1145/1375527.1375555}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VermaAN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VictorSD08, author = {Michel N. Victor and Aris K. Silzars and Edward S. Davidson}, editor = {Pin Zhou}, title = {A freespace crossbar for multi-core processors}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {56--62}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375539}, doi = {10.1145/1375527.1375539}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VictorSD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WeinbergS08, author = {Jonathan Weinberg and Allan Snavely}, editor = {Pin Zhou}, title = {Accurate memory signatures and synthetic address traces for {HPC} applications}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {36--45}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375536}, doi = {10.1145/1375527.1375536}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WeinbergS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WhiteMQ08, author = {Brian S. White and Sally A. McKee and Daniel J. Quinlan}, editor = {Pin Zhou}, title = {A projection-based optimization framework for abstractions with application to the unstructured mesh domain}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {104--113}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375545}, doi = {10.1145/1375527.1375545}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WhiteMQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/XueCZ08, author = {Ruini Xue and Wenguang Chen and Weimin Zheng}, editor = {Pin Zhou}, title = {CprFS: a user-level file system to support consistent file states for checkpoint and restart}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {114--123}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375547}, doi = {10.1145/1375527.1375547}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/XueCZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhuGW08, author = {Huijun Zhu and Peng Gu and Jun Wang}, editor = {Pin Zhou}, title = {Shifted declustering: a placement-ideal layout scheme for multi-way replication storage architecture}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {134--144}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375549}, doi = {10.1145/1375527.1375549}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ZhuGW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2008, editor = {Pin Zhou}, title = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527}, doi = {10.1145/1375527}, isbn = {978-1-60558-158-3}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AamodtC07, author = {Tor M. Aamodt and Paul Chow}, editor = {Burton J. Smith}, title = {Optimization of data prefetch helper threads with path-expression based statistical modeling}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {210--221}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275001}, doi = {10.1145/1274971.1275001}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/AamodtC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Adl-TabatabaiGK07, author = {Ali{-}Reza Adl{-}Tabatabai and Anwar M. Ghuloum and Shobhit O. Kanaujia}, editor = {Burton J. Smith}, title = {Compression in cache design}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {190--201}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274999}, doi = {10.1145/1274971.1274999}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Adl-TabatabaiGK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AhnED07, author = {Jung Ho Ahn and Mattan Erez and William J. Dally}, editor = {Burton J. Smith}, title = {Tradeoff between data-, instruction-, and thread-level parallelism in stream processors}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {126--137}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274991}, doi = {10.1145/1274971.1274991}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AhnED07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AliJS07, author = {Ayaz Ali and S. Lennart Johnsson and Jaspal Subhlok}, editor = {Burton J. Smith}, title = {Scheduling {FFT} computation on {SMP} and multicore systems}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {293--301}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275011}, doi = {10.1145/1274971.1275011}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AliJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AyyubA07, author = {Shahaan Ayyub and David Abramson}, editor = {Burton J. Smith}, title = {GridRod: a dynamic runtime scheduler for grid workflows}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {43--52}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274980}, doi = {10.1145/1274971.1274980}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AyyubA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BelginRB07, author = {Mehmet Belgin and Calvin J. Ribbens and Godmar Back}, editor = {Burton J. Smith}, title = {An operation stacking framework for large ensemble computations}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {83--92}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274986}, doi = {10.1145/1274971.1274986}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BelginRB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BeylerC07, author = {Jean Christophe Beyler and Philippe Clauss}, editor = {Burton J. Smith}, title = {Performance driven data cache prefetching in a dynamic software optimization system}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {202--209}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275000}, doi = {10.1145/1274971.1275000}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BeylerC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChangS07, author = {Jichuan Chang and Gurindar S. Sohi}, editor = {Burton J. Smith}, title = {Cooperative cache partitioning for chip multiprocessors}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {242--252}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275005}, doi = {10.1145/1274971.1275005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChangS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenBIY07, author = {Wei{-}Yu Chen and Dan Bonachea and Costin Iancu and Katherine A. Yelick}, editor = {Burton J. Smith}, title = {Automatic nonblocking communication for partitioned global address space programs}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {158--167}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274995}, doi = {10.1145/1274971.1274995}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenBIY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CheveresanRFS07, author = {Razvan Cheveresan and Matthew Ramsay and Chris Feucht and Ilya Sharapov}, editor = {Burton J. Smith}, title = {Characteristics of workloads used in high performance and technical computing}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {73--82}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274984}, doi = {10.1145/1274971.1274984}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CheveresanRFS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CoarfaMFD07, author = {Cristian Coarfa and John M. Mellor{-}Crummey and Nathan Froyd and Yuri Dotsenko}, editor = {Burton J. Smith}, title = {Scalability analysis of {SPMD} codes using expectations}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {13--22}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274976}, doi = {10.1145/1274971.1274976}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CoarfaMFD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DAlbertoN07, author = {Paolo D'Alberto and Alexandru Nicolau}, editor = {Burton J. Smith}, title = {Adaptive Strassen's matrix multiplication}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {284--292}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275010}, doi = {10.1145/1274971.1275010}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DAlbertoN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ErezAGRD07, author = {Mattan Erez and Jung Ho Ahn and Jayanth Gummaraju and Mendel Rosenblum and William J. Dally}, editor = {Burton J. Smith}, title = {Executing irregular scientific applications on stream architectures}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {93--104}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274987}, doi = {10.1145/1274971.1274987}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ErezAGRD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangZCIP07, author = {Zhen Fang and Lixin Zhang and John B. Carter and Ali Ibrahim and Michael A. Parker}, editor = {Burton J. Smith}, title = {Active memory operations}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {232--241}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275004}, doi = {10.1145/1274971.1275004}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FangZCIP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FarajPY07, author = {Ahmad Faraj and Pitch Patarasuk and Xin Yuan}, editor = {Burton J. Smith}, title = {A study of process arrival patterns for {MPI} collective operations}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {168--179}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274996}, doi = {10.1145/1274971.1274996}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FarajPY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Feitelson07, author = {Dror G. Feitelson}, editor = {Burton J. Smith}, title = {Locality of sampling and diversity in parallel system workloads}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {53--63}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274982}, doi = {10.1145/1274971.1274982}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Feitelson07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GottschlingWA07, author = {Peter Gottschling and David S. Wise and Michael D. Adams}, editor = {Burton J. Smith}, title = {Representation-transparent matrix algorithms with scalable performance}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {116--125}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274989}, doi = {10.1145/1274971.1274989}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GottschlingWA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HusseinMLG07, author = {Mohamed Khamiss Hussein and Kenneth R. Mayes and Mikel Luj{\'{a}}n and John R. Gurd}, editor = {Burton J. Smith}, title = {Adaptive performance control for distributed scientific coupled models}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {274--283}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275009}, doi = {10.1145/1274971.1275009}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HusseinMLG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KoopSGP07, author = {Matthew J. Koop and Sayantan Sur and Qi Gao and Dhabaleswar K. Panda}, editor = {Burton J. Smith}, title = {High performance {MPI} design using unreliable datagram for ultra-scale InfiniBand clusters}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {180--189}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274997}, doi = {10.1145/1274971.1274997}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KoopSGP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KyoKLNO07, author = {Shorin Kyo and Takuya Koga and Hanno Lieske and Shouhei Nomoto and Shin'ichiro Okazaki}, editor = {Burton J. Smith}, title = {A low-cost mixed-mode parallel processor architecture for embedded systems}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {253--262}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275006}, doi = {10.1145/1274971.1275006}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KyoKLNO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiMW07, author = {Hui Li and Michael Muskulus and Lex Wolters}, editor = {Burton J. Smith}, title = {Modeling correlated workloads by combining model based clustering and a localized sampling algorithm}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {64--72}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274983}, doi = {10.1145/1274971.1274983}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiMW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MarazakisPB07, author = {Manolis Marazakis and Vassilis Papaefstathiou and Angelos Bilas}, editor = {Burton J. Smith}, title = {Optimization and bottleneck analysis of network block {I/O} in commodity storage systems}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {33--42}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274979}, doi = {10.1145/1274971.1274979}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MarazakisPB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Mendelson07, author = {Avi Mendelson}, editor = {Burton J. Smith}, title = {Current trends in computer architectures: multi-cores, many-cores and special-cores}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {1}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274972}, doi = {10.1145/1274971.1274972}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Mendelson07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MoreiraMSSDZ07, author = {Jos{\'{e}} E. Moreira and Maged M. Michael and Dilma Da Silva and Doron Shiloach and Parijat Dube and Li Zhang}, editor = {Burton J. Smith}, title = {Scalability of the Nutch search engine}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {3--12}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274975}, doi = {10.1145/1274971.1274975}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MoreiraMSSDZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NagarajanMES07, author = {Arun Babu Nagarajan and Frank Mueller and Christian Engelmann and Stephen L. Scott}, editor = {Burton J. Smith}, title = {Proactive fault tolerance for {HPC} with Xen virtualization}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {23--32}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274978}, doi = {10.1145/1274971.1274978}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/NagarajanMES07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PujaraA07, author = {Prateek Pujara and Aneesh Aggarwal}, editor = {Burton J. Smith}, title = {Increasing cache capacity through word filtering}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {222--231}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275002}, doi = {10.1145/1274971.1275002}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PujaraA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RusPR07, author = {Silvius Vasile Rus and Maikel Pennings and Lawrence Rauchwerger}, editor = {Burton J. Smith}, title = {Sensitivity analysis for automatic parallelization on multi-cores}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {263--273}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1275008}, doi = {10.1145/1274971.1275008}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RusPR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SharkeyP07, author = {Joseph J. Sharkey and Dmitry V. Ponomarev}, editor = {Burton J. Smith}, title = {An L2-miss-driven early register deallocation for {SMT} processors}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {138--147}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274992}, doi = {10.1145/1274971.1274992}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SharkeyP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Stunkel07, author = {Craig B. Stunkel}, editor = {Burton J. Smith}, title = {Harnessing massive parallelism in the era of parallelism for the masses}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {2}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274973}, doi = {10.1145/1274971.1274973}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Stunkel07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SumanthSJ07, author = {J. V. Sumanth and David R. Swanson and Hong Jiang}, editor = {Burton J. Smith}, title = {A symmetric transformation for 3-body potential molecular dynamics using force-decomposition in a heterogeneous distributed environment}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {105--115}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274988}, doi = {10.1145/1274971.1274988}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SumanthSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangCCLMYW07, author = {Perry H. Wang and Jamison D. Collins and Gautham N. Chinya and Bernard Lint and Asit Mallick and Koichi Yamada and Hong Wang}, editor = {Burton J. Smith}, title = {Sequencer virtualization}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {148--157}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971.1274993}, doi = {10.1145/1274971.1274993}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangCCLMYW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2007, editor = {Burton J. Smith}, title = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971}, doi = {10.1145/1274971}, isbn = {978-1-59593-768-1}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AbellaG06, author = {Jaume Abella and Antonio Gonz{\'{a}}lez}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Heterogeneous way-size cache}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {239--248}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183436}, doi = {10.1145/1183401.1183436}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AbellaG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AklM06, author = {Patrick Akl and Andreas Moshovos}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {BranchTap: improving performance with very few checkpoints through adaptive speculation control}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {36--45}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183409}, doi = {10.1145/1183401.1183409}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AklM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BalfourD06, author = {James D. Balfour and William J. Dally}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Design tradeoffs for tiled {CMP} on-chip networks}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {187--198}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183430}, doi = {10.1145/1183401.1183430}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BalfourD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BronevetskyPS06, author = {Greg Bronevetsky and Keshav Pingali and Paul Stodghill}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Experimental evaluation of application-level checkpointing for OpenMP programs}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {2--13}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183405}, doi = {10.1145/1183401.1183405}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BronevetskyPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChenCHRK06, author = {Hu Chen and Wenguang Chen and Jian Huang and Bob Robert H. Kuhn}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {{MPIPP:} an automatic profile-guided parallel process placement toolset for {SMP} clusters and multiclusters}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {353--360}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183451}, doi = {10.1145/1183401.1183451}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChenCHRK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Curtis-MauryDAN06, author = {Matthew Curtis{-}Maury and James Dzierwa and Christos D. Antonopoulos and Dimitrios S. Nikolopoulos}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Online power-performance adaptation of multithreaded programs using hardware event-based prediction}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {157--166}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183426}, doi = {10.1145/1183401.1183426}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Curtis-MauryDAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangCOW06, author = {Changpeng Fang and Steve Carr and Soner {\"{O}}nder and Zhenlin Wang}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Feedback-directed memory disambiguation through store distance analysis}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {278--287}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183440}, doi = {10.1145/1183401.1183440}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FangCOW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FarajYL06, author = {Ahmad Faraj and Xin Yuan and David K. Lowenthal}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {{STAR-MPI:} self tuned adaptive routines for {MPI} collective operations}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {199--208}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183431}, doi = {10.1145/1183401.1183431}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FarajYL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FarrerasCLA06, author = {Montse Farreras and Toni Cortes and Jes{\'{u}}s Labarta and George Alm{\'{a}}si}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Scaling {MPI} to short-memory MPPs such as {BG/L}}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {209--218}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183432}, doi = {10.1145/1183401.1183432}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FarrerasCLA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GargGS06, author = {Rahul Garg and Vijay K. Garg and Yogish Sabharwal}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Scalable algorithms for global snapshots in distributed systems}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {269--277}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183439}, doi = {10.1145/1183401.1183439}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GargGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GenbruggeEB06, author = {Davy Genbrugge and Lieven Eeckhout and Koen De Bosschere}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Accurate memory data flow modeling in statistical simulation}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {87--96}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183415}, doi = {10.1145/1183401.1183415}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GenbruggeEB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HamptonA06, author = {Mark Hampton and Krste Asanovic}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Implementing virtual memory in a vector processor with software restart markers}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {135--144}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183422}, doi = {10.1145/1183401.1183422}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HamptonA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HildebrandWH06, author = {Dean Hildebrand and Lee Ward and Peter Honeyman}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Large files, small writes, and pNFS}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {116--124}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183419}, doi = {10.1145/1183401.1183419}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HildebrandWH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuangLAP06, author = {Wei Huang and Jiuxing Liu and B{\"{u}}lent Abali and Dhabaleswar K. Panda}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {A case for high performance computing with virtual machines}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {125--134}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183421}, doi = {10.1145/1183401.1183421}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuangLAP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KejariwalSTGLBNP06, author = {Arun Kejariwal and Hideki Saito and Xinmin Tian and Milind Girkar and Wei Li and Utpal Banerjee and Alexandru Nicolau and Constantine D. Polychronopoulos}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Lightweight lock-free synchronization methods for multithreading}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {361--371}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183452}, doi = {10.1145/1183401.1183452}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KejariwalSTGLBNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KejariwalTLGKSBNVP06, author = {Arun Kejariwal and Xinmin Tian and Wei Li and Milind Girkar and Sergey Kozhukhov and Hideki Saito and Utpal Banerjee and Alexandru Nicolau and Alexander V. Veidenbaum and Constantine D. Polychronopoulos}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {On the performance potential of different types of speculative thread-level parallelism: The {DL} version of this paper includes corrections that were not made available in the printed proceedings}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {24}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183407}, doi = {10.1145/1183401.1183407}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KejariwalTLGKSBNVP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaFYVSS06, author = {Xiaosong Ma and Vincent W. Freeh and Tao Yang and Sudharshan Vazhkudai and Tyler A. Simon and Stephen L. Scott}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Coupling prefix caching and collective downloads for remote dataset access}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {229--238}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183435}, doi = {10.1145/1183401.1183435}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaFYVSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaddimsettyBCFH06, author = {Rahul P. Maddimsetty and Jeremy Buhler and Roger D. Chamberlain and Mark A. Franklin and Brandon Harris}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Accelerator design for protein sequence {HMM} search}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {288--296}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183442}, doi = {10.1145/1183401.1183442}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaddimsettyBCFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MarazakisXPB06, author = {Manolis Marazakis and Konstantinos Xinidis and Vassilis Papaefstathiou and Angelos Bilas}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Efficient remote block-level {I/O} over an RDMA-capable {NIC}}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {97--106}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183417}, doi = {10.1145/1183401.1183417}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MarazakisXPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MonchieroCG06, author = {Matteo Monchiero and Ramon Canal and Antonio Gonz{\'{a}}lez}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Design space exploration for multicore architectures: a power/performance/thermal view}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {177--186}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183428}, doi = {10.1145/1183401.1183428}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MonchieroCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MoureBRL06, author = {Juan C. Moure and Domingo Benitez and Dolores Rexachs and Emilio Luque}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Wide and efficient trace prediction using the local trace predictor}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {55--65}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183411}, doi = {10.1145/1183401.1183411}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MoureBRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OlinerRS06, author = {Adam J. Oliner and Larry Rudolph and Ramendra K. Sahoo}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Cooperative checkpointing: a robust approach to large-scale systems reliability}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {14--23}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183406}, doi = {10.1145/1183401.1183406}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OlinerRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PingaliS06, author = {Keshav Pingali and Paul Stodghill}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {A distributed system based on web services for computational science simulations}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {297--306}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183443}, doi = {10.1145/1183401.1183443}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PingaliS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Prawer06, author = {Steven Prawer}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Quantum mechanical approaches to information processing}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {156}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183424}, doi = {10.1145/1183401.1183424}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Prawer06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/QasemK06, author = {Apan Qasem and Ken Kennedy}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Profitable loop fusion and tiling using model-driven empirical search}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {249--258}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183437}, doi = {10.1145/1183401.1183437}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/QasemK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/QuinonesPG06, author = {Eduardo Qui{\~{n}}ones and Joan{-}Manuel Parcerisa and Antonio Gonz{\'{a}}lez}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Selective predicate prediction for out-of-order processors}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {46--54}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183410}, doi = {10.1145/1183401.1183410}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/QuinonesPG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Rinard06, author = {Martin C. Rinard}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Probabilistic accuracy bounds for fault-tolerant computations that discard tasks}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {324--334}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183447}, doi = {10.1145/1183401.1183447}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Rinard06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RupnowRUC06, author = {Kyle Rupnow and Arun Rodrigues and Keith D. Underwood and Katherine Compton}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Scientific applications vs. {SPEC-FP:} a comparison of program behavior}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {66--74}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183413}, doi = {10.1145/1183401.1183413}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RupnowRUC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SumimotoOKBSU06, author = {Shinji Sumimoto and Kazuichi Ooe and Kouichi Kumon and Taisuke Boku and Mitsuhisa Sato and Akira Ukawa}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {A scalable communication layer for multi-dimensional hyper crossbar network using multiple gigabit ethernet}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {107--115}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183418}, doi = {10.1145/1183401.1183418}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SumimotoOKBSU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Tremblay06, author = {Marc Tremblay}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {A modern high-performance processor pipeline}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {1}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183403}, doi = {10.1145/1183401.1183403}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Tremblay06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VandersterD06, author = {Daniel C. Vanderster and Nikitas J. Dimopoulos}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Sensitivity analysis of knapsack-based task scheduling on the grid}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {317--323}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183446}, doi = {10.1145/1183401.1183446}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VandersterD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VarmaWMES06, author = {Jyothish Varma and Chao Wang and Frank Mueller and Christian Engelmann and Stephen L. Scott}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Scalable, fault tolerant membership for {MPI} tasks on {HPC} systems}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {219--228}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183433}, doi = {10.1145/1183401.1183433}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VarmaWMES06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VasilacheBCG06, author = {Nicolas Vasilache and C{\'{e}}dric Bastoul and Albert Cohen and Sylvain Girbal}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Violated dependence analysis}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {335--344}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183448}, doi = {10.1145/1183401.1183448}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VasilacheBCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VivekanandhamAG06, author = {Rajesh Vivekanandham and Bharadwaj S. Amrutur and R. Govindarajan}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {A scalable low power issue queue for large instruction window processors}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {167--176}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183427}, doi = {10.1145/1183401.1183427}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/VivekanandhamAG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WallinLHH06, author = {Dan Wallin and Henrik L{\"{o}}f and Erik Hagersten and Sverker Holmgren}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {145--155}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183423}, doi = {10.1145/1183401.1183423}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WallinLHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WeinbergS06, author = {Jonathan Weinberg and Allan Snavely}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {User-guided symbiotic space-sharing of real workloads}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {345--352}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183450}, doi = {10.1145/1183401.1183450}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WeinbergS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WillcockL06, author = {Jeremiah Willcock and Andrew Lumsdaine}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Accelerating sparse matrix computations via data compression}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {307--316}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183444}, doi = {10.1145/1183401.1183444}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WillcockL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YiVEL06, author = {Joshua J. Yi and Hans Vandierendonck and Lieven Eeckhout and David J. Lilja}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {The exigency of benchmark and compiler drift: designing tomorrow's processors with yesterday's tools}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {75--86}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183414}, doi = {10.1145/1183401.1183414}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YiVEL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZefferRKH06, author = {H{\aa}kan Zeffer and Zoran Radovic and Martin Karlsson and Erik Hagersten}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {{TMA:} a trap-based memory architecture}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {259--268}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183438}, doi = {10.1145/1183401.1183438}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZefferRKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2006, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401}, doi = {10.1145/1183401}, isbn = {1-59593-282-8}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Aggarwal05, author = {Aneesh Aggarwal}, editor = {Arvind and Larry Rudolph}, title = {Reducing latencies of pipelined cache accesses through set prediction}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {2--11}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088151}, doi = {10.1145/1088149.1088151}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/Aggarwal05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlmasiBGGSWBCSGGGKLSSWYAMR05, author = {George Alm{\'{a}}si and Gyan Bhanot and Alan Gara and Manish Gupta and James C. Sexton and Robert Walkup and Vasily V. Bulatov and Andrew W. Cook and Bronis R. de Supinski and James N. Glosli and Jeffrey A. Greenough and Fran{\c{c}}ois Gygi and Alison Kubota and Steve Louis and Thomas E. Spelce and Frederick H. Streitz and Peter L. Williams and Robert K. Yates and Charles Archer and Jos{\'{e}} E. Moreira and Charles A. Rendleman}, editor = {Arvind and Larry Rudolph}, title = {Scaling physics and material science applications on a massively parallel Blue Gene/L system}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {246--252}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088182}, doi = {10.1145/1088149.1088182}, timestamp = {Sat, 11 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlmasiBGGSWBCSGGGKLSSWYAMR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlmasiHAMEMSZ05, author = {George Alm{\'{a}}si and Philip Heidelberger and Charles Archer and Xavier Martorell and C. Christopher Erway and Jos{\'{e}} E. Moreira and Burkhard D. Steinmacher{-}Burow and Yili Zheng}, editor = {Arvind and Larry Rudolph}, title = {Optimization of {MPI} collective communication on BlueGene/L systems}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {253--262}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088183}, doi = {10.1145/1088149.1088183}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AlmasiHAMEMSZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AmzaSC05, author = {Cristiana Amza and Gokul Soundararajan and Emmanuel Cecchet}, editor = {Arvind and Larry Rudolph}, title = {Transparent caching with strong consistency in dynamic content web sites}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {264--273}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088185}, doi = {10.1145/1088149.1088185}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AmzaSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AntonopoulosDCBNC05, author = {Christos D. Antonopoulos and Xiaoning Ding and Andrey N. Chernikov and Filip Blagojevic and Dimitrios S. Nikolopoulos and Nikos Chrisochoides}, editor = {Arvind and Larry Rudolph}, title = {Multigrain parallel Delaunay Mesh generation: challenges and opportunities for multithreaded architectures}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {367--376}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088198}, doi = {10.1145/1088149.1088198}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AntonopoulosDCBNC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AzimiSW05, author = {Reza Azimi and Michael Stumm and Robert W. Wisniewski}, editor = {Arvind and Larry Rudolph}, title = {Online performance analysis by statistical sampling of microprocessor performance counters}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {101--110}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088163}, doi = {10.1145/1088149.1088163}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AzimiSW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BasumallikE05, author = {Ayon Basumallik and Rudolf Eigenmann}, editor = {Arvind and Larry Rudolph}, title = {Towards automatic translation of OpenMP to {MPI}}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {189--198}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088174}, doi = {10.1145/1088149.1088174}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BasumallikE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BellJ05, author = {Robert H. Bell Jr. and Lizy Kurian John}, editor = {Arvind and Larry Rudolph}, title = {Improved automatic testcase synthesis for performance model validation}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {111--120}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088164}, doi = {10.1145/1088149.1088164}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BellJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChafiMMCCHKO05, author = {Hassan Chafi and Chi Cao Minh and Austen McDonald and Brian D. Carlstrom and JaeWoong Chung and Lance Hammond and Christos Kozyrakis and Kunle Olukotun}, editor = {Arvind and Larry Rudolph}, title = {{TAPE:} a transactional application profiling environment}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {199--208}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088176}, doi = {10.1145/1088149.1088176}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChafiMMCCHKO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CohenSGTPV05, author = {Albert Cohen and Marc Sigler and Sylvain Girbal and Olivier Temam and David Parello and Nicolas Vasilache}, editor = {Arvind and Larry Rudolph}, title = {Facilitating the search for compositions of program transformations}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {151--160}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088169}, doi = {10.1145/1088149.1088169}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CohenSGTPV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DuranGC05, author = {Alejandro Duran and Marc Gonz{\'{a}}lez and Julita Corbal{\'{a}}n}, editor = {Arvind and Larry Rudolph}, title = {Automatic thread distribution for nested parallelism in OpenMP}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {121--130}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088166}, doi = {10.1145/1088149.1088166}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/DuranGC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FarajY05, author = {Ahmad Faraj and Xin Yuan}, editor = {Arvind and Larry Rudolph}, title = {Automatic generation and tuning of {MPI} collective communication routines}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {393--402}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088202}, doi = {10.1145/1088149.1088202}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FarajY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FelterRKR05, author = {Wesley M. Felter and Karthick Rajamani and Tom W. Keller and Cosmin Rusu}, editor = {Arvind and Larry Rudolph}, title = {A performance-conserving approach for reducing peak power consumption in server systems}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {293--302}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088188}, doi = {10.1145/1088149.1088188}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FelterRKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FrigoS05, author = {Matteo Frigo and Volker Strumpen}, editor = {Arvind and Larry Rudolph}, title = {Cache oblivious stencil computations}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {361--366}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088197}, doi = {10.1145/1088149.1088197}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FrigoS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FroydMF05, author = {Nathan Froyd and John M. Mellor{-}Crummey and Robert J. Fowler}, editor = {Arvind and Larry Rudolph}, title = {Low-overhead call path profiling of unmodified, optimized code}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {81--90}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088161}, doi = {10.1145/1088149.1088161}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FroydMF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GonzalezCPVV05, author = {Rub{\'{e}}n Gonz{\'{a}}lez and Adri{\'{a}}n Cristal and Miquel Peric{\`{a}}s and Mateo Valero and Alexander V. Veidenbaum}, editor = {Arvind and Larry Rudolph}, title = {An asymmetric clustered processor based on value content}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {61--70}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088158}, doi = {10.1145/1088149.1088158}, timestamp = {Wed, 14 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GonzalezCPVV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GostinCC05, author = {Gary Gostin and Jean{-}Francois Collard and Kirby Collins}, editor = {Arvind and Larry Rudolph}, title = {The architecture of the {HP} Superdome shared-memory multiprocessor}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {239--245}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088181}, doi = {10.1145/1088149.1088181}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GostinCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HanedaKW05, author = {Masayo Haneda and Peter M. W. Knijnenburg and Harry A. G. Wijshoff}, editor = {Arvind and Larry Rudolph}, title = {Generating new general compiler optimization settings}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {161--168}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088171}, doi = {10.1145/1088149.1088171}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HanedaKW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuhKSZBK05, author = {Jaehyuk Huh and Changkyu Kim and Hazim Shafi and Lixin Zhang and Doug Burger and Stephen W. Keckler}, editor = {Arvind and Larry Rudolph}, title = {A {NUCA} substrate for flexible {CMP} cache sharing}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {31--40}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088154}, doi = {10.1145/1088149.1088154}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuhKSZBK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LawsonS05, author = {Barry Lawson and Evgenia Smirni}, editor = {Arvind and Larry Rudolph}, title = {Power-aware resource allocation in high-end systems via online simulation}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {229--238}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088179}, doi = {10.1145/1088149.1088179}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LawsonS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuSMR05, author = {Yongxiang Liu and Anahita Shayesteh and Gokhan Memik and Glenn Reinman}, editor = {Arvind and Larry Rudolph}, title = {Tornado warning: the perils of selective replay in multithreaded processors}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {51--60}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088157}, doi = {10.1145/1088149.1088157}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuSMR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LofH05, author = {Henrik L{\"{o}}f and Sverker Holmgren}, editor = {Arvind and Larry Rudolph}, title = {affinity-on-next-touch: increasing the performance of an industrial {PDE} solver on a cc-NUMA system}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {387--392}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088201}, doi = {10.1145/1088149.1088201}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LofH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LoukopoulosLA05, author = {Thanasis Loukopoulos and Petros Lampsas and Ishfaq Ahmad}, editor = {Arvind and Larry Rudolph}, title = {Continuous Replica Placement schemes in distributed systems}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {284--292}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088187}, doi = {10.1145/1088149.1088187}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LoukopoulosLA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaratheMS05, author = {Jaydeep Marathe and Frank Mueller and Bronis R. de Supinski}, editor = {Arvind and Larry Rudolph}, title = {A hybrid hardware/software approach to efficiently determine cache coherence Bottlenecks}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {21--30}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088153}, doi = {10.1145/1088149.1088153}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaratheMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MurphyRKU05, author = {Richard C. Murphy and Arun Rodrigues and Peter M. Kogge and Keith D. Underwood}, editor = {Arvind and Larry Rudolph}, title = {The implications of working set analysis on supercomputing memory hierarchy design}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {332--340}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088193}, doi = {10.1145/1088149.1088193}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MurphyRKU05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NurvitadhiCL05, author = {Eriko Nurvitadhi and Nirut Chalainanont and Shih{-}Lien Lu}, editor = {Arvind and Larry Rudolph}, title = {Characterization of {L3} cache behavior of SPECjAppServer2002 and {TPC-C}}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {12--20}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088152}, doi = {10.1145/1088149.1088152}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/NurvitadhiCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RajanG05, author = {Kaushik Rajan and Ramaswamy Govindarajan}, editor = {Arvind and Larry Rudolph}, title = {A heterogeneously segmented cache architecture for a packet forwarding engine}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {71--80}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088159}, doi = {10.1145/1088149.1088159}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RajanG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RenauSCLSTT05, author = {Jose Renau and Karin Strauss and Luis Ceze and Wei Liu and Smruti R. Sarangi and James Tuck and Josep Torrellas}, editor = {Arvind and Larry Rudolph}, title = {Thread-Level Speculation on a {CMP} can be energy efficient}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {219--228}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088178}, doi = {10.1145/1088149.1088178}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RenauSCLSTT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RenauTLCST05, author = {Jose Renau and James Tuck and Wei Liu and Luis Ceze and Karin Strauss and Josep Torrellas}, editor = {Arvind and Larry Rudolph}, title = {Tasking with out-of-order spawn in {TLS} chip multiprocessors: microarchitecture and compilation}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {179--188}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088173}, doi = {10.1145/1088149.1088173}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RenauTLCST05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Shen05, author = {Kai Shen}, editor = {Arvind and Larry Rudolph}, title = {Parallel sparse {LU} factorization on second-class message passing platforms}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {351--360}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088196}, doi = {10.1145/1088149.1088196}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Shen05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShenGDA05, author = {Xipeng Shen and Yaoqing Gao and Chen Ding and Roch Archambault}, editor = {Arvind and Larry Rudolph}, title = {Lightweight reference affinity analysis}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {131--140}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088167}, doi = {10.1145/1088149.1088167}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ShenGDA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SonCK05, author = {Seung Woo Son and Guangyu Chen and Mahmut T. Kandemir}, editor = {Arvind and Larry Rudolph}, title = {Disk layout optimization for reducing energy consumption}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {274--283}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088186}, doi = {10.1145/1088149.1088186}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SonCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TsafrirEFK05, author = {Dan Tsafrir and Yoav Etsion and Dror G. Feitelson and Scott Kirkpatrick}, editor = {Arvind and Larry Rudolph}, title = {System noise, {OS} clock ticks, and fine-grained parallel applications}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {303--312}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088190}, doi = {10.1145/1088149.1088190}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TsafrirEFK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/UtreraCL05, author = {Gladys Utrera and Julita Corbal{\'{a}}n and Jes{\'{u}}s Labarta}, editor = {Arvind and Larry Rudolph}, title = {Another approach to backfilled jobs: applying virtual malleability to expired windows}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {313--322}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088191}, doi = {10.1145/1088149.1088191}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/UtreraCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ValluriJM05, author = {Madhavi Gopal Valluri and Lizy Kurian John and Kathryn S. McKinley}, editor = {Arvind and Larry Rudolph}, title = {Low-power, low-complexity instruction issue using compiler assistance}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {209--218}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088177}, doi = {10.1145/1088149.1088177}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ValluriJM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangPGTBKS05, author = {Huai Wang and Srinivasan Parthasarathy and Amol Ghoting and Shirish Tatikonda and Gregory Buehrer and Tahsin M. Kur{\c{c}} and Joel H. Saltz}, editor = {Arvind and Larry Rudolph}, title = {Design of a next generation sampling service for large scale data analysis applications}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {91--100}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088162}, doi = {10.1145/1088149.1088162}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangPGTBKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WhiteMSMQS05, author = {Brian S. White and Sally A. McKee and Bronis R. de Supinski and Brian Miller and Daniel J. Quinlan and Martin Schulz}, editor = {Arvind and Larry Rudolph}, title = {Improving the computational intensity of unstructured mesh applications}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {341--350}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088195}, doi = {10.1145/1088149.1088195}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WhiteMSMQS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuEWZ05, author = {Peng Wu and Alexandre E. Eichenberger and Amy Wang and Peng Zhao}, editor = {Arvind and Larry Rudolph}, title = {An integrated simdization framework using virtual vectors}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {169--178}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088172}, doi = {10.1145/1088149.1088172}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WuEWZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YotovPS05, author = {Kamen Yotov and Keshav Pingali and Paul Stodghill}, editor = {Arvind and Larry Rudolph}, title = {Think globally, search locally}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {141--150}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088168}, doi = {10.1145/1088149.1088168}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YotovPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YuLP05, author = {Weikuan Yu and Shuang Liang and Dhabaleswar K. Panda}, editor = {Arvind and Larry Rudolph}, title = {High performance support of parallel virtual file system {(PVFS2)} over Quadrics}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {323--331}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088192}, doi = {10.1145/1088149.1088192}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YuLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouOC05, author = {Peng Zhou and Soner {\"{O}}nder and Steve Carr}, editor = {Arvind and Larry Rudolph}, title = {Fast branch misprediction recovery in out-of-order superscalar processors}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {41--50}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088156}, doi = {10.1145/1088149.1088156}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouOC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZilberAT05, author = {Julia Zilber and Ofer Amit and David Talby}, editor = {Arvind and Larry Rudolph}, title = {What is worth learning from parallel workloads?: a user and session based analysis}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {377--386}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088200}, doi = {10.1145/1088149.1088200}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZilberAT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2005, editor = {Arvind and Larry Rudolph}, title = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149}, doi = {10.1145/1088149}, isbn = {1-59593-167-8}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AgarwalGGM04, author = {Saurabh Agarwal and Rahul Garg and Meeta Sharma Gupta and Jos{\'{e}} E. Moreira}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Adaptive incremental checkpointing for massively parallel systems}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {277--286}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006248}, doi = {10.1145/1006209.1006248}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AgarwalGGM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AryangatAS04, author = {Suresh Aryangat and Henrique Andrade and Alan Sussman}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Time and space optimization for processing groups of multi-dimensional scientific queries}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {95--105}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006224}, doi = {10.1145/1006209.1006224}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AryangatAS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Balasubramonian04, author = {Rajeev Balasubramonian}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {326--335}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006255}, doi = {10.1145/1006209.1006255}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Balasubramonian04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BellCBY04, author = {Christian Bell and Wei{-}Yu Chen and Dan Bonachea and Katherine A. Yelick}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Evaluating support for global address space languages on the Cray {X1}}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {184--195}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006236}, doi = {10.1145/1006209.1006236}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BellCBY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BentleyWLR04, author = {Chris Bentley and Scott A. Watterson and David K. Lowenthal and Barry Rountree}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Implicit java array bounds checking on 64-bit architecture}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {227--236}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006242}, doi = {10.1145/1006209.1006242}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BentleyWLR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BrightwellU04, author = {Ron Brightwell and Keith D. Underwood}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {An analysis of the impact of {MPI} overlap and independent progress}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {298--305}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006251}, doi = {10.1145/1006209.1006251}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BrightwellU04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarribaultC04, author = {Patrick Carribault and Albert Cohen}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Applications of storage mapping optimization to register promotion}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {247--256}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006244}, doi = {10.1145/1006209.1006244}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarribaultC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChernikovC04, author = {Andrey N. Chernikov and Nikos Chrisochoides}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Practical and efficient point insertion scheduling method for parallel guaranteed quality delaunay refinement}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {48--57}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006217}, doi = {10.1145/1006209.1006217}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChernikovC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CozetteGU04, author = {Olivier Cozette and Abdou Guermouche and Gil Utard}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Adaptive paging for a multifrontal solver}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {267--276}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006247}, doi = {10.1145/1006209.1006247}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CozetteGU04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EngelenBSWG04, author = {Robert A. van Engelen and Johnnie Birch and Yixin Shou and Burt Walsh and Kyle A. Gallivan}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {A unified framework for nonlinear dependence testing and symbolic analysis}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {106--115}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006226}, doi = {10.1145/1006209.1006226}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EngelenBSWG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GautierH04, author = {Thierry Gautier and Hamid{-}Reza Hamidi}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Automatic re-scheduling of dependencies in a RPC-based grid}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {89--94}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006223}, doi = {10.1145/1006209.1006223}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/GautierH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GramaS04, author = {Ananth Grama and Vivek Sarin}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Impact of far-field interactions on performance of multipole-based preconditioners for sparse linear systems}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {41--47}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006216}, doi = {10.1145/1006209.1006216}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GramaS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HassaneinGE04, author = {Wessam Hassanein and Jos{\'{e}} A. B. Fortes and Rudolf Eigenmann}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Data forwarding through in-memory precomputation threads}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {207--216}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006239}, doi = {10.1145/1006209.1006239}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HassaneinGE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HunoldRR04, author = {Sascha Hunold and Thomas Rauber and Gudula R{\"{u}}nger}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Multilevel hierarchical matrix multiplication on clusters}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {136--145}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006230}, doi = {10.1145/1006209.1006230}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HunoldRR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IacoboviciSKCA04, author = {Sorin Iacobovici and Lawrence Spracklen and Sudarshan Kadambi and Yuan Chou and Santosh G. Abraham}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Effective stream-based and execution-based data prefetching}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {1--11}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006211}, doi = {10.1145/1006209.1006211}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IacoboviciSKCA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IosevichS04, author = {Vadim Iosevich and Assaf Schuster}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {A comparison of sequential consistency with home-based lazy release consistency for software distributed shared memory}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {306--315}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006252}, doi = {10.1145/1006209.1006252}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IosevichS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/IsailaMOST04, author = {Florin Isaila and Guido Malpohl and Vlad Olaru and Gabor Szeder and Walter F. Tichy}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Integrating collective {I/O} and cooperative caching into the "clusterfile" parallel file system}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {58--67}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006219}, doi = {10.1145/1006209.1006219}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/IsailaMOST04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Iyer04, author = {Ravi R. Iyer}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {CQoS: a framework for enabling QoS in shared caches of {CMP} platforms}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {257--266}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006246}, doi = {10.1145/1006209.1006246}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/Iyer04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LatorreGG04, author = {Fernando Latorre and Jos{\'{e}} Gonz{\'{a}}lez and Antonio Gonz{\'{a}}lez}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Back-end assignment schemes for clustered multithreaded processors}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {316--325}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006254}, doi = {10.1145/1006209.1006254}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LatorreGG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiNMR04, author = {Xianfeng Li and Hemendra Singh Negi and Tulika Mitra and Abhik Roychoudhury}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Design space exploration of caches using compressed traces}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {116--125}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006227}, doi = {10.1145/1006209.1006227}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiNMR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuH04, author = {Wei Liu and Michael C. Huang}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {{EXPERT:} expedited simulation exploiting program behavior repetition}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {126--135}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006228}, doi = {10.1145/1006209.1006228}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuSMR04, author = {Yongxiang Liu and Anahita Shayesteh and Gokhan Memik and Glenn Reinman}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Scaling the issue window with look-ahead latency prediction}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {217--226}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006240}, doi = {10.1145/1006209.1006240}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiuSMR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LongO04, author = {Shun Long and Michael F. P. O'Boyle}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Adaptive Java optimisation using instance-based learning}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {237--246}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006243}, doi = {10.1145/1006209.1006243}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LongO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MaratheNM04, author = {Jaydeep Marathe and Anita Nagarajan and Frank Mueller}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Detailed cache coherence characterization for OpenMP benchmarks}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {287--297}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006250}, doi = {10.1145/1006209.1006250}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MaratheNM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MarkWC04, author = {Paul van der Mark and Lex Wolters and Gerard Cats}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {A dynamic application-driven data communication strategy}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {146--153}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006231}, doi = {10.1145/1006209.1006231}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/MarkWC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NakajimaSGBT04, author = {Yoshihiro Nakajima and Mitsuhisa Sato and Hitoshi Gotoh and Taisuke Boku and Daisuke Takahashi}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Implementation and performance evaluation of {CONFLEX-G:} grid-enabled molecular conformational space search program with OmniRPC}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {154--163}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006232}, doi = {10.1145/1006209.1006232}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/NakajimaSGBT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PetrouGG04, author = {David Petrou and Gregory R. Ganger and Garth A. Gibson}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Cluster scheduling for explicitly-speculative tasks}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {336--345}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006256}, doi = {10.1145/1006209.1006256}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PetrouGG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PinheiroB04, author = {Eduardo Pinheiro and Ricardo Bianchini}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Energy conservation techniques for disk array-based servers}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {68--78}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006220}, doi = {10.1145/1006209.1006220}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PinheiroB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RodriguesMKU04, author = {Arun Rodrigues and Richard C. Murphy and Peter M. Kogge and Keith D. Underwood}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Characterizing a new class of threads in scientific applications for high end supercomputers}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {164--174}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006234}, doi = {10.1145/1006209.1006234}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RodriguesMKU04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SasankaACD04, author = {Ruchira Sasanka and Sarita V. Adve and Yen{-}Kuang Chen and Eric Debes}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {The energy efficiency of {CMP} vs. {SMT} for multimedia workloads}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {196--206}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006238}, doi = {10.1145/1006209.1006238}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SasankaACD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShanS04, author = {Hongzhang Shan and Erich Strohmaier}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Performance characteristics of the Cray {X1} and their implications for application performance tuning}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {175--183}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006235}, doi = {10.1145/1006209.1006235}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShanS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TakagiH04, author = {Masamichi Takagi and Kei Hiraki}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Inter-reference gap distribution replacement: an improved replacement algorithm for set-associative caches}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {20--30}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006213}, doi = {10.1145/1006209.1006213}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TakagiH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangP04, author = {Chao Wang and Srinivasan Parthasarathy}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Parallel algorithms for mining frequent structural motifs in scientific data}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {31--40}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006215}, doi = {10.1145/1006209.1006215}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WangP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Zhang04, author = {Wei Zhang}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Enhancing data cache reliability by the addition of a small fully-associative replication cache}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {12--19}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006212}, doi = {10.1145/1006209.1006212}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Zhang04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhuSZ04, author = {Qingbo Zhu and Asim Shankar and Yuanyuan Zhou}, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {{PB-LRU:} a self-tuning power aware storage cache replacement algorithm for conserving disk energy}, booktitle = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, pages = {79--88}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209.1006221}, doi = {10.1145/1006209.1006221}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhuSZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2004, editor = {Paul Feautrier and James R. Goodman and Andr{\'{e}} Seznec}, title = {Proceedings of the 18th Annual International Conference on Supercomputing, {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1006209}, doi = {10.1145/1006209}, isbn = {1-58113-839-3}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AkkarySL03, author = {Haitham Akkary and Srikanth T. Srinivasan and Konrad Lai}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Recycling waste: exploiting wrong-path execution to improve branch prediction}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {12--21}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782819}, doi = {10.1145/782814.782819}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/AkkarySL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArenazTD03, author = {Manuel Arenaz and Juan Touri{\~{n}}o and Ramon Doallo}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A GSA-based compiler infrastructure to extract parallelism from complex loops}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {193--204}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782842}, doi = {10.1145/782814.782842}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ArenazTD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AzimiB03, author = {Reza Azimi and Angelos Bilas}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {miNI: reducing network interface memory requirements with dynamic handle lookup}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {261--272}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782851}, doi = {10.1145/782814.782851}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AzimiB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BhowmikF03, author = {Anasua Bhowmik and Manoj Franklin}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A fast approximate interprocedural analysis for speculative multithreading compilers}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {32--41}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782822}, doi = {10.1145/782814.782822}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BhowmikF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BorovikovS03, author = {Eugene Borovikov and Alan Sussman}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A high performance multi-perspective vision studio}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {348--357}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782862}, doi = {10.1145/782814.782862}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BorovikovS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BronevetskyMPS03, author = {Greg Bronevetsky and Daniel Marques and Keshav Pingali and Paul Stodghill}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Collective operations in application-level fault-tolerant {MPI}}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {234--243}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782847}, doi = {10.1145/782814.782847}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BronevetskyMPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarreraPB03, author = {Enrique V. Carrera and Eduardo Pinheiro and Ricardo Bianchini}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Conserving disk energy in network servers}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {86--97}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782829}, doi = {10.1145/782814.782829}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarreraPB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarrollP03, author = {Steven Carroll and Constantine D. Polychronopoulos}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A framework for incremental extensible compiler construction}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {53--62}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782824}, doi = {10.1145/782814.782824}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarrollP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CascavalP03, author = {Calin Cascaval and David A. Padua}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Estimating cache misses and locality using stack distances}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {150--159}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782836}, doi = {10.1145/782814.782836}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CascavalP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Christodoulides03, author = {Louis Christodoulides}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Keynote: Wireless networks... what does the future have in store?}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {1}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782815}, doi = {10.1145/782814.782815}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Christodoulides03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChuangC03, author = {Weihaw Chuang and Brad Calder}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Predicate prediction for efficient out-of-order execution}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {183--192}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782840}, doi = {10.1145/782814.782840}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ChuangC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CorbalanML03, author = {Julita Corbal{\'{a}}n and Xavier Martorell and Jes{\'{u}}s Labarta}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Evaluation of the memory page migration influence in the system performance: the case of the {SGI} {O2000}}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {121--129}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782833}, doi = {10.1145/782814.782833}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CorbalanML03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangLM03, author = {Xing Fang and Jaejin Lee and Samuel P. Midkiff}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Automatic fence insertion for shared memory multiprocessing}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {285--294}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782854}, doi = {10.1145/782814.782854}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FangLM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FredricksonAQ03, author = {Nathan R. Fredrickson and Ahmad Afsahi and Ying Qian}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Performance characteristics of openMP constructs, and application benchmarks on a large symmetric multiprocessor}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {140--149}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782835}, doi = {10.1145/782814.782835}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FredricksonAQ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Germain-RenaudP03, author = {C{\'{e}}cile Germain{-}Renaud and Nathalie Playez}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Result checking in global computing systems}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {226--233}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782846}, doi = {10.1145/782814.782846}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Germain-RenaudP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HusbandsIY03, author = {Parry Husbands and Costin Iancu and Katherine A. Yelick}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A performance analysis of the Berkeley {UPC} compiler}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {63--73}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782825}, doi = {10.1145/782814.782825}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HusbandsIY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JiaoCH03, author = {Xiangmin Jiao and Michael T. Campbell and Michael T. Heath}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {\emph{Roccom}: an object-oriented, data-centric software integration framework for multiphysics simulations}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {358--368}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782863}, doi = {10.1145/782814.782863}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JiaoCH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimM03, author = {Nam Sung Kim and Trevor N. Mudge}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Reducing register ports using delayed write-back queues and operand pre-fetch}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {172--182}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782839}, doi = {10.1145/782814.782839}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KimM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiFA03, author = {Xiaogang Li and Renato Ferreira and Gagan Agrawal}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Compiler support for efficient processing of {XML} datasets}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {42--52}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782823}, doi = {10.1145/782814.782823}, timestamp = {Thu, 31 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiFA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiuWKWP03, author = {Jiuxing Liu and Jiesheng Wu and Sushmitha P. Kini and Pete Wyckoff and Dhabaleswar K. Panda}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {High performance RDMA-based {MPI} implementation over InfiniBand}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {295--304}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782855}, doi = {10.1145/782814.782855}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/LiuWKWP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OrtegaAV03, author = {Daniel Ortega and Eduard Ayguad{\'{e}} and Mateo Valero}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Dynamic memory instruction bypassing}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {316--325}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782858}, doi = {10.1145/782814.782858}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/OrtegaAV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/PsarrisK03, author = {Kleanthis Psarris and Konstantinos Kyriakopoulos}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {The impact of data dependence analysis on compilation and program parallelization}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {205--214}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782843}, doi = {10.1145/782814.782843}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/PsarrisK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/QuagliaS03, author = {Francesco Quaglia and Andrea Santoro}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Modeling and optimization of non-blocking checkpointing for optimistic simulation on myrinet clusters}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {130--139}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782834}, doi = {10.1145/782814.782834}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/QuagliaS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RacunasP03, author = {Paul Racunas and Yale N. Patt}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Partitioned first-level cache design for clustered microarchitectures}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {22--31}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782820}, doi = {10.1145/782814.782820}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RacunasP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RajwarKG03, author = {Ravi Rajwar and Alain K{\"{a}}gi and James R. Goodman}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Inferential queueing and speculative push for reducing critical communication latencies}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {273--284}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782853}, doi = {10.1145/782814.782853}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RajwarKG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RosnerMSR03, author = {Roni Rosner and Micha Moffie and Yiannakis Sazeides and Ronny Ronen}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Selecting long atomic traces for high coverage}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {2--11}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782818}, doi = {10.1145/782814.782818}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RosnerMSR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShangPJ03, author = {Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {98--108}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782830}, doi = {10.1145/782814.782830}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShangPJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Smith03, author = {James E. Smith}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Keynote: Is there anything more to learn about high performance processors?}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {75}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782816}, doi = {10.1145/782814.782816}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Smith03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SrinivasanA03, author = {Jayanth Srinivasan and Sarita V. Adve}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Predictive dynamic thermal management for multimedia applications}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {109--120}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782831}, doi = {10.1145/782814.782831}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SrinivasanA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SuhCGDD03, author = {G. Edward Suh and Dwaine E. Clarke and Blaise Gassend and Marten van Dijk and Srinivas Devadas}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {{AEGIS:} architecture for tamper-evident and tamper-resistant processing}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {160--171}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782838}, doi = {10.1145/782814.782838}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SuhCGDD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TakeuchiKN03, author = {Mikio Takeuchi and Hideaki Komatsu and Toshio Nakatani}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A new speculation technique to optimize floating-point performance while preserving bit-by-bit reproducibility}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {305--315}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782857}, doi = {10.1145/782814.782857}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/TakeuchiKN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangK03, author = {Yijian Wang and David R. Kaeli}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Profile-guided {I/O} partitioning}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {252--260}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782850}, doi = {10.1145/782814.782850}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WissinkHH03, author = {Andrew M. Wissink and David Hysom and Richard D. Hornung}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Enhancing scalability of parallel structured {AMR} calculations}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {336--347}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782861}, doi = {10.1145/782814.782861}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/WissinkHH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WuWL03, author = {Jan{-}Jan Wu and Da{-}Wei Wang and Yih{-}Fang Lin}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Placement of {I/O} servers to improve parallel {I/O} performance on switch-based clusters}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {244--251}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782849}, doi = {10.1145/782814.782849}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/WuWL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YangCGJLZ03, author = {Liu Yang and Sun Chan and Guang R. Gao and Roy Ju and Guei{-}Yuan Lueh and Zhaoqing Zhang}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Inter-procedural stacked register allocation for itanium{\textregistered} like architecture}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {215--225}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782844}, doi = {10.1145/782814.782844}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/YangCGJLZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangKKC03, author = {Wei Zhang and Mustafa Karak{\"{o}}y and Mahmut T. Kandemir and Guangyu Chen}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {A compiler approach for reducing data cache energy}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {76--85}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782828}, doi = {10.1145/782814.782828}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangKKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhouC03, author = {Huiyang Zhou and Thomas M. Conte}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Enhancing memory level parallelism via recovery-free value prediction}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {326--335}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782859}, doi = {10.1145/782814.782859}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhouC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2003, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814}, doi = {10.1145/782814}, isbn = {1-58113-733-8}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AragonGGS02, author = {Juan L. Arag{\'{o}}n and Jos{\'{e}} Gonz{\'{a}}lez and Antonio Gonz{\'{a}}lez and James E. Smith}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Dual path instruction processing}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {220--229}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514223}, doi = {10.1145/514191.514223}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/AragonGGS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BhargavaJ02, author = {Ravi Bhargava and Lizy Kurian John}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Latency and energy aware value prediction for high-frequency processors}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {45--56}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514201}, doi = {10.1145/514191.514201}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BhargavaJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BokuUMFSU02, author = {Taisuke Boku and Masayuki Umemura and Junichiro Makino and Toshiyuki Fukushige and Hajime Susa and Akira Ukawa}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Heterogeneous multi-computer system: a new platform for multi-paradigm scientific simulation}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {26--34}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514198}, doi = {10.1145/514191.514198}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BokuUMFSU02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BuakleeTVW02, author = {Det Buaklee and Gregory F. Tracy and Mary K. Vernon and Stephen J. Wright}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Near-optimal adaptive control of a large grid application}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {315--326}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514234}, doi = {10.1145/514191.514234}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BuakleeTVW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CarterC02, author = {Lori Carter and Brad Calder}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Using predicate path information in hardware to determine true dependences}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {230--240}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514224}, doi = {10.1145/514191.514224}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CarterC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CodinaLG02, author = {Josep M. Codina and Josep Llosa and Antonio Gonz{\'{a}}lez}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {A comparative study of modulo scheduling techniques}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {97--106}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514208}, doi = {10.1145/514191.514208}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/CodinaLG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DraperCHSBLGSCKG02, author = {Jeffrey T. Draper and Jacqueline Chame and Mary W. Hall and Craig S. Steele and Tim Barrett and Jeff LaCoss and John J. Granacki and Jaewook Shin and Chun Chen and Chang Woo Kang and Ihn Kim Gokhan}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {The architecture of the {DIVA} processing-in-memory chip}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {14--25}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514197}, doi = {10.1145/514191.514197}, timestamp = {Mon, 22 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DraperCHSBLGSCKG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FerreiraAS02, author = {Renato Ferreira and Gagan Agrawal and Joel H. Saltz}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Compiler supported high-level abstractions for sparse disk-resident datasets}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {241--251}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514226}, doi = {10.1145/514191.514226}, timestamp = {Thu, 31 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FerreiraAS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GibertSG02, author = {Enric Gibert and F. Jes{\'{u}}s S{\'{a}}nchez and Antonio Gonz{\'{a}}lez}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {An interleaved cache clustered {VLIW} processor}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {210--219}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514222}, doi = {10.1145/514191.514222}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GibertSG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GrahamCDDMRRS02, author = {Richard L. Graham and Sung{-}Eun Choi and David J. Daniel and Nehal N. Desai and Ronald G. Minnich and Craig Edward Rasmussen and L. Dean Risinger and Mitchel W. Sukalski}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {A network-failure-tolerant message-passing system for terascale clusters}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {77--83}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514205}, doi = {10.1145/514191.514205}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/GrahamCDDMRRS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Hendriks02, author = {Erik A. Hendriks}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {BProc: the Beowulf distributed process space}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {129--136}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514212}, doi = {10.1145/514191.514212}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Hendriks02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/JinM02, author = {Guohua Jin and John M. Mellor{-}Crummey}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Experiences tuning {SMG98:} a semicoarsening multigrid benchmark based on the hypre library}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {305--314}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514233}, doi = {10.1145/514191.514233}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/JinM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimCH02, author = {Daehyun Kim and Mainak Chaudhuri and Mark A. Heinrich}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Leveraging cache coherence in active memory systems}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {2--13}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514196}, doi = {10.1145/514191.514196}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KimCH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KrishnamurthyGS02, author = {Gayathri Krishnamurthy and Elana D. Granston and Eric Stotzer}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Affinity-based cluster assignment for unrolled loops}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {107--116}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514209}, doi = {10.1145/514191.514209}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KrishnamurthyGS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Kuck02, author = {David J. Kuck}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Clustered approaches to {HPC} via commodity {HW} + highly evolved {SW}}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {209--209}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514194}, doi = {10.1145/514191.514194}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/Kuck02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KucukPG02, author = {Gurhan Kucuk and Dmitry Ponomarev and Kanad Ghose}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Low-complexity reorder buffer architecture}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {57--66}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514202}, doi = {10.1145/514191.514202}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KucukPG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LawlorK02, author = {Orion Sky Lawlor and Laxmikant V. Kal{\'{e}}}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {A voxel-based parallel collision detection algorithm}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {285--293}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514231}, doi = {10.1145/514191.514231}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LawlorK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.