Search dblp for Publications

export results for "toc:db/journals/iet-cdt/iet-cdt5.bht:"

 download as .bib file

@article{DBLP:journals/iet-cdt/AkgunRS11,
  author       = {Omer Can Akgun and
                  Joachim Neves Rodrigues and
                  Jens Spars{\o}},
  title        = {Energy-minimum sub-threshold self-timed circuits using current-sensing
                  completion detection},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {342--353},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0118},
  doi          = {10.1049/IET-CDT.2010.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AkgunRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AlmukhaizimS11,
  author       = {Sobeeh Almukhaizim and
                  Ozgur Sinanoglu},
  title        = {Novel hazard-free majority voter for n-modular redundancy-based fault
                  tolerance in asynchronous circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {306--315},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0075},
  doi          = {10.1049/IET-CDT.2009.0075},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AlmukhaizimS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AmbroseRPI11,
  author       = {Jude Angelo Ambrose and
                  Roshan G. Ragel and
                  Sri Parameswaran and
                  Aleksandar Ignjatovic},
  title        = {Multiprocessor information concealment architecture to prevent power
                  analysis-based side channel attacks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {1--15},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0097},
  doi          = {10.1049/IET-CDT.2009.0097},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmbroseRPI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AngelopoulouBC11,
  author       = {Maria E. Angelopoulou and
                  Christos{-}Savvas Bouganis and
                  Peter Y. K. Cheung},
  title        = {Blur identification with assumption validation for sensor-based video
                  reconstruction and its implementation on field programmable gate array},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {271--286},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0053},
  doi          = {10.1049/IET-CDT.2009.0053},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AngelopoulouBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ApolloniCCPPT11,
  author       = {Rub{\'{e}}n Apolloni and
                  Daniel Chaver and
                  Fernando Castro and
                  Luis Pi{\~{n}}uel and
                  Manuel Prieto and
                  Francisco Tirado},
  title        = {Hybrid timing-address oriented load-store queue filtering for an x86
                  architecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {145--157},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0004},
  doi          = {10.1049/IET-CDT.2010.0004},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ApolloniCCPPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BanerjeeSS11,
  author       = {Pritha Banerjee and
                  Debasri Saha and
                  Susmita Sur{-}Kolay},
  title        = {Cone-based placement for field programmable gate arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {49--62},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0058},
  doi          = {10.1049/IET-CDT.2009.0058},
  timestamp    = {Sat, 02 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BanerjeeSS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Casu11,
  author       = {Mario R. Casu},
  title        = {Half-buffer retiming and token cages for synchronous elastic circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {318--330},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0116},
  doi          = {10.1049/IET-CDT.2010.0116},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Casu11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChabiniW11,
  author       = {Noureddine Chabini and
                  Marilyn Wolf},
  title        = {Reordering the assembly instructions in basic blocks to reduce switching
                  activities on the instruction bus},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {386--392},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0024},
  doi          = {10.1049/IET-CDT.2010.0024},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChabiniW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChenT11,
  author       = {Kuan{-}Neng Chen and
                  Chuan Seng Tan},
  title        = {Integration schemes and enabling technologies for three-dimensional
                  integrated circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {160--168},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0127},
  doi          = {10.1049/IET-CDT.2009.0127},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChenT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DongWXCL11,
  author       = {Xiangyu Dong and
                  Xiaoxia Wu and
                  Yuan Xie and
                  Yiran Chen and
                  Hai (Helen) Li},
  title        = {Stacking magnetic random access memory atop microprocessors: an architecture-level
                  evaluation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {213--220},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0091},
  doi          = {10.1049/IET-CDT.2009.0091},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DongWXCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DotanLL11,
  author       = {Yocheved Dotan and
                  Nadav Levison and
                  David J. Lilja},
  title        = {Fault tolerance for nanotechnology devices at the bit and module levels
                  with history index of correct computation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {221--230},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0009},
  doi          = {10.1049/IET-CDT.2010.0009},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DotanLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HalakY11,
  author       = {Basel Halak and
                  Alexandre Yakovlev},
  title        = {Statistical analysis of crosstalk-induced errors for on-chip interconnects},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {104--112},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0054},
  doi          = {10.1049/IET-CDT.2009.0054},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HalakY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HungL11,
  author       = {Chia{-}Ming Hung and
                  Youn{-}Long Lin},
  title        = {Three-dimensional integrated circuits implementation of multiple applications
                  emphasising manufacture reuse},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {179--185},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0118},
  doi          = {10.1049/IET-CDT.2009.0118},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HungL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JagadeeshSL11,
  author       = {George Rosario Jagadeesh and
                  Thambipillai Srikanthan and
                  C. M. Lim},
  title        = {Field programmable gate array-based acceleration of shortest-path
                  computation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {231--237},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0072},
  doi          = {10.1049/IET-CDT.2009.0072},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JagadeeshSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JainAPJ11,
  author       = {Ankur Jain and
                  Syed M. Alam and
                  Scott Pozder and
                  Robert E. Jones},
  title        = {Thermal-electrical co-optimisation of floorplanning of three-dimensional
                  integrated circuits under manufacturing and physical design constraints},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {169--178},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0107},
  doi          = {10.1049/IET-CDT.2009.0107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JainAPJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JassaniUA11,
  author       = {B. A. Al Jassani and
                  Neil Urquhart and
                  A. E. A. Almaini},
  title        = {State assignment for sequential circuits using multi-objective genetic
                  algorithm},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {296--305},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0045},
  doi          = {10.1049/IET-CDT.2010.0045},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JassaniUA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/JooyaBA11,
  author       = {A. Zolfaghari Jooya and
                  Amirali Baniasadi and
                  M. Analoui},
  title        = {History-aware, resource-based dynamic scheduling for heterogeneous
                  multi-core processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {254--262},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0045},
  doi          = {10.1049/IET-CDT.2009.0045},
  timestamp    = {Wed, 04 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/JooyaBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KaivaniHJ11,
  author       = {Amir Kaivani and
                  Adel Hosseiny and
                  Ghassem Jaberipur},
  title        = {Improving the speed of decimal division},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {393--404},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0026},
  doi          = {10.1049/IET-CDT.2010.0026},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KaivaniHJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarnikSB11,
  author       = {Tanay Karnik and
                  Dinesh Somasekhar and
                  Shekhar Borkar},
  title        = {Microprocessor system applications and challenges for through-silicon-via-based
                  three-dimensional integration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {205--212},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0126},
  doi          = {10.1049/IET-CDT.2009.0126},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarnikSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LauniainenHJ11,
  author       = {Tuomas Launiainen and
                  Keijo Heljanko and
                  Tommi A. Junttila},
  title        = {Efficient model checking of {PSL} safety properties},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {479--492},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0154},
  doi          = {10.1049/IET-CDT.2010.0154},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LauniainenHJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeeK11,
  author       = {Y. Lee and
                  T. Kim},
  title        = {State encoding algorithm for peak current minimisation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {113--122},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0082},
  doi          = {10.1049/IET-CDT.2009.0082},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeeK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuZZRS11,
  author       = {Wenqing Lu and
                  Shuang Zhao and
                  Xiaofang Zhou and
                  Junyan Ren and
                  Gerald E. Sobelman},
  title        = {Reconfigurable baseband processing architecture for communication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {63--72},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0121},
  doi          = {10.1049/IET-CDT.2009.0121},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuZZRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/McKeownW11,
  author       = {Stephen McKeown and
                  Roger F. Woods},
  title        = {Low power field programmable gate array implementation of fast digital
                  signal processing algorithms: characterisation and manipulation of
                  data locality},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {136--144},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0052},
  doi          = {10.1049/IET-CDT.2010.0052},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/McKeownW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Mohammad11,
  author       = {Mohammad Gh. Mohammad},
  title        = {Fault model and test procedure for phase change memory},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {263--270},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0083},
  doi          = {10.1049/IET-CDT.2010.0083},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Mohammad11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MokhovAY11,
  author       = {Andrey Mokhov and
                  Arseniy Alekseyev and
                  Alex Yakovlev},
  title        = {Encoding of processor instruction sets with explicit concurrency control},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {427--439},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0158},
  doi          = {10.1049/IET-CDT.2010.0158},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MokhovAY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Morales-SandovalUK11,
  author       = {Miguel Morales{-}Sandoval and
                  Claudia Feregrino Uribe and
                  Paraskevas Kitsos},
  title        = {Bit-serial and digit-serial GF(2\({}^{\mbox{m}}\))Montgomery multipliers
                  using linear feedback shift registers},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {86--94},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0021},
  doi          = {10.1049/IET-CDT.2010.0021},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Morales-SandovalUK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/NoiaC11,
  author       = {Brandon Noia and
                  Krishnendu Chakrabarty},
  title        = {Test-wrapper optimisation for embedded cores in through-silicon via-based
                  three-dimensional system on chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {186--197},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0111},
  doi          = {10.1049/IET-CDT.2009.0111},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/NoiaC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Nunez-YanezSV11,
  author       = {Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez and
                  Trevor Spiteri and
                  George Vafiadis},
  title        = {Multi-standard reconfigurable motion estimation processor for hybrid
                  video codecs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {73--85},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0070},
  doi          = {10.1049/IET-CDT.2009.0070},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Nunez-YanezSV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaciBB11,
  author       = {Giacomo Paci and
                  Davide Bertozzi and
                  Luca Benini},
  title        = {Variability compensation for full-swing against low-swing on-chip
                  communication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {355--365},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0103},
  doi          = {10.1049/IET-CDT.2009.0103},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaciBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PlessasAKDB11,
  author       = {Fotis Plessas and
                  Alexis Alexandropoulos and
                  Sotiris Koutsomitsos and
                  Efthimios Davrazos and
                  Michael K. Birbas},
  title        = {Advanced calibration techniques for high-speed source-synchronous
                  interfaces},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {366--374},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0143},
  doi          = {10.1049/IET-CDT.2010.0143},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PlessasAKDB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Primary input cones based on test sequences in synchronous sequential
                  circuits},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {16--24},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0087},
  doi          = {10.1049/IET-CDT.2009.0087},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Two-dimensional partially functional broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {247--253},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0022},
  doi          = {10.1049/IET-CDT.2009.0022},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11b,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Sizes of test sets for path delay faults using strong and weak non-robust
                  tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {405--414},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0049},
  doi          = {10.1049/IET-CDT.2010.0049},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR11c,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Ranking of input cubes based on their lingering synchronisation effects
                  and their use in random sequential test generation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {415--423},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0014},
  doi          = {10.1049/IET-CDT.2010.0014},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR11c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RaffelsieperMZ11,
  author       = {Matthias Raffelsieper and
                  Mohammad Reza Mousavi and
                  Hans Zantema},
  title        = {Long-run order-independence of vector-based transition systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {468--478},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0156},
  doi          = {10.1049/IET-CDT.2010.0156},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RaffelsieperMZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RauW11,
  author       = {Jiann{-}Chyi Rau and
                  Po{-}Han Wu},
  title        = {Power-aware multi-chains encoding scheme for system-on-a-chip in low-cost
                  environment},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {25--35},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0115},
  doi          = {10.1049/IET-CDT.2009.0115},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RauW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SamantaRD11,
  author       = {Tuhina Samanta and
                  Hafizur Rahaman and
                  Parthasarathi Dasgupta},
  title        = {Near-optimal Y-routed delay trees in nanometric interconnect design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {1},
  pages        = {36--48},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0074},
  doi          = {10.1049/IET-CDT.2009.0074},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SamantaRD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SchaferW11,
  author       = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Kazutoshi Wakabayashi},
  title        = {Precision tunable {RTL} macro-modelling cycle-accurate power estimation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {95--103},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0044},
  doi          = {10.1049/IET-CDT.2010.0044},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SchaferW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShaoLT11,
  author       = {Hui Shao and
                  Xing Li and
                  Chi{-}Ying Tsui},
  title        = {Low energy multi-stage level converter for sub-threshold logic},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {5},
  pages        = {375--385},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0065},
  doi          = {10.1049/IET-CDT.2009.0065},
  timestamp    = {Tue, 17 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShaoLT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SongELD11,
  author       = {Wei Song and
                  D. Edwards and
                  Z. Liu and
                  S. Dasgupta},
  title        = {Routing of asynchronous Clos networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {452--467},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0150},
  doi          = {10.1049/IET-CDT.2010.0150},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SongELD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StevensY11,
  author       = {Ken S. Stevens and
                  Alexandre Yakovlev},
  title        = {Editorial - Selected papers from the 16th {IEEE} International Symposium
                  on Asynchronous Circuits and Systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {316--317},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2011.9055},
  doi          = {10.1049/IET-CDT.2011.9055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StevensY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ThorolfssonMF11,
  author       = {Thorlindur Thorolfsson and
                  Nariman Moezzi Madani and
                  Paul D. Franzon},
  title        = {Reconfigurable five-layer three-dimensional integrated memory-on-logic
                  synthetic aperture radar processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {198--204},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0106},
  doi          = {10.1049/IET-CDT.2009.0106},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ThorolfssonMF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TomsE11,
  author       = {William B. Toms and
                  David A. Edwards},
  title        = {Indicating combinational logic decomposition},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {331--341},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0107},
  doi          = {10.1049/IET-CDT.2010.0107},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TomsE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangHLSRW11,
  author       = {W.{-}C. Wang and
                  C.{-}Y. Hsu and
                  James Chien{-}Mo Li and
                  Y.{-}C. Sung and
                  A. Rao and
                  L.{-}T. Wang},
  title        = {Row-linear feedback shift register-column x-masking technique for
                  simultaneous testing of many-core system chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {238--246},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0041},
  doi          = {10.1049/IET-CDT.2010.0041},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangHLSRW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WistSVW11,
  author       = {Dominic Wist and
                  M. Schaefer and
                  Walter Vogler and
                  Ralf Wollowski},
  title        = {Signal transition graph decomposition: internal communication for
                  speed independent circuit implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {6},
  pages        = {440--451},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0162},
  doi          = {10.1049/IET-CDT.2010.0162},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WistSVW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/XieM11,
  author       = {Yuan Xie and
                  Pol Marchal},
  title        = {Editorial- three-dimensional integrated circuits design},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {3},
  pages        = {159},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2011.9051},
  doi          = {10.1049/IET-CDT.2011.9051},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/XieM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Ykman-CouvreurAMPSZ11,
  author       = {Chantal Ykman{-}Couvreur and
                  Prabhat Avasare and
                  Giovanni Mariani and
                  Gianluca Palermo and
                  Cristina Silvano and
                  Vittorio Zaccaria},
  title        = {Linking run-time resource management of embedded multi-core platforms
                  with automated design-time exploration},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {2},
  pages        = {123--135},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0030},
  doi          = {10.1049/IET-CDT.2010.0030},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Ykman-CouvreurAMPSZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ZhouP11,
  author       = {X. Zhou and
                  Peter Petrov},
  title        = {Towards virtual memory support in real-time and memory-constrained
                  embedded applications: the interval page table},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {5},
  number       = {4},
  pages        = {287--295},
  year         = {2011},
  url          = {https://doi.org/10.1049/iet-cdt.2009.0030},
  doi          = {10.1049/IET-CDT.2009.0030},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ZhouP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics