Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/journals/ieiceee/ieiceee14.bht:"
@article{DBLP:journals/ieiceee/0004YLFLW17, author = {Zhao Zhang and Jincheng Yang and Liyuan Liu and Peng Feng and Jian Liu and Nanjian Wu}, title = {A 1.25-to-6.25 GHz -237.2-dB {FOM} wideband self-biased {PLL} for multi-rate serial link data transmitter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170422}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170422}, doi = {10.1587/ELEX.14.20170422}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/0004YLFLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AbidH17, author = {Turrab Abid and Ammar Hasan}, title = {Variable frequency finite control set model predictive control of boost converter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {14}, pages = {20170526}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170526}, doi = {10.1587/ELEX.14.20170526}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AbidH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhnCHY17, author = {ByungKuon Ahn and Soo{-}Chang Chae and In{-}June Hwang and Jong{-}Won Yu}, title = {High gain spherical {DRA} operating on higher-order mode excited by microstrip patch}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20171049}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171049}, doi = {10.1587/ELEX.14.20171049}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnCHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Akita17, author = {Junichi Akita}, title = {{CMOS} image sensor with pseudorandom pixel placement for jaggy elimination}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170154}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170154}, doi = {10.1587/ELEX.14.20170154}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Akita17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AlnamerMM17, author = {Saddam Shueai Alnamer and Saad Mekhilef and Hazlie Mokhlis}, title = {Proposed new \emph{N}-multilevel family of topologies for T-type inverter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170342}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170342}, doi = {10.1587/ELEX.14.20170342}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AlnamerMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AminBLBH17, author = {Muhammad Amin and Ting Ting Bu and Chao{-}Ming Luo and Muhammad Abdul Basit and Jing{-}Song Hong}, title = {A bowtie-shaped {MIMO} dielectric resonator antenna for {WLAN} applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170519}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170519}, doi = {10.1587/ELEX.14.20170519}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AminBLBH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AminHLLAB17, author = {Muhammad Amin and Jing{-}Song Hong and Chao{-}Ming Luo and Shenpeng Liu and Mujeeb Abdullah and Muhammad Abdul Basit}, title = {Gain enhancement in cubic {DRA} with modified microstrip feed for {WLAN} applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20170960}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170960}, doi = {10.1587/ELEX.14.20170960}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AminHLLAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AngiulliCSVM17, author = {Giovanni Angiulli and Domenico De Carlo and Annalisa Sgr{\'{o}} and Mario Versaci and Francesco Carlo Morabito}, title = {Accurate modelling of lossy {SIW} resonators using a neural network residual kriging approach}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170073}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170073}, doi = {10.1587/ELEX.14.20170073}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AngiulliCSVM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AtsumiMMMS17, author = {Yuki Atsumi and Tetsuo Miyazaki and Noboru Miura and Masahiko Mori and Youichi Sakakibara}, title = {Compact and low-loss liquid crystal loaded Mach-Zehnder optical switch based on Si wire waveguide}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170110}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170110}, doi = {10.1587/ELEX.14.20170110}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AtsumiMMMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AuS17, author = {NgocDuc Au and Chulhun Seo}, title = {Novel design of a 2.1-2.9 GHz negative capacitance using a passive non-Foster circuit}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20160955}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160955}, doi = {10.1587/ELEX.13.20160955}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AuS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaoZXHZW17, author = {Xinghe Bao and Yunlong Zhan and Chang Xu and Kelu Hu and Chunlei Zheng and Yingguan Wang}, title = {A novel dual microwave Doppler radar based vehicle detection sensor for parking lot occupancy detection}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161087}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161087}, doi = {10.1587/ELEX.13.20161087}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BaoZXHZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BukhariAAK17, author = {Syed Sabir Hussain Bukhari and Muhammad Ayub and Shahid Atiq and Byung{-}il Kwon}, title = {A three-phase off-line {UPS} system for transformer coupled loads}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170815}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170815}, doi = {10.1587/ELEX.14.20170815}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BukhariAAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BukhariK17, author = {Syed Sabir Hussain Bukhari and Byung{-}il Kwon}, title = {A single-phase on-line {UPS} system for multiple load transformers}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170050}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170050}, doi = {10.1587/ELEX.14.20170050}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BukhariK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiXHY17, author = {Zhikuang Cai and Haobo Xu and Shanwen Hu and Jun Yang}, title = {Built-in jitter measurement circuit for {PLL} based on variable vernier delay line}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161116}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161116}, doi = {10.1587/ELEX.13.20161116}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiXHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiYCCH17, author = {Jiangzheng Cai and Jia Yuan and Liming Chen and Chengying Chen and Yong Hei}, title = {A {PMOS} read-port 8T {SRAM} cell with optimized leakage power and enhanced performance}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161188}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161188}, doi = {10.1587/ELEX.14.20161188}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiYCCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiYWLLW17, author = {Shuo Cai and Fei Yu and Weizheng Wang and Tieqiao Liu and Peng Liu and Wei Wang}, title = {Reliability evaluation of logic circuits based on transient faults propagation metrics}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20170128}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170128}, doi = {10.1587/ELEX.14.20170128}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiYWLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiZLYW17, author = {Shuo Cai and Yinbo Zhou and Peng Liu and Fei Yu and Wei Wang}, title = {A novel test data compression approach based on bit reversion}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170502}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170502}, doi = {10.1587/ELEX.14.20170502}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiZLYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CarneiroGFMF17, author = {C{\'{a}}ssio Alves Carneiro and Francisco M. P. Garcia and Henrique C. Freitas and Carlos A. P. S. Martins and Fl{\'{a}}via Magalh{\~{a}}es Freitas Ferreira}, title = {Scalable spatio-temporal parallel parameterizable stream-based {JPEG-LS} encoder}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20160950}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20160950}, doi = {10.1587/ELEX.14.20160950}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CarneiroGFMF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Chae17, author = {Hyungil Chae}, title = {Double-sampling highpass delta-sigma modulator with inherent frequency translation}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170862}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170862}, doi = {10.1587/ELEX.14.20170862}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Chae17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChangP17, author = {Seung{-}Jin Chang and Jin Bae Park}, title = {Air gap measurement in cable of automotive electronics based on electromagnetic wave}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20161275}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161275}, doi = {10.1587/ELEX.14.20161275}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChangP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChangS17, author = {Jing Chang and Jin Sha}, title = {An efficient implementation of 2D convolution in {CNN}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161134}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161134}, doi = {10.1587/ELEX.13.20161134}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChangS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenC17, author = {Dongdong Chen and Guozhu Chen}, title = {An optimized reference current detection method for active power filter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170035}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170035}, doi = {10.1587/ELEX.14.20170035}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenFZLG17, author = {Xinlei Chen and Chao Fei and Yang Zhang and Zhuo Li and Changqing Gu}, title = {Fast iterative solution of {EFIE} using {ACA} algorithm with Sherman-Morrison-Woodbury formula-based preconditioner}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20160791}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160791}, doi = {10.1587/ELEX.13.20160791}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenFZLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenGHHWL17, author = {Weizhong Chen and Qiao Guo and Lijun He and Zhengsheng Han and Yuchan Wang and Xiaoyun Li}, title = {A {RC-IGBT} with built-in free wheeling diode controlled by {MOSFET}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170817}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170817}, doi = {10.1587/ELEX.14.20170817}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenGHHWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenGLZHY17, author = {Hua Chen and Guiliang Guo and Qiangtao Lai and Yulin Zhang and Jingyu Han and Yuepeng Yan}, title = {0.3-4.4 GHz wideband {CMOS} frequency divide-by-1.5 with optimized {CML-XOR} gate}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170450}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170450}, doi = {10.1587/ELEX.14.20170450}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenGLZHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenJZLSXK17, author = {Dong Chen and Zhengdong Jiang and Chenxi Zhao and Ying Liu and Kam Man Shum and Quan Xue and Kai Kang}, title = {A wideband high efficiency V-band 65 nm {CMOS} power amplifier with neutralization and harmonic controlling}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171110}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171110}, doi = {10.1587/ELEX.14.20171110}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenJZLSXK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLW17, author = {Yang Chen and Wenyuan Li and Zhigong Wang}, title = {A 40-Gb/s 3-tap forward feedback equalizer with DLL-based delay time calibration}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161159}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161159}, doi = {10.1587/ELEX.14.20161159}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLWXZL17, author = {Lirui Chen and Cang Liu and Yu Wang and Zuocheng Xing and Yang Zhang and Jing Liu}, title = {Low latency {QRD} algorithm for future communication}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170846}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170846}, doi = {10.1587/ELEX.14.20170846}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLWXZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWCHM17, author = {Wei Chen and Zhiyu Wang and Hua Chen and Zheng{-}Liang Huang and Jiongjiong Mo}, title = {4-20 GHz low noise amplifier {MMIC} with on-chip switchable gate biasing circuit}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170711}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170711}, doi = {10.1587/ELEX.14.20170711}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWCHM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenX17, author = {Shaodong Chen and Honglin Xu}, title = {Design analysis of a high-Q micromechanical capacitive accelerometer system}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170410}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170410}, doi = {10.1587/ELEX.14.20170410}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenYWF17, author = {Long Chen and Xining Yang and Jianfeng Wu and Lingyan Fan}, title = {Non-contact physiological signal monitoring system based on Doppler radar}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161178}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161178}, doi = {10.1587/ELEX.14.20161178}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenYWF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChengLLG17, author = {Zhiqun Cheng and Jiangzhou Li and Guohua Liu and Steven Gao}, title = {A Doherty power amplifier with extended efficiency and bandwidth}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170188}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170188}, doi = {10.1587/ELEX.14.20170188}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChengLLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChengLZJS17, author = {Hang Cheng and Shiyong Li and Haitao Zheng and Handan Jing and Houjun Sun}, title = {A W-band auto-focus holographic imaging system for security screening}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170347}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170347}, doi = {10.1587/ELEX.14.20170347}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChengLZJS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoYKK17, author = {Young{-}Ki Cho and Junho Yeo and Ji{-}Hwan Ko and Ki{-}Chai Kim}, title = {Experimental verification of electromagnetic scattering via two-dimensional periodic array of small resonant apertures}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20170796}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170796}, doi = {10.1587/ELEX.14.20170796}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoYKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoeKJ17, author = {Wonseok Choe and Jungsik Kim and Jinho Jeong}, title = {Full \emph{H}-band waveguide-to-coupled microstrip transition using dipole antenna with directors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170487}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170487}, doi = {10.1587/ELEX.14.20170487}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoeKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiJKKH17, author = {Kyu Hyun Choi and Jaeyung Jun and Hokwon Kim and Seon Wook Kim and Youngsun Han}, title = {A decoupled bit shifting technique using data encoding/decoding for {DRAM} redundancy repair}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170385}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170385}, doi = {10.1587/ELEX.14.20170385}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiJKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiL17, author = {Se{-}Hyu Choi and Keon{-}Jik Lee}, title = {Reduced complexity polynomial multiplier architecture for finite fields \emph{GF}(2\emph{\({}^{\mbox{m}}\)})}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20160797}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20160797}, doi = {10.1587/ELEX.14.20160797}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiMKHH17, author = {Hyung{-}Jin Choi and Young{-}Jae Min and Jaehong Ko and Miseon Han and Youngsun Han}, title = {Sub-1 {V} \emph{V}-\emph{I} converter-based voltage-controlled oscillator with a linear gain characteristic}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170610}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170610}, doi = {10.1587/ELEX.14.20170610}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiMKHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiMKHH17a, author = {Hyung{-}Jin Choi and Young{-}Jae Min and Jaehong Ko and Miseon Han and Youngsun Han}, title = {Erratum: Sub-1 {V} \emph{V}-\emph{I} converter-based voltage-controlled oscillator with a linear gain characteristic {[IEICE} Electronics Express Vol. 14 {(2017)} No. 15 pp. 20170610]}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20178004}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20178004}, doi = {10.1587/ELEX.14.20178004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiMKHH17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CuiSDL17, author = {Dasheng Cui and Junyu Shi and Zhengzhi Ding and Xin Lv}, title = {Integrated lens antenna with a conic extension at 220 GHz}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170741}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170741}, doi = {10.1587/ELEX.14.20170741}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CuiSDL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DengCG17, author = {Ding Deng and Xiaowen Chen and Yang Guo}, title = {A novel power-efficient {IC} test scheme}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170462}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170462}, doi = {10.1587/ELEX.14.20170462}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DengCG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DengLZ17, author = {Xiaoying Deng and Xin Lin and Mingcheng Zhu}, title = {A 0.23 mW self-biased current-reuse {CMOS} {LC-VCO} based on novel interposed network}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170838}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170838}, doi = {10.1587/ELEX.14.20170838}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DengLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DengZLXC17, author = {Hongwei Deng and Tao Zhang and Fei Liu and Tao Xu and Xinlei Chen}, title = {High isolation and common-mode suppression balanced-to-balanced microstrip diplexer with mixed coupling}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171092}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171092}, doi = {10.1587/ELEX.14.20171092}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DengZLXC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DongMSX17, author = {Yezi Dong and Luhong Mao and Qiwei Song and Sheng Xie}, title = {90{\textdegree} and 180{\textdegree} phase shifter using an arbitrary phase-difference coupled-line structure}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170936}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170936}, doi = {10.1587/ELEX.14.20170936}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DongMSX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DongXHJHZXY17, author = {Yuehong Dong and Yuehang Xu and Junheng Huang and Ying Ju and Lei He and Tiedi Zhang and Ruimin Xu and Bo Yan}, title = {A Ka-band GaAs {MMIC} quadrupler with high dynamic range and efficient harmonics rejection}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170791}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170791}, doi = {10.1587/ELEX.14.20170791}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DongXHJHZXY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuYJLLSC17, author = {Yuan Du and Yong Ye and Weiliang Jing and Zhenhua Li and Xiaoyun Li and Zhitang Song and Bomy Chen}, title = {Multi-core architecture with asynchronous clocks to prevent power analysis attacks}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161220}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161220}, doi = {10.1587/ELEX.14.20161220}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuYJLLSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuYJLSC17, author = {Yuan Du and Yong Ye and Weiliang Jing and Xiaoyun Li and Zhitang Song and Bomy Chen}, title = {Logic area reduction using the deep trench isolation technique based on 40 nm embedded {PCM} process}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170628}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170628}, doi = {10.1587/ELEX.14.20170628}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuYJLSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuanLYL17, author = {Jiyue Duan and Bin Lin and Qiang Yang and Yujia Luan}, title = {Design and testing of a novel rotary transformer for rotary ultrasonic machining}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20171033}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171033}, doi = {10.1587/ELEX.14.20171033}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuanLYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuongO17, author = {Quang Thang Duong and Minoru Okada}, title = {kQ-product formula for multiple-transmitter inductive power transfer system}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161167}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161167}, doi = {10.1587/ELEX.14.20161167}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuongO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/EunL17, author = {Jong Won Eun and Jae{-}Hyun Lee}, title = {A microstrip dual-band bandpass filter using feed line with {SIR}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20170022}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170022}, doi = {10.1587/ELEX.14.20170022}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/EunL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/EunL17a, author = {Jong Won Eun and Jae{-}Hyun Lee}, title = {Erratum: {A} microstrip dual-band bandpass filter using feed line with {SIR} {[IEICE} Electronics Express Vol. 14 {(2017)} No. 4 pp. 20170022]}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20178003}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20178003}, doi = {10.1587/ELEX.14.20178003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/EunL17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FanWW17, author = {Chen Fan and Rong Wang and Zhigong Wang}, title = {A compact, low-power-consumption 5-Gbps {OEIC} receiver without equalizer fabricated in 0.18-{\(\mathrm{\mu}\)}m {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170679}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170679}, doi = {10.1587/ELEX.14.20170679}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FanWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FuYCDLZ17, author = {Qiang Fu and Liang Yin and Weiping Chen and Xinpeng Di and Xiaowei Liu and Wenbo Zhang}, title = {A high performance quartz vibrating gyroscope interface circuit driven by square-wave}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161140}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161140}, doi = {10.1587/ELEX.14.20161140}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FuYCDLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujinoKS17, author = {Takeshi Fujino and Takaya Kubota and Mitsuru Shiozaki}, title = {Tamper-resistant cryptographic hardware}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20162004}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20162004}, doi = {10.1587/ELEX.14.20162004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FujinoKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujiwaraK17, author = {Kohei Fujiwara and Takeshi Kobayashi}, title = {Low transmission loss, simple, and broadband waveguide-to-microstrip line transducer in V-, {E-} and W-band}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170631}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170631}, doi = {10.1587/ELEX.14.20170631}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FujiwaraK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FukunagaF17, author = {Shuhei Fukunaga and Tsuyoshi Funaki}, title = {Switching surge voltage suppression in SiC half-bridge module with double side conducting ceramic substrate and snubber capacitor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170177}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170177}, doi = {10.1587/ELEX.14.20170177}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/FukunagaF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GaoXMWGLS17, author = {Qian Gao and Sheng Xie and Luhong Mao and Sicong Wu and Youzhi Gu and Haiou Li and Qiwei Song}, title = {A single-to-differential broadband transimpedance amplifier for 12.5 Gb/s optical links}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161153}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161153}, doi = {10.1587/ELEX.13.20161153}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GaoXMWGLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuanSYWM17, author = {Xuehui Guan and Hui Su and Fangqi Yang and Pin Wen and Zhewang Ma}, title = {Microstrip diplexer based on common dual-band filter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170709}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170709}, doi = {10.1587/ELEX.14.20170709}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuanSYWM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoSLWLXZ17, author = {Yunchuan Guo and Chengwei Shang and Kun Liu and Lei Wang and Xiansuo Liu and Yuehang Xu and Tiedi Zhang}, title = {A true-time-delay transmit/receive module for X-band subarray phased arrays}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20171039}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171039}, doi = {10.1587/ELEX.14.20171039}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoSLWLXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoXCQ17, author = {Jian Guo and Jie Xu and Yang Chen and Cheng Qian}, title = {Design of a millimeter-wave third-harmonic mixer using substrate integrated waveguide balun}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170980}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170980}, doi = {10.1587/ELEX.14.20170980}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoXCQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoYWN17, author = {Yu Guo and Huixuan Yao and Haodong Wu and Yi Ni}, title = {A novel algorithm based on {DFB} tunable laser array for demodulation of {FBG} sensors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170955}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170955}, doi = {10.1587/ELEX.14.20170955}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoYWN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoZWNLGY17, author = {Jingjing Guo and Jizhe Zhu and Min Wang and Jianxin Nie and Xinning Liu and Wei Ge and Jun Yang}, title = {Analytical inverter chain's delay and its variation model for sub-threshold circuits}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170390}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170390}, doi = {10.1587/ELEX.14.20170390}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoZWNLGY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ha-VanDKS17, author = {Nam Ha{-}Van and Ninh Dang{-}Duy and Hyoungjun Kim and Chulhun Seo}, title = {High-efficiency wireless power transfer by optimal load and metamaterial slab}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {14}, pages = {20170320}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170320}, doi = {10.1587/ELEX.14.20170320}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ha-VanDKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HabibAALT17, author = {Ayesha Habib and Yasar Amin and Muhammad Awais Azam and Jonathan Loo and Hannu Tenhunen}, title = {Frequency signatured directly printable humidity sensing tag using organic electronics}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161081}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161081}, doi = {10.1587/ELEX.14.20161081}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HabibAALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HabibAFALT17, author = {Ayesha Habib and Rehab Asif and Muhammad Fawwad and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Directly printable compact chipless {RFID} tag for humidity sensing}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170169}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170169}, doi = {10.1587/ELEX.14.20170169}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HabibAFALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HagiwaraGTOT17, author = {Keishi Hagiwara and Keiji Goto and Shohei Tokumaru and Layla Okada and Yuta Takeno}, title = {Novel time-domain asymptotic-numerical solutions for transient scattered electric field from a coated cylinder covered with a thick dielectric medium}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170085}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170085}, doi = {10.1587/ELEX.14.20170085}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HagiwaraGTOT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Han0WFPSL17, author = {Feng Han and Li Li and Kun Wang and Fan Feng and Hongbing Pan and Jin Sha and Jun Lin}, title = {An access pattern based adaptive mapping function for {GPGPU} scratchpad memory}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170373}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170373}, doi = {10.1587/ELEX.14.20170373}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Han0WFPSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanKKH17, author = {Miseon Han and Seon Wook Kim and Minseong Kim and Youngsun Han}, title = {P-DRAMSim2: Exploiting thread-level parallelism in DRAMSim2}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170591}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170591}, doi = {10.1587/ELEX.14.20170591}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanXCFL17, author = {Qi Han and Lixin Xu and Lin Cong and Bo Fu and Dong Li}, title = {Monolithic integrated {MEMS} phased array antenna scanning in two dimensions}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170484}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170484}, doi = {10.1587/ELEX.14.20170484}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanXCFL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HaoHLKSZZ17, author = {Minru Hao and Huiyong Hu and Chen{-}Guang Liao and Haiyan Kang and Han Su and Qian Zhang and Yingbo Zhao}, title = {Total ionizing dose radiation effect on the threshold voltage for the uniaxial strained Si nano {NMOSFET}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170411}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170411}, doi = {10.1587/ELEX.14.20170411}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HaoHLKSZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HaoHLW17, author = {Minru Hao and Huiyong Hu and Chen{-}Guang Liao and Bin Wang}, title = {Effects of gamma-ray radiation on channel current of the uniaxial strained Si nano-scale {NMOSFET}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170866}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170866}, doi = {10.1587/ELEX.14.20170866}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HaoHLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HaoZWGCL17, author = {Ruirong Hao and Xiaodong Zhang and Feng Wang and Huai Gao and Jianchun Cheng and Guann{-}Pyng Li}, title = {A fully integrated broadband, high-gain, high-power and high-efficiency {UHF} amplifier using GaAs {HBT} and GaN {HEMT}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170639}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170639}, doi = {10.1587/ELEX.14.20170639}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HaoZWGCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HarakoYHN17, author = {Koudai Harako and Masato Yoshida and Toshihiko Hirooka and Masataka Nakazawa}, title = {A 40 GHz, 770 fs regeneratively mode-locked erbium fiber laser operating at 1.6 {\(\mathrm{\mu}\)}m}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170829}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170829}, doi = {10.1587/ELEX.14.20170829}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HarakoYHN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HasanFI17, author = {Mehedi Hasan and Mohammad Rashed Iqbal Faruque and Mohammad Tariqul Islam}, title = {A polarization dependent left handed metamaterial for telecommunication}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171073}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171073}, doi = {10.1587/ELEX.14.20171073}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HasanFI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeDZW17, author = {Mengyuan He and Qiaolin Ding and Shutao Zhao and Yao Wei}, title = {Research of circuit breaker intelligent fault diagnosis method based on double clustering}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170463}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170463}, doi = {10.1587/ELEX.14.20170463}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeDZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeHK17, author = {Juan He and Chi Bao Huang and Shuai Kang}, title = {Characteristics for series and parallel circuits of flux-controlled memristors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170230}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170230}, doi = {10.1587/ELEX.14.20170230}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeMY17, author = {Yuhong He and Zhewang Ma and Xuexia Yang}, title = {A compact utral-wideband bandpass filter with broad stopband based on step-impedance stub-loaded tri-mode resonator}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161214}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161214}, doi = {10.1587/ELEX.14.20161214}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeMY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeXL17, author = {Xi He and Jun Xu and Chaoming Luo}, title = {{MIMO} antenna with working-frequency-accompanied isolation characteristic}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170602}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170602}, doi = {10.1587/ELEX.14.20170602}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeXL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeYLLHJ17, author = {Zhaosheng He and Chang Jiang You and Supeng Leng and Xiang Li and Yong Mao Huang and Haiyan Jin}, title = {Compact filter based on a hybrid structure of substrate integrated waveguide and coplanar waveguide}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161198}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161198}, doi = {10.1587/ELEX.14.20161198}, timestamp = {Fri, 05 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeYLLHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Hirano17, author = {Takuichi Hirano}, title = {Relationship between {Q} factor and complex resonant frequency: investigations using {RLC} series circuit}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170941}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170941}, doi = {10.1587/ELEX.14.20170941}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Hirano17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HiraokaNCSYHOMH17, author = {Motoki Hiraoka and Tomotaka Nagashima and Gabriella Cincotti and Satoshi Shimizu and Yu Yamasaki and Kuninori Hattori and Masayuki Okuno and Shinji Mino and Akira Himeno and Naoya Wada and Hiroyuki Uenohara and Tsuyoshi Konishi}, title = {Power efficient optical serial-to-parallel conversion using fractional OFDM-based linear technique}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170099}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170099}, doi = {10.1587/ELEX.14.20170099}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HiraokaNCSYHOMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HoH17, author = {Yingchieh Ho and Chen Hsu}, title = {Standby power reduction using dynamic standby control with voltage keeper}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170783}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170783}, doi = {10.1587/ELEX.14.20170783}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HoH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HosonoUHNKG17, author = {Ryohei Hosono and Yusuke Uemichi and Yuta Hasegawa and Yusuke Nakatani and Kiyoshi Kobayashi and Ning Guan}, title = {Development of millimeter-wave devices based on liquid crystal polymer {(LCP)} substrate}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20172001}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20172001}, doi = {10.1587/ELEX.14.20172001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HosonoUHNKG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HouLLZW17, author = {Yue Hou and Haoyu Li and Haoyu Li and Lei Zhao and Yutian Wang}, title = {Temperature-related power loss modeling for buck converter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170004}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170004}, doi = {10.1587/ELEX.14.20170004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HouLLZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuYHL17, author = {Yunfeng Hu and Zichuan Yi and Zhihong He and Bin Li}, title = {Energy-efficient, area-efficient, high-accuracy and low-complexity switching scheme for {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170428}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170428}, doi = {10.1587/ELEX.14.20170428}, timestamp = {Thu, 27 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuYHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuZCXS17, author = {Fei Hu and Xinyi Zhang and Yayun Cheng and Ying Xiao and Mengting Song}, title = {Influence of surface roughness on polarization property in passive millimeter-wave imaging}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20171005}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171005}, doi = {10.1587/ELEX.14.20171005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuZCXS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangWCFWH17, author = {Cece Huang and Yu Wang and Teng Chen and Liyin Fu and Qi Wang and Zongliang Huo}, title = {A 1.2 mV ripple, 4.5 {V} charge pump using controllable pumping current technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170699}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170699}, doi = {10.1587/ELEX.14.20170699}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangWCFWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangWXJX17, author = {Xiaofeng Huang and Kaijin Wei and Guoqing Xiang and Huizhu Jia and Don Xie}, title = {Memory interface design for {AVS} {HD} video encoder with Level {C+} coding order}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170501}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170501}, doi = {10.1587/ELEX.14.20170501}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangWXJX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ImN17, author = {Donggu Im and Ilku Nam}, title = {An up-conversion {TV} receiver front-end with noise canceling body-driven pMOS common gate {LNA} and \emph{LC}-loaded passive mixer}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170175}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170175}, doi = {10.1587/ELEX.14.20170175}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ImN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IqbalSRRAT17, author = {Muhammad Sohail Iqbal and Humayun Shahid and Muhammad Ali Riaz and Shahid Rauf and Yasar Amin and Hannu Tenhunen}, title = {{FSS} inspired polarization insensitive chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170243}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170243}, doi = {10.1587/ELEX.14.20170243}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/IqbalSRRAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IshizakaNFS17, author = {Yuhei Ishizaka and Masaru Nagai and Takeshi Fujisawa and Kunimasa Saitoh}, title = {A photonic-plasmonic mode converter using mode-coupling-based polarization rotation for metal-inserted silicon platform}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20160989}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160989}, doi = {10.1587/ELEX.13.20160989}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/IshizakaNFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ItoI17, author = {Hiroshi Ito and Tadao Ishibashi}, title = {Low noise homodyne detection of terahertz waves by zero-biased InP/InGaAs Fermi-level managed barrier diode}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170722}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170722}, doi = {10.1587/ELEX.14.20170722}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ItoI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ItohII17, author = {Kenichi Itoh and Shuntaro Inoue and Masanori Ishigaki}, title = {28 W/cm\({}^{\mbox{3}}\) high power density three-port {DC/DC} converter cell for dual-voltage 12-V/48-V {HEV} subsystem}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170781}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170781}, doi = {10.1587/ELEX.14.20170781}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ItohII17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Jang17, author = {Wooyoung Jang}, title = {Enhancing lifetime of phase-change memory for video processor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170402}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170402}, doi = {10.1587/ELEX.14.20170402}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Jang17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeonP17, author = {Young Tae Jeon and Joung{-}Hu Park}, title = {Frequency-PWM hybrid controller of single-switch forward-flyback converter for DC-link regulation of 27-level cascaded H-bridge inverter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170492}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170492}, doi = {10.1587/ELEX.14.20170492}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JeonP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiRJLG17, author = {Weigui Ji and Feng Ran and Yuan Ji and Xi Lu and Aiying Guo}, title = {A low cost battery equalizing scheme with buck-boost and series {LC} converter using synchronous phase-shift controller}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161166}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161166}, doi = {10.1587/ELEX.13.20161166}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiRJLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiXGG17, author = {Xincun Ji and Xiaojuan Xia and Shi{-}Pu Gu and Yufeng Guo}, title = {A linearized tuning varactor for voltage controlled oscillator}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170730}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170730}, doi = {10.1587/ELEX.14.20170730}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiXGG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiXWJ17, author = {Xincun Ji and Xiaojuan Xia and Zixuan Wang and Leisheng Jin}, title = {A 2.4 GHz fractional-N {PLL} with a low-power true single-phase clock prescaler}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170065}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170065}, doi = {10.1587/ELEX.14.20170065}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiXWJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangCBSL17, author = {Changhui Jiang and Shuai Chen and Yuming Bo and Zhaohang Sun and Qiwei Lu}, title = {Implementation and performance evaluation of a fast relocation method in a {GPS/SINS/CSAC} integrated navigation system hardware prototype}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170121}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170121}, doi = {10.1587/ELEX.14.20170121}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangCBSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangLCZDT17, author = {Shuai Jiang and Yun Liu and Yao Chen and Shuang Zhu and Ji Ding and Yubo Tian}, title = {Synthesis of multi-band bandpass filters using semi-hidden multi-mode coupling elements}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161259}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161259}, doi = {10.1587/ELEX.14.20161259}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangLCZDT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangYH17, author = {Wenjian Jiang and Fengqi Yu and Qinjin Huang}, title = {A low-power high-speed true single-phase clock-based divide-by-2/3 prescaler}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20160446}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160446}, doi = {10.1587/ELEX.13.20160446}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JinWKTY17, author = {Xin Jin and Qun Wang and Waheed Q. Khan and Zhang H. Tang and Xiao M. Yao}, title = {Analytical computation of distributed capacitance for {NFC} coil antenna}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161147}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161147}, doi = {10.1587/ELEX.14.20161147}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JinWKTY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kajii17, author = {Hirotake Kajii}, title = {Organic light-emitting and photodetector devices for flexible optical link and sensor devices: Fundamentals and future prospects in printed optoelectronic devices for high-speed modulation}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20172002}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20172002}, doi = {10.1587/ELEX.14.20172002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Kajii17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangHWSHZ17, author = {Haiyan Kang and Huiyong Hu and Bin Wang and Han Su and Minru Hao and Yingbo Zhao}, title = {Two dimensional electrical conductivity model of the solid state plasma for SPiN device}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161041}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161041}, doi = {10.1587/ELEX.13.20161041}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangHWSHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangL17, author = {Weixin Kang and Hong Li}, title = {Improved sliding mode observer based sensorless control for {PMSM}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20170934}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170934}, doi = {10.1587/ELEX.14.20170934}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KashiwagiI17, author = {Ken Kashiwagi and Hajime Inaba}, title = {Fixed point variations of a frequency comb generated by a passively mode-locked fiber laser}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170710}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170710}, doi = {10.1587/ELEX.14.20170710}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KashiwagiI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KawaNLHMN17, author = {Tomohito Kawa and Goki Numata and Heeyoung Lee and Neisei Hayashi and Yosuke Mizuno and Kentaro Nakamura}, title = {Single-end-access strain and temperature sensing based on multimodal interference in polymer optical fibers}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161239}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161239}, doi = {10.1587/ELEX.14.20161239}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KawaNLHMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KetthongSST17, author = {Patinya Ketthong and Wimol San{-}Um and Banlue Srisuchinwong and Masayoshi Tachibana}, title = {A simple current-reversible chaotic jerk circuit using inherent tanh(x) of an opamp}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170192}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170192}, doi = {10.1587/ELEX.14.20170192}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KetthongSST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KhaleelA17, author = {Farooq A. Khaleel and Mohammed Nadhim Abbas}, title = {Ultra low power and highly linearized {LNA} for V-band {RF} applications in 180 nm {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170066}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170066}, doi = {10.1587/ELEX.14.20170066}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KhaleelA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kim17, author = {Jong{-}In Kim}, title = {A two-step offset calibration in dynamic comparator using body voltage control}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170933}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170933}, doi = {10.1587/ELEX.14.20170933}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Kim17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimB17, author = {Jongsun Kim and Bongho Bae}, title = {A 2-4 GHz fast-locking frequency multiplying delay-locked loop}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161056}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161056}, doi = {10.1587/ELEX.13.20161056}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimCP17, author = {Jiho Kim and Minsung Chu and Yongjun Park}, title = {Efficient {GPU} multitasking with latency minimization and cache boosting}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20161158}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161158}, doi = {10.1587/ELEX.14.20161158}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimCP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimJCC17, author = {Yeon{-}Jin Kim and In{-}Gul Jang and Kyung{-}Ju Cho and Jin{-}Gyun Chung}, title = {Low-latency and memory-efficient {SDF} {IFFT} processor design for 3GPP {LTE}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170395}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170395}, doi = {10.1587/ELEX.14.20170395}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimJCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimK17, author = {Seungwon Kim and Youngmin Kim}, title = {Analysis and reduction of the voltage noise of multi-layer 3D {IC} with multi-paired power delivery network}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170792}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170792}, doi = {10.1587/ELEX.14.20170792}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimKHP17, author = {Min Gee Kim and Soo Yong Kim and Dae{-}Hee Han and Byung{-}Eun Park}, title = {Nonvolatile organic field-effect transistors fabricated on Al foil substrates}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170143}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170143}, doi = {10.1587/ELEX.14.20170143}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimKY17, author = {Hyochang Kim and Ook Kim and Changsik Yoo}, title = {Duty-cycle and phase spacing error correction circuit for high-speed serial link}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170497}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170497}, doi = {10.1587/ELEX.14.20170497}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimL17, author = {Kee{-}Won Kim and Jae{-}Dong Lee}, title = {Efficient unified semi-systolic arrays for multiplication and squaring over \emph{GF}(2\emph{\({}^{\mbox{m}}\)})}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170458}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170458}, doi = {10.1587/ELEX.14.20170458}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimL17a, author = {Ki{-}Jin Kim and Seung{-}Ho Lim}, title = {Duplication-assisted reliability enhancement in flash storage system}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171131}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171131}, doi = {10.1587/ELEX.14.20171131}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimL17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimOLK17, author = {Heetae Kim and Hyunggoy Oh and Jaeil Lim and Sungho Kang}, title = {A novel X-filling method for capture power reduction}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20171093}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171093}, doi = {10.1587/ELEX.14.20171093}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimOLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimS17, author = {Hyunwoo Kim and Yong Ho Song}, title = {Acquisition accuracy enhancement of high-speed storage interface signals}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170634}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170634}, doi = {10.1587/ELEX.14.20170634}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KobayashiS17, author = {Shigeru Kobayashi and Okihiro Sugihara}, title = {Transformation of the intensity profile for a step-index multimode fiber core}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170375}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170375}, doi = {10.1587/ELEX.14.20170375}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KobayashiS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KogoNKK17, author = {Kenji Kogo and Takayasu Norimatsu and Norihiro Kohmu and Takashi Kawamoto}, title = {Design procedure of 25.8 Gbps/lane re-timer {IC} regarding power integrity}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20171017}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171017}, doi = {10.1587/ELEX.14.20171017}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KogoNKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KongL17, author = {Joonho Kong and Kwangho Lee}, title = {A DVFS-aware cache bypassing technique for multiple clock domain mobile SoCs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170324}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170324}, doi = {10.1587/ELEX.14.20170324}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KongL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KongY17, author = {Dexin Kong and Fengqi Yu}, title = {An auto-calibration technique for BJT-based {CMOS} temperature sensors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170062}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170062}, doi = {10.1587/ELEX.14.20170062}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KongY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KongY17a, author = {Dexin Kong and Fengqi Yu}, title = {Analysis of the residual error due to mechanical stress in BJT-based {CMOS} temperature sensors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170318}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170318}, doi = {10.1587/ELEX.14.20170318}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KongY17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KurosakiKK17, author = {Takeshi Kurosaki and Takeo Katayama and Hitoshi Kawaguchi}, title = {Numerical study of a highly optical-feedback tolerant {DFB} laser with an absorber and a rear reflector using transfer matrixes and rate equations}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170251}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170251}, doi = {10.1587/ELEX.14.20170251}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KurosakiKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KusamaJH17, author = {Yusuke Kusama and Robert Weston Johnston and Osamu Hashimoto}, title = {A study of waveguide reactance element designs for introductory microwave experiments}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20160916}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20160916}, doi = {10.1587/ELEX.14.20160916}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KusamaJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KwakCKA17, author = {Yong{-}Sik Kwak and Kang{-}Il Cho and Ho{-}Jin Kim and Gil{-}Cho Ahn}, title = {A 1.8 {V} 89.2 dB dynamic range delta-sigma modulator using an op-amp dynamic current biasing technique}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20171007}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171007}, doi = {10.1587/ELEX.14.20171007}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KwakCKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeJOKL17, author = {Sang Muk Lee and Ji Hoon Jang and Jung{-}Hwan Oh and Ji Kwang Kim and Seung Eun Lee}, title = {Design of hardware accelerator for Lempel-Ziv 4 {(LZ4)} compression}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170399}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170399}, doi = {10.1587/ELEX.14.20170399}, timestamp = {Fri, 01 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeJOKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKJL17, author = {Yongjun Lee and Yunkeuk Kim and Jinkyu Jeong and Jae W. Lee}, title = {{DRAM} architecture for efficient data lifetime management}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170309}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170309}, doi = {10.1587/ELEX.14.20170309}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKP17, author = {Seung{-}Jin Lee and Min{-}Kwan Kee and Gi{-}Ho Park}, title = {Sensor data compression and power management scheme for low power sensor hub}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20170974}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170974}, doi = {10.1587/ELEX.14.20170974}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKSPC17, author = {Sungju Lee and Heegon Kim and Jaewon Sa and Byungkwan Park and Yongwha Chung}, title = {Real-time processing for intelligent-surveillance applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170227}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170227}, doi = {10.1587/ELEX.14.20170227}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKSPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeL17, author = {Yong{-}Min Lee and Sangjoon Lee}, title = {Design of force measurement module for force touch screens}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170805}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170805}, doi = {10.1587/ELEX.14.20170805}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeePK17, author = {Hyeonggeon Lee and Jong Kang Park and Jong Tae Kim}, title = {A unified system level error model of crosstalk and electromigration for on-chip interconnect}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20161194}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161194}, doi = {10.1587/ELEX.14.20161194}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeePK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeY17, author = {Taehee Lee and Joon{-}Sung Yang}, title = {Physical-aware gating element insertion for thermal-safe scan shift operation}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20161181}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161181}, doi = {10.1587/ELEX.14.20161181}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeekCWKK17, author = {Won Joo Lee and Hee Tae kwon and Hyun{-}Suk Choi and Deahoon Wee and Sangwan Kim and Yoon Kim}, title = {Reconfigurable U-shaped tunnel field-effect transistor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170758}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170758}, doi = {10.1587/ELEX.14.20170758}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeekCWKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeiCLWZHLTS17, author = {Yu Lei and Houpeng Chen and Xi Li and Qian Wang and Qi Zhang and Jiajun Hu and Xiaoyun Li and Zhen Tian and Zhitang Song}, title = {Enhanced read performance for phase change memory using a reference column}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170032}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170032}, doi = {10.1587/ELEX.14.20170032}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeiCLWZHLTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCC17, author = {Yang Li and Hua Cai and Xiaowen Chen}, title = {Impact of adjacent transistors on the {SEU} sensitivity of {DICE} flip-flop}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170027}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170027}, doi = {10.1587/ELEX.14.20170027}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCLWLMS17, author = {Xiaoyun Li and Houpeng Chen and Yu Lei and Qian Wang and Xi Li and Jie Miao and Zhitang Song}, title = {A novel high performance 3{\texttimes}VDD-tolerant {ESD} detection circuit in advanced {CMOS} process}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170899}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170899}, doi = {10.1587/ELEX.14.20170899}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCLWLMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCWLLZFHTS17, author = {Xiaoyun Li and Houpeng Chen and Qian Wang and Xi Li and Yu Lei and Qi Zhang and Xi Fan and Jiajun Hu and Zhen Tian and Zhitang Song}, title = {Enhanced 3 {\texttimes} VDD-tolerant {ESD} clamp circuit with stacked configuration}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20160901}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20160901}, doi = {10.1587/ELEX.14.20160901}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCWLLZFHTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCYFL17, author = {Xiangyu Li and Weiping Chen and Liang Yin and Qiang Fu and Xiaowei Liu}, title = {A closed-loop Sigma-Delta modulator for a tunneling magneto-resistance sensor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170700}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170700}, doi = {10.1587/ELEX.14.20170700}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCYFL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiHL17, author = {Yan Li and Jianhao Hu and Yufeng Li}, title = {Supply voltage analysis for {MRF} circuits design based on information theory}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161080}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161080}, doi = {10.1587/ELEX.13.20161080}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLKWZ17, author = {Dawei Li and Dongsheng Liu and Chaojian Kang and Meilin Wan and Xuecheng Zou}, title = {An ultra-low power low cost {LDO} for {UHF} {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161145}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161145}, doi = {10.1587/ELEX.13.20161145}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLKWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLLW17, author = {Nan Li and Xiangyu Liu and Husheng Liu and Yinan Wang}, title = {Calibration for frequency-dependent mismatches in bandpass sampling TIADCs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170851}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170851}, doi = {10.1587/ELEX.14.20170851}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiSCC17, author = {Wei Li and Ying Suo and Jian{-}Zhong Chen and Hsi{-}Tseng Chou}, title = {A {FSS} of hybrid combined elements for dual-band operations}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171008}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171008}, doi = {10.1587/ELEX.14.20171008}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiSCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWZ17, author = {Wenguang Li and Guoan Wu and Xiang Zhang}, title = {Tri-band bandpass filter using modified tri-section and stub-loaded stepped impedance resonators}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161237}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161237}, doi = {10.1587/ELEX.14.20161237}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiXWLLY17, author = {Nan Li and Qiyou Xie and Yinan Wang and Xiangyu Liu and Husheng Liu and Wei Yi}, title = {A page lifetime-aware scrubbing scheme for improving reliability of Flash-based {SSD}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170831}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170831}, doi = {10.1587/ELEX.14.20170831}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiXWLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiXZCYCYN17, author = {Xinchang Li and Dawei Xu and Hongyue Zhu and Zhuojun Chen and Zhiqiang Yang and Xinhong Cheng and Yuehui Yu and Wai Tung Ng}, title = {A high voltage multiplexer with rail to rail output swing for battery management system applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161144}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161144}, doi = {10.1587/ELEX.13.20161144}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiXZCYCYN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiYZL17, author = {Tiehu Li and Yintang Yang and Junan Zhang and Jia Liu}, title = {A novel {SEU} hardened {SRAM} bit-cell design}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170413}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170413}, doi = {10.1587/ELEX.14.20170413}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiYZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZZLCX17, author = {Xiao Li and Yong Zhang and Tiedi Zhang and Haiyan Lu and Wei Cheng and Ruimin Xu}, title = {A 125-170 GHz wideband high-power amplifier using 0.5-{\(\mathrm{\mu}\)}m InP {DHBT}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170684}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170684}, doi = {10.1587/ELEX.14.20170684}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZZLCX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZZWXSCWN17, author = {Oupeng Li and Yong Zhang and Tiedi Zhang and Lei Wang and Ruimin Xu and Yan Sun and Wei Cheng and Yuan Wang and Bin Niu}, title = {140 GHz power amplifier based on 0.5 {\(\mathrm{\mu}\)}m composite collector InP {DHBT}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170191}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170191}, doi = {10.1587/ELEX.14.20170191}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZZWXSCWN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimKYP17, author = {Wen Jun Lim and Narendra Kumar and B. Siddik Yarman and Chacko Prakash}, title = {Ultra-wideband GaN {HEMT} power amplifier with practical mixed lumped approach employing real-frequency technique}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170455}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170455}, doi = {10.1587/ELEX.14.20170455}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimKYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimS17, author = {Jinsoo Lim and Dong{-}Joon Shin}, title = {A novel bit flipping decoder for systematic {LDPC} codes}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161100}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161100}, doi = {10.1587/ELEX.13.20161100}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinH17, author = {Cheng{-}Hung Lin and Tsung{-}Ju Hsieh}, title = {Unified encoder embedded trellis router designs for decoding convolutional and turbo codes}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170028}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170028}, doi = {10.1587/ELEX.14.20170028}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinL17, author = {Chun{-}Yu Lin and Meng{-}Ting Lin}, title = {Improved stacked-diode {ESD} protection in nanoscale {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170570}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170570}, doi = {10.1587/ELEX.14.20170570}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LinL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinLLP17, author = {Chang{-}Hua Lin and Hwa{-}Dong Liu and Yu{-}Liang Lin and Tung{-}Chin Pan}, title = {Design and implementation of a battery test system with energy recycling technique}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170115}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170115}, doi = {10.1587/ELEX.14.20170115}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinLLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinQC17, author = {Jiadong Lin and Zuping Qian and Wenquan Cao}, title = {AMC-based planar antenna with low-profile and broad {CP} beamwidth}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170473}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170473}, doi = {10.1587/ELEX.14.20170473}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinQC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuDXGGYS17, author = {Bo Liu and Wei Dong and Tingting Xu and Yu Gong and Wei Ge and Jinjiang Yang and Longxing Shi}, title = {{E-ERA:} An energy-efficient reconfigurable architecture for RNNs using dynamically adaptive approximate computing}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170637}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170637}, doi = {10.1587/ELEX.14.20170637}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuDXGGYS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuL17, author = {Shoubing Liu and Wenke Lu}, title = {Manufacturing error correction model of the wavelet transform processor using surface acoustic wave devices}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170344}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170344}, doi = {10.1587/ELEX.14.20170344}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuLGLW17, author = {Yang Liu and Zhiqun Li and Hao Gao and Qin Li and Zhigong Wang}, title = {A novel complementary push-push frequency doubler with negative resistor conversion gain enhancement}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170674}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170674}, doi = {10.1587/ELEX.14.20170674}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuLGLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuRXYXZ17, author = {Xiansuo Liu and Chuicai Rong and Yuehang Xu and Bo Yan and Ruimin Xu and Tiedi Zhang}, title = {Design of class {E} power amplifiers by using scalable electro-thermal GaN {HEMT} model}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170806}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170806}, doi = {10.1587/ELEX.14.20170806}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuRXYXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuS17, author = {Jingbo Liu and Jin Sha}, title = {Frozen bits selection for polar codes based on simulation and {BP} decoding}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170026}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170026}, doi = {10.1587/ELEX.14.20170026}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuSFG17, author = {Ning Liu and Xianjun Sheng and Jingjing Fan and Dongming Guo}, title = {A miniaturized {FSS} based on tortuous structure design}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161129}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161129}, doi = {10.1587/ELEX.13.20161129}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuSFG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuSZFG17, author = {Ning Liu and Xianjun Sheng and Chunbo Zhang and Jingjing Fan and Dongming Guo}, title = {A feasible bandwidth compensation technique for {FSS} radome design}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170510}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170510}, doi = {10.1587/ELEX.14.20170510}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuSZFG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuW17, author = {Xuelian Liu and Chunyang Wang}, title = {A novel parameter estimation of chirp signal in {\(\alpha\)}-stable noise}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20161053}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161053}, doi = {10.1587/ELEX.14.20161053}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWLZ17, author = {Ming Liu and Mingjiang Wang and De Liu and Boya Zhao}, title = {{VLSI} implementation of the modified sign-error {LMS} adaptive algorithm}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20161001}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161001}, doi = {10.1587/ELEX.13.20161001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWLZ17a, author = {Ming Liu and Mingjiang Wang and De Liu and Boya Zhao}, title = {Delay-optimized realization of 2-parallel delayed {LMS} adaptive {FIR} filter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170225}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170225}, doi = {10.1587/ELEX.14.20170225}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWLZ17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWZZ17, author = {Yaoping Liu and Ning Wu and Xiaoqiang Zhang and Fang Zhou}, title = {A new compact hardware architecture of S-Box for block ciphers {AES} and {SM4}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170358}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170358}, doi = {10.1587/ELEX.14.20170358}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuXLW17, author = {Xiangyu Liu and Hui Xu and Husheng Liu and Yinan Wang}, title = {An efficient blind calibration method for nonlinearity mis-matches in \emph{M}-channel TIADCs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170468}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170468}, doi = {10.1587/ELEX.14.20170468}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuXLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZC17, author = {Hui Liu and Li{-}Jun Zhang and Xian{-}Hong Chen}, title = {A novel {RF} envelope detector with ultra-wide operation frequency range and enhanced transient response speed}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161224}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161224}, doi = {10.1587/ELEX.14.20161224}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZLZJ17, author = {Pei Liu and Tian Zhao and Feng Liang and Jizhong Zhao and Peilin Jiang}, title = {A power-delay-product efficient and SEU-tolerant latch design}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20170972}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170972}, doi = {10.1587/ELEX.14.20170972}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZLZJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZSW17, author = {Meng Liu and Zhiwei Zhang and Wenqin Sun and Donglin Wang}, title = {A novel obstacle-aware multiple fan-out symmetrical clock tree synthesis}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170935}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170935}, doi = {10.1587/ELEX.14.20170935}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZZX17, author = {Liwen Liu and Yiqi Zhuang and Li Zhang and Xin Xiang}, title = {Extended coset decoding scheme for multi-bit asymmetric errors in non-volatile memories}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170919}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170919}, doi = {10.1587/ELEX.14.20170919}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZZX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZZXWF17, author = {Ge Liu and Bo Zhang and Li{-}Sen Zhang and Dong Xing and Junlong Wang and Yong Fan}, title = {420 GHz subharmonic mixer based on heterogeneous integrated Schottky diode}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170459}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170459}, doi = {10.1587/ELEX.14.20170459}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZZXWF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuJXYYD17, author = {Hongbo Lu and Shuaicheng Jing and Tianyu Xia and Jun Yang and Zhiping Yin and Guangsheng Deng}, title = {Measurement of {LC} dielectric constant at lower terahertz region based on metamaterial absorber}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170469}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170469}, doi = {10.1587/ELEX.14.20170469}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuJXYYD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoCXM17, author = {Jiahui Luo and Zhijian Chen and Xiaoyan Xiang and Jianyi Meng}, title = {A lifting wavelet based lossless and lossy {ECG} compression processor for wireless sensors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170865}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170865}, doi = {10.1587/ELEX.14.20170865}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoCXM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoCXMS17, author = {Jiahui Luo and Zhijian Chen and Xiaoyan Xiang and Jianyi Meng and Haibin Shen}, title = {A dual-mode {ECG} processor with difference-insensitive {QRS} detection and lossless compression}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170524}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170524}, doi = {10.1587/ELEX.14.20170524}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoCXMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoHA17, author = {Chao{-}Ming Luo and Jing{-}Song Hong and Muhammad Amin}, title = {A decoupling method between two tri-band antennas for WLAN/WiMAX applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170354}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170354}, doi = {10.1587/ELEX.14.20170354}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoHA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoHAFH17, author = {Jiang Luo and Jin He and Alit Apriyana and Guangyin Feng and Qijun Huang}, title = {A D-band {SPST} switch using parallel-stripline swap with defected ground structure}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171104}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171104}, doi = {10.1587/ELEX.14.20171104}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoHAFH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LvD17, author = {Degang Lv and Zeyuan Du}, title = {Fault-tolerant of Hall-effect sensors in permanent magnet in-wheel motor drives}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {14}, pages = {20170470}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170470}, doi = {10.1587/ELEX.14.20170470}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LvD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MaLWC17, author = {Song Ma and Liubin Li and Guan Wang and Yuhua Cheng}, title = {Signal processing system-on-chip design for biomedical applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171089}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171089}, doi = {10.1587/ELEX.14.20171089}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MaLWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MaLZ17, author = {Zhixian Ma and Yao Liang and Jie Zhu}, title = {An optic-fiber fence intrusion recognition system using mixture Gaussian hidden Markov models}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170023}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170023}, doi = {10.1587/ELEX.14.20170023}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MaLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MahdiT17, author = {Riadh A. H. Mahdi and Saleem M. R. Taha}, title = {Miniaturization of rectangular microstrip patch antenna using topology optimized metamaterial}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170787}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170787}, doi = {10.1587/ELEX.14.20170787}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MahdiT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MalikXFC17, author = {Muhammad Zeeshan Malik and Qunwei Xu and Ajmal Farooq and Guozhu Chen}, title = {A new modified quadratic boost converter with high voltage gain}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161176}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161176}, doi = {10.1587/ELEX.13.20161176}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MalikXFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MartinPMT17, author = {Honorio Mart{\'{\i}}n and Pedro Peris{-}L{\'{o}}pez and Enrique San Mill{\'{a}}n and Juan E. Tapiador}, title = {A lightweight implementation of the Tav-128 hash function}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20161255}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161255}, doi = {10.1587/ELEX.14.20161255}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MartinPMT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsukawaKNKNIK17, author = {Go Matsukawa and Taisuke Kodama and Yuri Nishizumi and Koichi Kajihara and Chikako Nakanishi and Shintaro Izumi and Hiroshi Kawaguchi and Toshio Goto and Takeo Kato and Masahiko Yoshimoto}, title = {A low power, {VLSI} object recognition processor using Sparse {FIND} feature for 60 fps {HDTV} resolution video}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170668}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170668}, doi = {10.1587/ELEX.14.20170668}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsukawaKNKNIK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MengWJGL17, author = {Linglong Meng and Weimin Wang and Rui Ji and Jinchun Gao and Yuanan Liu}, title = {A novel wideband planar quasi-yagi antenna loading with parasitical patches and multiple reflectors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170681}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170681}, doi = {10.1587/ELEX.14.20170681}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MengWJGL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MengYTC17, author = {Lijun Meng and Jiangang Yi and Xin Tan and Li Cai}, title = {Study on phase shifted fiber Bragg grating spatial sensing properties to ultrasonic wave at arbitrary excitation angle}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170259}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170259}, doi = {10.1587/ELEX.14.20170259}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MengYTC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MianDKART17, author = {Muhammad Umer Mian and John Ojur Dennis and Mohd Haris M. Khir and Mawahib Gafare Abdalrahman Ahmed and Almur Abdelkreem Saeed Rabih and Tong Boon Tang}, title = {Experimental analysis of out-of-plane Lorentz force actuated magnetic field sensor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20161257}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161257}, doi = {10.1587/ELEX.14.20161257}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MianDKART17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MinJMHKK17, author = {Young{-}Jae Min and Chan{-}Hui Jeong and Junil Moon and Youngsun Han and Soo{-}Won Kim and Chulwoo Kim}, title = {A 1.3 {V} input fast-transient-response time digital low-dropout regulator with a VSSa generator for {DVFS} system}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170461}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170461}, doi = {10.1587/ELEX.14.20170461}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MinJMHKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MizunoLSMTNN17, author = {Yosuke Mizuno and Heeyoung Lee and Shumpei Shimada and Yukihiro Matsumoto and Yosuke Tanaka and Hitoshi Nakamura and Kentaro Nakamura}, title = {Pilot demonstration of refractive index sensing using polymer optical fiber crushed with slotted screwdriver}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170962}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170962}, doi = {10.1587/ELEX.14.20170962}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MizunoLSMTNN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MizunoNN17, author = {Shunta Mizuno and Fumiya Naito and Makoto Nakamura}, title = {Bandwidth enhancement technique for {TIA} using flipped voltage follower}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170310}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170310}, doi = {10.1587/ELEX.14.20170310}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MizunoNN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoK17, author = {Hyunsun Mo and Daejeong Kim}, title = {A spread-spectrum clock generator with direct {VCO} modulation in open-loop}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170417}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170417}, doi = {10.1587/ELEX.14.20170417}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoWHC17, author = {Linfeng Mo and Chang Wu and Lei He and GengSheng Chen}, title = {Layout driven {FPGA} packing algorithm for performance optimization}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170419}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170419}, doi = {10.1587/ELEX.14.20170419}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoWHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriOM17, author = {Isao Mori and Yuki Okamoto and Yoshio Mita}, title = {A scalable, optically-driven, high-voltage switch for remote {MEMS} device operation fabricated with a standard {CMOS} process}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161174}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161174}, doi = {10.1587/ELEX.14.20161174}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriOM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NagataFMHHS17, author = {Makoto Nagata and Daisuke Fujimoto and Noriyuki Miura and Naofumi Homma and Yu{-}ichi Hayashi and Kazuo Sakiyama}, title = {Protecting cryptographic integrated circuits with side-channel information}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20162005}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20162005}, doi = {10.1587/ELEX.14.20162005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NagataFMHHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakashimaHKYNYM17, author = {Yuki Nakashima and Fuminori Hirayama and Satoshi Kohjiro and Hirotake Yamamori and Shuichi Nagasawa and Noriko Y. Yamasaki and Kazuhisa Mitsuda}, title = {Adjustable SQUID-resonator direct coupling in microwave {SQUID} multiplexer for {TES} microcalorimeter array}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170271}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170271}, doi = {10.1587/ELEX.14.20170271}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NakashimaHKYNYM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NanWHHSC17, author = {Liwen Nan and Hangzhou Wang and Jiwan Han and Hui Huang and Hong Song and Ying Chen}, title = {Development and evaluation of a driver circuitry for miniature spectrometers used in cold environments}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170876}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170876}, doi = {10.1587/ELEX.14.20170876}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NanWHHSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Narahara17, author = {Koichi Narahara}, title = {Experimental characterization of mutually synchronized voltage edges in point-coupled tunnel diode transmission lines}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170054}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170054}, doi = {10.1587/ELEX.14.20170054}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Narahara17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NiNLBXFHW17, author = {Tianming Ni and Mu Nie and Huaguo Liang and Jingchang Bian and Xiumin Xu and Xiangsheng Fang and Zhengfeng Huang and Xiaoqing Wen}, title = {Vernier ring based pre-bond through silicon vias test in 3D ICs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170590}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170590}, doi = {10.1587/ELEX.14.20170590}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NiNLBXFHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OgasawaraS17, author = {Naoyuki Ogasawara and Kazuyuki Saito}, title = {Detection of tissue coagulation for microwave surgical devices}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161223}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161223}, doi = {10.1587/ELEX.14.20161223}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OgasawaraS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhKLK17, author = {Hyunggoy Oh and Heetae Kim and Jaeil Lim and Sungho Kang}, title = {Reconfigurable scan architecture for test power and data volume reduction}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170415}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170415}, doi = {10.1587/ELEX.14.20170415}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhKLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkKP17, author = {Jong Hyuk Park and Hyun{-}Woo Kim and Joung{-}Hu Park}, title = {Magnetically-coupled boost-forward converter for high efficiency differential power processing systems}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161202}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161202}, doi = {10.1587/ELEX.14.20161202}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkKP17a, author = {Jang{-}Soon Park and Dongho Kim and Hee{-}Suk Pang}, title = {A new method for tilted radiation using frequency selective reflectors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20171064}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171064}, doi = {10.1587/ELEX.14.20171064}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkKP17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengCZXLWL17, author = {Chunyu Peng and Ziyang Chen and Jingbo Zhang and Songsong Xiao and Changyong Liu and Xiulong Wu and Zhiting Lin}, title = {A radiation harden enhanced Quatro {(RHEQ)} {SRAM} cell}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170784}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170784}, doi = {10.1587/ELEX.14.20170784}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengCZXLWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengWFH17, author = {Junli Peng and Qi Wang and Xiang Fu and Zongliang Huo}, title = {Dynamic {LLR} scheme based on {EM} algorithm for {LDPC} decoding in {NAND} flash memory}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170820}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170820}, doi = {10.1587/ELEX.14.20170820}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/PengWFH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PourashrafRRLDC17, author = {Shirin Pourashraf and Jaime Ram{\'{\i}}rez{-}Angulo and Alejandro Roman{-}Loera and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alejandro D{\'{\i}}az{-}S{\'{a}}nchez and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {High current efficiency class-AB {OTA} with high open loop gain and enhanced bandwidth}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170719}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170719}, doi = {10.1587/ELEX.14.20170719}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/PourashrafRRLDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RahimP17, author = {Siti Amalina Enche Ab Rahim and Ramesh K. Pokharel}, title = {Class-C architecture for cross-coupled {FBAR} oscillator to further improve phase noise}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170056}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170056}, doi = {10.1587/ELEX.14.20170056}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RahimP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RaufRSIAT17, author = {Shahid Rauf and Muhammad Ali Riaz and Humayun Shahid and Muhammad Sohail Iqbal and Yasar Amin and Hannu Tenhunen}, title = {Triangular loop resonator based compact chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161262}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161262}, doi = {10.1587/ELEX.14.20161262}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RaufRSIAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RiazSAAAT17, author = {Muhammad Ali Riaz and Humayun Shahid and Shah Zaib Aslam and Yasar Amin and Adeel Akram and Hannu Tenhunen}, title = {Novel T-shaped resonator based chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170728}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170728}, doi = {10.1587/ELEX.14.20170728}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RiazSAAAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RoSPA17, author = {Yuhwan Ro and Min Chul Sung and Yongjun Park and Jung Ho Ahn}, title = {Selective {DRAM} cache bypassing for improving bandwidth on {DRAM/NVM} hybrid main memory systems}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170437}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170437}, doi = {10.1587/ELEX.14.20170437}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RoSPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RongLXXXZ17, author = {Chuicai Rong and Xiansuo Liu and Yuehang Xu and Mingyao Xia and Ruimin Xu and Tiedi Zhang}, title = {A class {E} GaN microwave power amplifier accounting for parasitic inductance of transistor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170127}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170127}, doi = {10.1587/ELEX.14.20170127}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RongLXXXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RongLXXXZ17a, author = {Chuicai Rong and Xiansuo Liu and Yuehang Xu and Mingyao Xia and Ruimin Xu and Tiedi Zhang}, title = {A broadband microwave GaN HEMTs class EF\({}_{\mbox{3}}\) power amplifier with {\(\pi\)}-type network}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170260}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170260}, doi = {10.1587/ELEX.14.20170260}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RongLXXXZ17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SattiHZALT17, author = {Javeria Anum Satti and Ayesha Habib and Sumra Zeb and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Highly-dense flexible chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170750}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170750}, doi = {10.1587/ELEX.14.20170750}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SattiHZALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShanLLSCY17, author = {Weiwei Shan and Xinning Liu and Minyi Lu and Shuai Shao and Zhikuang Cai and Jun Yang}, title = {An improved timing error prediction monitor for wide adaptive frequency scaling}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170808}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170808}, doi = {10.1587/ELEX.14.20170808}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShanLLSCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShenWYG17, author = {Lili Shen and Ning Wu and Gaizhen Yan and Fen Ge}, title = {Thermal-aware task mapping for communication energy minimization on 3D NoC}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170900}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170900}, doi = {10.1587/ELEX.14.20170900}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShenWYG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShengFLZ17, author = {Xianjun Sheng and Jingjing Fan and Ning Liu and Chunbo Zhang}, title = {A dual-band fractal {FSS} with {SZ} curve elements}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170518}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170518}, doi = {10.1587/ELEX.14.20170518}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShengFLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShiLWZ17, author = {Yanyan Shi and Jie Liang and Meng Wang and Zhenyang Zhang}, title = {Efficient magnetic resonant coupling wireless power transfer with a novel conical-helix resonator}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170440}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170440}, doi = {10.1587/ELEX.14.20170440}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShiLWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShibataKEH17, author = {Ryutaro Shibata and Hirofumi Kasahara and Lunider Paiva Elias and Tsuneo Horiguchi}, title = {Improving performance of phase shift pulse {BOTDR}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170267}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170267}, doi = {10.1587/ELEX.14.20170267}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShibataKEH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinJL17, author = {Dongsuk Shin and Hakbeom Jang and Jae W. Lee}, title = {Energy-efficient heterogeneous memory system for mobile platforms}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171002}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171002}, doi = {10.1587/ELEX.14.20171002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinOLKK17, author = {Ziho Shin and Myeong{-}Hoon Oh and Jeong{-}Gun Lee and Hag{-}Young Kim and Young Woo Kim}, title = {Design of a clockless {MSP430} core using mixed asynchronous design flow}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170162}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170162}, doi = {10.1587/ELEX.14.20170162}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinOLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SomakettarinSF17, author = {Natthawuth Somakettarin and Hideharu Sugihara and Tsuyoshi Funaki}, title = {Open-circuit-voltage characterization system design for studies of phase-transition mechanism and deterioration in Mn-type Li-ion batteries}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170690}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170690}, doi = {10.1587/ELEX.14.20170690}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SomakettarinSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SonKK17, author = {Younghwan Son and Yoon Kim and Myounggon Kang}, title = {Investigation of capture and emission dependence between individual traps from complex random telegraph signal noise analysis}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161189}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161189}, doi = {10.1587/ELEX.14.20161189}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SonKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SonKK17a, author = {Younghwan Son and Yoon Kim and Myounggon Kang}, title = {Characterization of oxide trap density with the charge pumping technique in dual-layer gate oxide}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170141}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170141}, doi = {10.1587/ELEX.14.20170141}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SonKK17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongLLL17, author = {Bing Song and Qingjiang Li and Husheng Liu and Haijun Liu}, title = {Exploration of selector characteristic based on electron tunneling for {RRAM} array application}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170739}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170739}, doi = {10.1587/ELEX.14.20170739}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/SongLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongWSYZZBTK17, author = {Yexi Song and Yunqiu Wu and Min Sun and Guang Yang and Xiaoning Zhang and Chenxi Zhao and Yong{-}Ling Ban and Xiaohong Tang and Kai Kang}, title = {An on-chip antenna integrated with a transceiver in 0.18-{\(\mathrm{\mu}\)}m {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170836}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170836}, doi = {10.1587/ELEX.14.20170836}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongWSYZZBTK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SuWYGGC17, author = {Nan Su and Kun Wang and Xiaoshan Yu and Huaxi Gu and Yantao Guo and Jiayi Chen}, title = {{BARR:} Congestion aware scheduling algorithm for Network-on-Chip router}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161247}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161247}, doi = {10.1587/ELEX.14.20161247}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SuWYGGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SugiyamaCTSKKIK17, author = {Koki Sugiyama and Takafumi Chiba and Ken Tanizawa and Keijiro Suzuki and Takayuki Kawashima and Shojiro Kawakami and Kazuhiro Ikeda and Hitoshi Kawashima and Hiroshi Takahashi and Hiroyuki Tsuda}, title = {Polarization diversity circuit based on silica waveguides and photonic crystal waveplates for a 4{\texttimes}4 silicon optical switch}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170252}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170252}, doi = {10.1587/ELEX.14.20170252}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SugiyamaCTSKKIK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SulaimanHI17, author = {Diary R. Sulaiman and Ibrahim Ismael Hamad and Muhammed A. Ibrahim}, title = {Adaptive supply and body voltage control for ultra-low power microprocessors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170306}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170306}, doi = {10.1587/ELEX.14.20170306}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/SulaimanHI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SulaimanHI17a, author = {Diary R. Sulaiman and Ibrahim Ismael Hamad and Muhammed A. Ibrahim}, title = {Microprocessors optimal power dissipation using combined threshold hopping and voltage scaling}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {24}, pages = {20171046}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171046}, doi = {10.1587/ELEX.14.20171046}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/SulaimanHI17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunQCC17, author = {Lubing Sun and Zuping Qian and Wenquan Cao and Yang Cai}, title = {Higher mode {SIW} excitation technology and its array application}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170873}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170873}, doi = {10.1587/ELEX.14.20170873}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunQCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunRQBF17, author = {Zhanshan Sun and Ke Ren and Chen Qiang and Jia{-}jun Bai and Yun{-}qi Fu}, title = {3D radar imaging based on frequency-scanned antenna}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170503}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170503}, doi = {10.1587/ELEX.14.20170503}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunRQBF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SundarPPP17, author = {Mohana Sundar and Jeong{-}Hyun Park and Changkun Park and Joung{-}Hu Park}, title = {Cost-effective 97{\%}-efficiency charge-pump voltage balancer for multi-level {PWM} photovoltaic micro-inverter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170038}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170038}, doi = {10.1587/ELEX.14.20170038}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SundarPPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TahirWKB17, author = {Sohaib Tahir and Jie Wang and Ghulam Sarwar Kaloi and Mazhar Hussain Baloch}, title = {Robust digital deadbeat control design technique for 3 phase {VSI} with disturbance observer}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170351}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170351}, doi = {10.1587/ELEX.14.20170351}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TahirWKB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TamuraWT17, author = {Masaya Tamura and Yosuke Watanabe and Ippei Takano}, title = {Waveguide-mode wireless power transfer in shielded space with aperture plane}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170195}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170195}, doi = {10.1587/ELEX.14.20170195}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TamuraWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ThoSK17, author = {Nguyen Huu Tho and Kyung{-}Sub Son and Jin{-}Ku Kang}, title = {A 200 Mb/s{\(\sim\)}3.2 Gb/s referenceless clock and data recovery circuit with bidirectional frequency detector}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20161279}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161279}, doi = {10.1587/ELEX.14.20161279}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ThoSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianHLDSY17, author = {Yinghui Tian and Yong Hei and Zhizhe Liu and Zhixiong Di and Qi Shen and Zenghui Yu}, title = {A memory-based {FFT} processor using modified signal flow graph with novel conflict-free address schemes}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170660}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170660}, doi = {10.1587/ELEX.14.20170660}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianHLDSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianHLDSY17a, author = {Yinghui Tian and Yong Hei and Zhizhe Liu and Zhixiong Di and Qi Shen and Zenghui Yu}, title = {Erratum: {A} memory-based {FFT} processor using modified signal flow graph with novel conflict-free address schemes {[IEICE} Electronics Express Vol. 14 {(2017)} No. 15 pp. 20170660]}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20178005}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20178005}, doi = {10.1587/ELEX.14.20178005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianHLDSY17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianWSYCTCT17, author = {Yin Tian and Guangming Wang and Yexi Song and Jie Yang and Yu Cao and Wei Tong and Yijun Chen and Shiwen Tang}, title = {A compact Ka-band antenna-in-package for system-in-package application}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170444}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170444}, doi = {10.1587/ELEX.14.20170444}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianWSYCTCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianWYTCCYS17, author = {Yin Tian and Guangming Wang and Jie Yang and Wei Tong and Yu Cao and Yijun Chen and Guang Yang and Yexi Song}, title = {A Ka-band {TDD} front-end chip with 24.7{\%} bandwidth and temperature compensation technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170350}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170350}, doi = {10.1587/ELEX.14.20170350}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianWYTCCYS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianZGL17, author = {Ting Tian and Xiaodong Zhang and Huai Gao and Shengli Lu}, title = {A wide-band monolithic differential power amplifier}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170576}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170576}, doi = {10.1587/ELEX.14.20170576}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianZGL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TumugantiKC17, author = {Nagakarthik Tumuganti and Joon Ho Kong and Jun Rim Choi}, title = {Novel TCAM-based {PUF} with improved reliability for hardware-entangled security}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170716}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170716}, doi = {10.1587/ELEX.14.20170716}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TumugantiKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UeharaHTTO17, author = {Tomoyuki Uehara and Kohei Hagiwara and Toshihide Tanigaki and Kenichiro Tsuji and Noriaki Onodera}, title = {Erratum: Frequency stabilization of two orthogonally polarized external cavity laser diodes using a novel {\(\gamma\)}-type optical configuration consist of a phase modulator and a Faraday rotator mirror {[IEICE} Electronics Express Vol. 11 {(2014)} No. 10 pp. 20140169]}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20178002}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20178002}, doi = {10.1587/ELEX.14.20178002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UeharaHTTO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WanCW17, author = {Jiayue Wan and Zhiming Chen and Xinghua Wang}, title = {A {CMOS} digital step X-type attenuator with low process variations}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170761}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170761}, doi = {10.1587/ELEX.14.20170761}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WanCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangC017, author = {Chao Wang and Peng Cao and Jun Yang}, title = {Efficient {AES} cipher on coarse-grained reconfigurable architecture}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170449}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170449}, doi = {10.1587/ELEX.14.20170449}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangC017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCLY17, author = {Chao Wang and Peng Cao and Bo Liu and Jun Yang}, title = {Coarse-grained reconfigurable architecture with hierarchical context cache structure and management approach}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170090}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170090}, doi = {10.1587/ELEX.14.20170090}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCXM17, author = {Sheng Wang and Chen Chen and Xiaoyan Xiang and Jianyi Meng}, title = {A metastability-immune error-resilient flip-flop for near-threshold variation-tolerant designs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170353}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170353}, doi = {10.1587/ELEX.14.20170353}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCXM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangDCWF17, author = {Liang{-}Hung Wang and Wei{-}Zhong Dong and Jian{-}Zhi Chen and Fa{-}Xiang Wang and Minghui Fan}, title = {Low-power low-data-loss bio-signal acquisition system for intelligent electrocardiogram detection}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161142}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161142}, doi = {10.1587/ELEX.14.20161142}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangDCWF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangFW17, author = {Rong Wang and Chen Fan and Zhi{-}Gong Wang}, title = {Design of Psub-SMPD and {DNW-SMPD} fabricated in a standard 0.18-{\(\mathrm{\mu}\)}m {CMOS} process}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {14}, pages = {20170611}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170611}, doi = {10.1587/ELEX.14.20170611}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangFW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangHCZJWG17, author = {Zixuan Wang and Shanwen Hu and Zhikuang Cai and Bo Zhou and Xincun Ji and Rong Wang and Yufeng Guo}, title = {A 2.4-GHz all-digital phase-locked loop with a pipeline-{\(\Delta\)}{\(\Sigma\)} time-to-digital converter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170095}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170095}, doi = {10.1587/ELEX.14.20170095}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangHCZJWG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLC17, author = {Lei Wang and Fujiang Lin and Qing Cui}, title = {Dual 3-phase buck converter for multi-core CPUs power supply in mobile devices}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20170045}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170045}, doi = {10.1587/ELEX.14.20170045}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLHFLFS17, author = {Kun Wang and Li Li and Feng Han and Fan Feng and Jun Lin and Yuxiang Fu and Jin Sha}, title = {Optimized sorting network for successive cancellation list decoding of polar codes}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170735}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170735}, doi = {10.1587/ELEX.14.20170735}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLHFLFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLL17, author = {Xiaogang Wang and Hua Liu and Yitao Li}, title = {A novel coupled inductor Z-source three-level inverter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170647}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170647}, doi = {10.1587/ELEX.14.20170647}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLLWSXZ17, author = {Minghua Wang and Yu Liu and Zhiqiang Li and Xiaosong Wang and Muhamamad M. Sarfraz and Yanbin Xiao and Haiying Zhang}, title = {A 6-bit 38 GHz SiGe BiCMOS phase shifter for 5G phased array communications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170451}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170451}, doi = {10.1587/ELEX.14.20170451}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLLWSXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLZXZW17, author = {Hongyi Wang and Cong Li and Bingbing Zhang and Shunqiang Xu and Liming Zheng and Jianfei Wu}, title = {A physical model of electron trapping/detrapping in electrically stressed oxide}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170565}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170565}, doi = {10.1587/ELEX.14.20170565}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLZXZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangOM17, author = {Xiaolong Wang and Masataka Ohira and Zhewang Ma}, title = {A flexible two-section transmission-line transformer design approach for complex source and real load impedances}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161095}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161095}, doi = {10.1587/ELEX.13.20161095}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangOM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangSYK17, author = {Tongxi Wang and Min{-}Woong Seo and Keita Yasutomi and Shoji Kawahito}, title = {A 19-bit column-parallel folding-integration/cyclic cascaded {ADC} with a pre-charging technique for {CMOS} image sensors}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161199}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161199}, doi = {10.1587/ELEX.14.20161199}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangSYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWCL17, author = {Yuchan Wang and Yueqing Wang and Xiaogang Chen and Xiaoyun Li}, title = {Understanding the influence of {RESET} current due to the active region of phase change memory}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170474}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170474}, doi = {10.1587/ELEX.14.20170474}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWCL17a, author = {Yuchan Wang and Yuhan Wang and Xiaogang Chen and Xiaoyun Li}, title = {{RESET} failure analysis of phase change memory based on Ge\({}_{\mbox{2}}\)Sb\({}_{\mbox{2}}\)Te\({}_{\mbox{5}}\)}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170673}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170673}, doi = {10.1587/ELEX.14.20170673}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWCL17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWLCZ17, author = {Yize Wang and Yuan Wang and Guangyi Lu and Jian Cao and Xing Zhang}, title = {A novel TLP-based method to deliver {IEC} 61000-4-2 {ESD} stress}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170163}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170163}, doi = {10.1587/ELEX.14.20170163}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWLCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWLSXZ17, author = {Minghua Wang and Xiaosong Wang and Yu Liu and Muhamamad M. Sarfraz and Yanbin Xiao and Haiying Zhang}, title = {A {CMOS} dual-feedback reconfigurable low noise amplifier with improved stability and reduced noise}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170985}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170985}, doi = {10.1587/ELEX.14.20170985}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWLSXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWWCZY17, author = {Kangrui Wang and Zhiyu Wang and Gang Wang and Hua Chen and Qin Zheng and Fa{-}Xin Yu}, title = {Design of a low-insertion-phase-shift {MMIC} attenuator integrated with a serial-to-parallel converter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170924}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170924}, doi = {10.1587/ELEX.14.20170924}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWWCZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWY17, author = {Fengjuan Wang and Gang Wang and Ningmei Yu}, title = {Equivalent circuit model of through-silicon-via in slow wave mode}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20171025}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171025}, doi = {10.1587/ELEX.14.20171025}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWZZZ17, author = {Tongtong Wang and Zhigang Wang and Mengjuan Zhao and Yong Zhang and Tiedi Zhang}, title = {A compact implantable flexible filter with low loss}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170802}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170802}, doi = {10.1587/ELEX.14.20170802}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWZZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangXW17, author = {Yingpin Wang and Yunxiang Xie and Zhiping Wang}, title = {Linear quadratic regulator control of {LC} active power filter without reference current detection}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170785}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170785}, doi = {10.1587/ELEX.14.20170785}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangXW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZ17, author = {Cailin Wang and Lei Zhang}, title = {Peak electric field shifting induced by avalanche injection under static avalanche in high voltage diode}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170627}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170627}, doi = {10.1587/ELEX.14.20170627}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZLYCZG17, author = {Lei Wang and Tiedi Zhang and Oupeng Li and Manfei Yang and Qing Chen and Mingzhou Zan and Yunchuan Guo}, title = {A D-band divide-by-6 injection-locked frequency divider with Lange-coupler feedback architecture in 0.13 {\(\mathrm{\mu}\)}m SiGe {HBT}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170328}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170328}, doi = {10.1587/ELEX.14.20170328}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZLYCZG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiW17, author = {Rong{-}Shan Wei and Jue Wang}, title = {An energy-efficient multistage charge pump for {MEMS} microphone}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170512}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170512}, doi = {10.1587/ELEX.14.20170512}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiXH17, author = {Zihui Wei and Yanbin Xiao and Shuilong Huang}, title = {11b 60 MHz pipelined {ADC} with inverter-based class {AB} amplifier in 28 nm {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170047}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170047}, doi = {10.1587/ELEX.14.20170047}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiXH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiZ17, author = {Rongshan Wei and Xingang Zhang}, title = {Efficient {VLSI} Huffman encoder implementation and its application in high rate serial data encoding}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170976}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170976}, doi = {10.1587/ELEX.14.20170976}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuGLW17, author = {Liao Wu and Chenrui Guo and Peng Liu and Wei Wang}, title = {A direct {AC-DC} converter integrated with {SSHI} circuit for piezoelectric energy harvesting}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170431}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170431}, doi = {10.1587/ELEX.14.20170431}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WuGLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuZL17, author = {Guoan Wu and Xiang Zhang and Wenguang Li}, title = {Novel bandpass filter with high selectivity and very wide stopband using open stub loaded and {DGS}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170316}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170316}, doi = {10.1587/ELEX.14.20170316}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WuZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XavierVL17, author = {Edwin Deepak Francis Xavier and Rajasekaran Vairamani and Sahaya Senthamil Lourdusami}, title = {Design and analysis of Z-source based 7 level cascaded multi level inverter for induction motor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170940}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170940}, doi = {10.1587/ELEX.14.20170940}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XavierVL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaLLYJ17, author = {Xinlin Xia and Yu Liu and Haodong Lin and Tao Yang and Haiyan Jin}, title = {Novel {UWB} {BPF} with a controllable notched band using hybrid structure}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170083}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170083}, doi = {10.1587/ELEX.14.20170083}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaLLYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaoLS17, author = {Yu Xiao and Tang Li and Houjun Sun}, title = {A microstrip-fed \emph{W}-band waveguide filter using H-shaped coupling slots}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170326}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170326}, doi = {10.1587/ELEX.14.20170326}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaoLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaoLZFY17, author = {Jun Xiao and Xiuping Li and Hua Zhu and Weiwei Feng and Li Yao}, title = {Micromachined patch antenna array design and optimization by using artificial neural network}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170031}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170031}, doi = {10.1587/ELEX.14.20170031}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaoLZFY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieMLGY17, author = {Jiaqiang Xie and Li Ma and Wei Li and Yong Gao and Ning Mei Yu}, title = {A snapback-free reverse conducting {IGBT} with recess and floating buffer at the backside}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170677}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170677}, doi = {10.1587/ELEX.14.20170677}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieMLGY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieSCD17, author = {Xin Xie and Yangyang Sun and Hongda Chen and Yong Ding}, title = {Hardware Trojans classification based on controllability and observability in gate-level netlist}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170682}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170682}, doi = {10.1587/ELEX.14.20170682}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieSCD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieWML17, author = {Sheng Xie and Sicong Wu and Luhong Mao and Haiou Li}, title = {A design methodology to extend bandwidth for regulated cascode transimpedance amplifier}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161098}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161098}, doi = {10.1587/ELEX.13.20161098}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieWML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieWQH17, author = {Lin{-}Lin Xie and Yang Wang and Shushan Qiao and Yong Hei}, title = {An improved phase digitization mechanism for fast-locking low-power all-digital PLLs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170911}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170911}, doi = {10.1587/ELEX.14.20170911}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieWQH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XinCXW17, author = {Xin Xin and Jueping Cai and Ruilian Xie and Peng Wang}, title = {Voltage-mode ultra-low power four quadrant multiplier using subthreshold {PMOS}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20170063}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170063}, doi = {10.1587/ELEX.14.20170063}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XinCXW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuCCXGQL17, author = {Jie Xu and Yinjie Cui and Yang Chen and Zhengbin Xu and Jian Guo and Cheng Qian and Wenyuan Li}, title = {Wideband sub-harmonic mixer incorporating short-circuited band-pass filter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170245}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170245}, doi = {10.1587/ELEX.14.20170245}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuCCXGQL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuCXM17, author = {Yin Xu and Zhijian Chen and Xiaoyan Xiang and Jianyi Meng}, title = {A granular resampling method based energy-efficient architecture for heartbeat classification in {ECG}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170984}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170984}, doi = {10.1587/ELEX.14.20170984}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuCXM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuLHJLYNY17, author = {Xiumin Xu and Huaguo Liang and Zhengfeng Huang and Cuiyun Jiang and Yingchun Lu and Aibin Yan and Tianming Ni and Maoxiang Yi}, title = {A single event transient detector in SRAM-based FPGAs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170210}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170210}, doi = {10.1587/ELEX.14.20170210}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuLHJLYNY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuLHJOFNY17, author = {Xiumin Xu and Huaguo Liang and Zhengfeng Huang and Cuiyun Jiang and Yiming Ouyang and Xiangsheng Fang and Tianming Ni and Maoxiang Yi}, title = {A highly reliable butterfly {PUF} in SRAM-based FPGAs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {14}, pages = {20170551}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170551}, doi = {10.1587/ELEX.14.20170551}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuLHJOFNY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuLPDW17, author = {Kuiwen Xu and Fei Liu and Liang Peng and Linxi Dong and Gaofeng Wang}, title = {A compact planar ultra-wideband handset antenna with L-shaped extended ground stubs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170680}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170680}, doi = {10.1587/ELEX.14.20170680}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuLPDW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuLZY17, author = {Changqing Xu and Yi Liu and Zhangming Zhu and Yintang Yang}, title = {An efficient energy and thermal-aware mapping for regular network-on-chip}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170769}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170769}, doi = {10.1587/ELEX.14.20170769}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuLZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuZJZ17, author = {Juan Xu and Yang Zhao and Zhiyuan Jia and Jianjun Zhang}, title = {Rotor dynamic balancing control method based on fuzzy auto-tuning single neuron {PID}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170130}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170130}, doi = {10.1587/ELEX.14.20170130}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuZJZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuZXYHLKZ17, author = {Yiran Xu and Wenyi Zhu and Jun Xiao and Guangjun Yang and Jian Hu and Xiaoyun Li and Weiran Kong and Shichang Zou}, title = {Area-efficient charge pump with local boost technique for embedded flash memory}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170944}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170944}, doi = {10.1587/ELEX.14.20170944}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuZXYHLKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YanLLH17, author = {Aibin Yan and Huaguo Liang and Yingchun Lu and Zhengfeng Huang}, title = {A transient pulse dually filterable and online self-recoverable latch}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20160911}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160911}, doi = {10.1587/ELEX.13.20160911}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YanLLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YanWGXZ17, author = {Gaizhen Yan and Ning Wu and Fen Ge and Hao Xiao and Fang Zhou}, title = {ArR-DTM: {A} routing-based {DTM} for 3D NoCs by adaptive degree regulation}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170203}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170203}, doi = {10.1587/ELEX.14.20170203}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YanWGXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangCLYJ17, author = {Ziqiang Yang and Weikui Chen and Haodong Lin and Tao Yang and Haiyan Jin}, title = {A rectangular waveguide filter with integrated E-plane probe transition}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161108}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161108}, doi = {10.1587/ELEX.13.20161108}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangCLYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangWXCM17, author = {Chen Yang and Chunpeng Wei and Yizhuang Xie and He Chen and Cuimei Ma}, title = {Area-efficient mixed-radix variable-length {FFT} processor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170232}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170232}, doi = {10.1587/ELEX.14.20170232}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangWXCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangYHLPTYYWM17, author = {Tao Yang and Sichen Yu and Huixiang Han and Xiaolu Liu and Dashan Pan and Xi Tan and Na Yan and Fan Ye and Junyu Wang and Hao Min}, title = {A 3.2-to-4.6 GHz fast-settling all-digital {PLL} with feed forward frequency presetting}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161215}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161215}, doi = {10.1587/ELEX.14.20161215}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangYHLPTYYWM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangYQ17, author = {Enpin Yang and Xiao Yan and Kaiyu Qin}, title = {A novel compressive sampling system for chirp signal}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170204}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170204}, doi = {10.1587/ELEX.14.20170204}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YangYQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangZLY17, author = {Ziqiang Yang and Liming Zhang and Haodong Lin and Tao Yang}, title = {A right-angle wideband transition between differential microstrip line and rectangular waveguide}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161206}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161206}, doi = {10.1587/ELEX.14.20161206}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangZLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangZTDZDWZ17, author = {Xiaolin Yang and Yin Zhou and Lihan Tang and Yangtao Dong and Menglian Zhao and Lin Deng and Xiaobo Wu and Xiaolei Zhu}, title = {A 0.9 {V} 2.72 {\(\mathrm{\mu}\)}W 200 kS/s {SAR} {ADC} with ladder-based time-domain comparator}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170003}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170003}, doi = {10.1587/ELEX.14.20170003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangZTDZDWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangZWSL17, author = {Fan Yang and Yongan Zheng and Chunguang Wang and Ling Shen and Huailin Liao}, title = {A single-poly {EEPROM} with low leakage charge pump and peripheral circuits for passive {RFID} tag in a standard {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170315}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170315}, doi = {10.1587/ELEX.14.20170315}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangZWSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YaoZX17, author = {Yindi Yao and Zhibin Zeng and Xin Xiang}, title = {Modeling and design of a compact wideband common-mode filter using internal coupling technique}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161063}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161063}, doi = {10.1587/ELEX.13.20161063}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YaoZX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YasirWCYZ17, author = {Yasir Khizar and Ning Wu and Xin Chen and Muhammad Rehan Yahya and Xiaoqiang Zhang}, title = {{FPGA} based highly efficient {MISTY1} architecture}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170841}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170841}, doi = {10.1587/ELEX.14.20170841}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YasirWCYZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YeDJLSC17, author = {Yong Ye and Yuan Du and Weiliang Jing and Xiaoyun Li and Zhitang Song and Bomy Chen}, title = {CAM-based retention-aware {DRAM} {(CRA-DRAM)} for refresh power reduction}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170053}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170053}, doi = {10.1587/ELEX.14.20170053}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YeDJLSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YeDJLSC17a, author = {Yong Ye and Yuan Du and Weiliang Jing and Xiaoyun Li and Zhitang Song and Bomy Chen}, title = {Erratum: CAM-based retention-aware {DRAM} {(CRA-DRAM)} for refresh power reduction {[IEICE} Electronics Express Vol. 14 {(2017)} No. 10 pp. 20170053]}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20178001}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20178001}, doi = {10.1587/ELEX.14.20178001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YeDJLSC17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YinHLA17, author = {Yu Yin and Jing{-}Song Hong and Chao{-}Ming Luo and Muhammad Amin}, title = {A compact planar {UWB} {MIMO} antenna using modified ground stub structure}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170883}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170883}, doi = {10.1587/ELEX.14.20170883}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YinHLA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YooCYKK17, author = {Jong{-}Gyeong Yoo and Young{-}Ki Cho and Junho Yeo and Ji{-}Hwan Ko and Ki{-}Chai Kim}, title = {Design and experiment of miniaturized small resonant aperture using modified ridge structure}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170928}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170928}, doi = {10.1587/ELEX.14.20170928}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YooCYKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoonHJJB17, author = {Dong{-}Hyun Yoon and Yohan Hong and Jae{-}Hun Jung and Youngkwon Jo and Kwang{-}Hyun Baek}, title = {A low-jitter {BMCDR} for half-rate {PON} systems}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20161045}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161045}, doi = {10.1587/ELEX.13.20161045}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoonHJJB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoonHK17, author = {Junsub Yoon and Seo Weon Heo and Jongsun Kim}, title = {A fast-locking harmonic-free digital {DLL} for {DDR3} and {DDR4} SDRAMs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161020}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161020}, doi = {10.1587/ELEX.13.20161020}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoonHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoshimotoAUNS17, author = {Shusuke Yoshimoto and Teppei Araki and Takafumi Uemura and Yuki Noda and Tsuyoshi Sekitani}, title = {Flexible electronics for bio-signal monitoring in implantable applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20172003}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20172003}, doi = {10.1587/ELEX.14.20172003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoshimotoAUNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YouPK17, author = {Sung Hyun You and Jung{-}Min Pak and Jeong Hoon Kim}, title = {Optimal horizon size for unbiased finite memory digital phase-locked loop}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161184}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161184}, doi = {10.1587/ELEX.14.20161184}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YouPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuW17, author = {Yong Yu and Xudong Wang}, title = {Characteristic analysis of relatively high speed, loosely coupled rotating excitation transformers in {HEV} and {EV} drive motor excitation systems}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161218}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161218}, doi = {10.1587/ELEX.14.20161218}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuZLM17, author = {Tongfei Yu and Haibo Zhao and Zhiping Li and Jungang Miao}, title = {Design of planar matching loads for traveling-wave-fed {SIW} slot arrays}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170467}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170467}, doi = {10.1587/ELEX.14.20170467}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuZLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YunPSK17, author = {Joobeom Yun and Ki{-}Woong Park and Young{-}joo Shin and Hee{-}Dong Kim}, title = {An efficient stream cipher for resistive {RAM}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20170179}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170179}, doi = {10.1587/ELEX.14.20170179}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YunPSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZebHSALT17, author = {Sumra Zeb and Ayesha Habib and Javeria Anum Satti and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Dual-polarized chipless humidity sensor tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170926}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170926}, doi = {10.1587/ELEX.14.20170926}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZebHSALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZengLWWZLX17, author = {Rongzhou Zeng and Ping Li and Yiwen Wang and Gang Wang and Qingwei Zhang and Yongbo Liao and Xiaodong Xie}, title = {An embedded gate graphene field effect transistor with natural Al oxidization dielectrics and its application to frequency doubler}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170707}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170707}, doi = {10.1587/ELEX.14.20170707}, timestamp = {Wed, 11 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZengLWWZLX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZengWZCXHZY17, author = {Jianmin Zeng and Chubin Wu and Zhang Zhang and Xin Cheng and Guangjun Xie and Jun Han and Xiaoyang Zeng and Zhiyi Yu}, title = {A multi-core-based heterogeneous parallel turbo decoder}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170768}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170768}, doi = {10.1587/ELEX.14.20170768}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZengWZCXHZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhanLLZ17, author = {Lamin Zhan and Zuwei Li and Wenguang Li and Xiang Zhang}, title = {Miniaturized dual-band coupler using three-branch-line structure and dual transmission lines}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170834}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170834}, doi = {10.1587/ELEX.14.20170834}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhanLLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCLLMWS17, author = {Qi Zhang and Houpeng Chen and Yu Lei and Xiaoyun Li and Jie Miao and Qian Wang and Zhitang Song}, title = {Capacitor-less {LDR} based on flipped voltage follower with dual-feedback loops}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170496}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170496}, doi = {10.1587/ELEX.14.20170496}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCLLMWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCZL17, author = {Lei Zhang and Xinlong Chang and Youhong Zhang and Wanlei Liu}, title = {Response of {FBG} sensors embedded in {SRM} interface of combustor when subjected to tri-axial normal loadings}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {16}, pages = {20170657}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170657}, doi = {10.1587/ELEX.14.20170657}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangDCW17, author = {Zhichao Zhang and Anh Dinh and Li Chen and Haibin Wang}, title = {Wide range linearity improvement technique for linear wideband {LNA}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20170002}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170002}, doi = {10.1587/ELEX.14.20170002}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangDCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangF17, author = {Minglei Zhang and Xiaohua Fan}, title = {An energy-efficient {SAR} {ADC} using a single-phase clocked dynamic comparator with energy and speed enhanced technique}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170219}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170219}, doi = {10.1587/ELEX.14.20170219}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangFZMZ17, author = {Cheng{-}Yu Zhang and Haipeng Fu and Yuanyuan Zhu and Jianguo Ma and Qijun Zhang}, title = {A hybrid model of {III-V} FETs with accurate high-order derivatives}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170448}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170448}, doi = {10.1587/ELEX.14.20170448}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangFZMZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangHKSHXMKMK17, author = {Xiuyu Zhang and Takafumi Hino and Satoshi Kasamatsu and Shobu Suga and Elbert He and Yifan Xiong and Masato Morifuji and Hirotake Kajii and Akihiro Maruta and Masahiko Kondow}, title = {1.3 {\(\mathrm{\mu}\)}m lasing of circular defect cavity photonic crystal laser with an AlO\emph{\({}_{\mbox{x}}\)} cladding layer}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170664}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170664}, doi = {10.1587/ELEX.14.20170664}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangHKSHXMKMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLHLZ17, author = {Xiaoxiong Zhang and Bin Luo and Yao Hu and Shengbin Liu and Chenming Zhong}, title = {A novel dumbbell-shaped coil featured with cross coupling suppression for long distance relay wireless power transfer applications}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170790}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170790}, doi = {10.1587/ELEX.14.20170790}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLHLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLW17, author = {Zhi Zhang and Xueliang Liu and Zhiping Wang}, title = {A single stage soft-switched {AC/DC} power factor corrected converter with galvanic isolation}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170144}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170144}, doi = {10.1587/ELEX.14.20170144}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLX17, author = {Li Zhang and Lei Luo and Juan Xu}, title = {An improved adaptive control method for active balancing control of rotor with time-delay}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {23}, pages = {20171069}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171069}, doi = {10.1587/ELEX.14.20171069}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangMYZG17, author = {Ying Zhang and Kaixue Ma and Hua Yang and Yi Zhang and Yufeng Guo}, title = {1-20 GHz distributed power amplifier based on shared artificial transmission lines}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170198}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170198}, doi = {10.1587/ELEX.14.20170198}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangMYZG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangRCFLH17, author = {Sheng Zhang and Jia{-}Yu Rao and Jun{-}Jie Cheng and Xue{-}Dong Fu and Falin Liu and Jia{-}Sheng Hong}, title = {Novel compact single-band and dual-band bandpass filter based on one-third-mode substrate integrated waveguide}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {19}, pages = {20170832}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170832}, doi = {10.1587/ELEX.14.20170832}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangRCFLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangTSC17, author = {Min Zhang and Zongxi Tang and Weimin Shi and Xin Cao}, title = {Design of broadband inverse class-F power amplifier based on resistive-reactive series of inverse continuous modes}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {14}, pages = {20170537}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170537}, doi = {10.1587/ELEX.14.20170537}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangTSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWT17, author = {Ming{-}Ming Zhang and Hsien{-}Shun Wu and Ching{-}Kuang C. Tzuang}, title = {K-band 100.8 mW beamforming {SOC} with 249.8 {\(\pm\)} 22.8 pico-second group-delay in 0.13 {\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170008}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170008}, doi = {10.1587/ELEX.14.20170008}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWWX17, author = {Tiedi Zhang and Lei Wang and Chung{-}Tse Michael Wu and Ruimin Xu}, title = {A GaAs passive mixer with an ultra-wide {IF} bandwidth}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20160973}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160973}, doi = {10.1587/ELEX.13.20160973}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWWX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWX17, author = {Tiedi Zhang and Chung{-}Tse Michael Wu and Ruimin Xu}, title = {High {Q} series negative capacitor using negative group delay circuit based on a stepped-impedance distributed amplifier}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20170088}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170088}, doi = {10.1587/ELEX.14.20170088}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangXH17, author = {Weidong Zhang and Shuguo Xie and Xuchun Hao}, title = {A novel parametric macro-modeling of S-parameter data by interpolating residues of root models}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {9}, pages = {20170024}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170024}, doi = {10.1587/ELEX.14.20170024}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangXH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangXLCZ17, author = {Li Zhang and Xiaowei Xu and Dawei Li and Xiaofei Chen and Xuecheng Zou}, title = {A single phase modulation for pulse-based inductive-coupling connection in 3D stacked chip}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170874}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170874}, doi = {10.1587/ELEX.14.20170874}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangXLCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangXLZZ17, author = {Li Zhang and Xiaowei Xu and Dawei Li and Jun Zou and Xuecheng Zou}, title = {Analysis and measurement of misalignment effect in inductive-coupling wireless inter-chip connection}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {12}, pages = {20170476}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170476}, doi = {10.1587/ELEX.14.20170476}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangXLZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoL17, author = {Mingjian Zhao and Jun Liao}, title = {A compact low power current-mode LNA-Mixer for {RF} receiver}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170773}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170773}, doi = {10.1587/ELEX.14.20170773}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoWL17, author = {Boya Zhao and Mingjiang Wang and Ming Liu}, title = {An energy-efficient coarse grained spatial architecture for convolutional neural networks AlexNet}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170595}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170595}, doi = {10.1587/ELEX.14.20170595}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoXWJZYX17, author = {Xiaodong Zhao and Yuehang Xu and Zhang Wen and Yonghao Jia and Tiedi Zhang and Bo Yan and Ruimin Xu}, title = {A new Inter-electrode coupling capacitance extraction method for deep-submicron AlGaN/GaN HEMTs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {15}, pages = {20170559}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170559}, doi = {10.1587/ELEX.14.20170559}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoXWJZYX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengDM17, author = {Ran Zheng and Lifu Dai and Yanzhao Ma}, title = {Method for BGR's second-order temperature compensation using resistor combinations with specified temperature coefficients}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20170920}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170920}, doi = {10.1587/ELEX.14.20170920}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengDM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengYHGWL17, author = {Yongan Zheng and Le Ye and Xiucheng Hao and Ying Guo and Runhua Wang and Huailin Liao}, title = {A tunable transformer-based {CMOS} directional coupler for {UHF} {RFID} readers}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {6}, pages = {20161261}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161261}, doi = {10.1587/ELEX.14.20161261}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengYHGWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuLDX17, author = {Hua Zhu and Xiuping Li and Yawen Dong and Jun Xiao}, title = {A novel compact dual-band antenna based on composite right/left hand transmission line {(CRH-TL)} for {WLAN} application}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170490}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170490}, doi = {10.1587/ELEX.14.20170490}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuLDX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuWZMWLHWL17, author = {Xiaoge Zhu and DanYu Wu and Lei Zhou and Chonghe Ma and Dandan Wang and Jian Luan and Yinkun Huang and Jin Wu and Xinyu Liu}, title = {A 6 mW 325 MS/s 8 bit {SAR} {ADC} with background offset calibration}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {11}, pages = {20170329}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170329}, doi = {10.1587/ELEX.14.20170329}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuWZMWLHWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuXCM17, author = {Tao{-}Tao Zhu and Xiaoyan Xiang and Chen Chen and Jianyi Meng}, title = {{SGERC:} a self-gated timing error resilient cluster of sequential cells for wide-voltage processor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170218}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170218}, doi = {10.1587/ELEX.14.20170218}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuXCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuXLD17, author = {YongZhong Zhu and WenXuan Xie and Le Li and Xin Deng}, title = {Design of an ultra-miniature substrate integrated waveguide filter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161232}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161232}, doi = {10.1587/ELEX.14.20161232}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuXLD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuZWWL17, author = {Xiaoge Zhu and Lei Zhou and DanYu Wu and Jin Wu and Xinyu Liu}, title = {A 400-MS/s 10-b 8 interleaved {SAR} {ADC} in 0.13 um {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {5}, pages = {20170067}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170067}, doi = {10.1587/ELEX.14.20170067}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuZWWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.