Search dblp for Publications

export results for "toc:db/conf/vlsi/vlsisoc2013s.bht:"

 download as .bib file

@proceedings{DBLP:conf/vlsi/2013socs,
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-23799-2},
  doi          = {10.1007/978-3-319-23799-2},
  isbn         = {978-3-319-23798-5},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/2013socs.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AkinGNSL13,
  author       = {Abdulkadir Akin and
                  Luis Manuel Gaemperle and
                  Halima Najibi and
                  Alexandre Schmid and
                  Yusuf Leblebici},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Enhanced Compressed Look-up-Table Based Real-Time Rectification Hardware},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {227--248},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_11},
  doi          = {10.1007/978-3-319-23799-2\_11},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/AkinGNSL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AliSSK13a,
  author       = {Sk Subidh Ali and
                  Samah Mohamed Saeed and
                  Ozgur Sinanoglu and
                  Ramesh Karri},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {New Scan-Based Attack Using Only the Test Mode and an Input Corruption
                  Countermeasure},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {48--68},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_3},
  doi          = {10.1007/978-3-319-23799-2\_3},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/AliSSK13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/BentobacheBEMK13,
  author       = {Mohand Bentobache and
                  Ahc{\`{e}}ne Bounceur and
                  Reinhardt Euler and
                  Salvador Mir and
                  Yann Kieffer},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Minimizing Test Frequencies for Linear Analog Circuits: New Models
                  and Efficient Solution Methods},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {188--207},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_9},
  doi          = {10.1007/978-3-319-23799-2\_9},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/BentobacheBEMK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/ConosMP13a,
  author       = {Nathaniel A. Conos and
                  Saro Meguerdichian and
                  Miodrag Potkonjak},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Gate Sizing Under Uncertainty},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {23--47},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_2},
  doi          = {10.1007/978-3-319-23799-2\_2},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/ConosMP13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/LeeG13a,
  author       = {Seogoo Lee and
                  Andreas Gerstlauer},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Fine Grain Precision Scaling for Datapath Approximations in Digital
                  Signal Processing Systems},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {119--143},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_6},
  doi          = {10.1007/978-3-319-23799-2\_6},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/LeeG13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MinwegenAA13,
  author       = {Andreas Minwegen and
                  Dominik Auras and
                  Gerd Ascheid},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {A Flexible {ASIC} for Time-Domain Decision-Directed Channel Estimation
                  in {MIMO-OFDM} Systems},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {249--265},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_12},
  doi          = {10.1007/978-3-319-23799-2\_12},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/MinwegenAA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/OshimaMF13a,
  author       = {Kosuke Oshima and
                  Takeshi Matsumoto and
                  Masahiro Fujita},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Debugging Methods Through Identification of Appropriate Functions
                  for Internal Gates},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {1--22},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_1},
  doi          = {10.1007/978-3-319-23799-2\_1},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/OshimaMF13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/PapadimitriouTD13,
  author       = {Kyprianos Papadimitriou and
                  Sotiris Thomas and
                  Apostolos Dollas},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {An FPGA-Based Real-Time System for 3D Stereo Matching, Combining Absolute
                  Differences and Census with Aggregation and Belief Propagation},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {168--187},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_8},
  doi          = {10.1007/978-3-319-23799-2\_8},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/PapadimitriouTD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/RenN13a,
  author       = {Yuan Ren and
                  Tobias G. Noll},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Quantitative Optimization and Early Cost Estimation of Low-Power Hierarchical-Architecture
                  SRAMs Based on Accurate Cost Models},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {69--93},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_4},
  doi          = {10.1007/978-3-319-23799-2\_4},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/RenN13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/SabenaRS13a,
  author       = {Davide Sabena and
                  Matteo Sonza Reorda and
                  Luca Sterpone},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Partition-Based Faults Diagnosis of a {VLIW} Processor},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {208--226},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_10},
  doi          = {10.1007/978-3-319-23799-2\_10},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/SabenaRS13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/SchaffnerHCFGGS13,
  author       = {Michael Schaffner and
                  Pascal A. Hager and
                  Lukas Cavigelli and
                  Z. Fang and
                  Pierre Greisen and
                  Frank K. G{\"{u}}rkaynak and
                  Aljoscha Smolic and
                  Hubert Kaeslin and
                  Luca Benini},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {A Complete Real-Time Feature Extraction and Matching System Based
                  on Semantic Kernels Binarized},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {144--167},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_7},
  doi          = {10.1007/978-3-319-23799-2\_7},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/SchaffnerHCFGGS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/YeknamiA13a,
  author       = {Ali Fazli Yeknami and
                  Atila Alvandpour},
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {Low-Power Low-Voltage {\(\Delta\)}{\(\Sigma\)} Modulator Using Switched-Capacitor
                  Passive Filters},
  booktitle    = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  pages        = {94--118},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-23799-2\_5},
  doi          = {10.1007/978-3-319-23799-2\_5},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/YeknamiA13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics