Search dblp for Publications

export results for "toc:db/conf/vlsi/vlsisoc2006s.bht:"

 download as .bib file

@proceedings{DBLP:conf/vlsi/2006socs,
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-74909-9},
  doi          = {10.1007/978-0-387-74909-9},
  isbn         = {978-0-387-74908-2},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/2006socs.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/BeltrameSS06,
  author       = {Giovanni Beltrame and
                  Donatella Sciuto and
                  Cristina Silvano},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {A Power-Efficient Methodology for Mapping Applications on Multi-Processor,
                  System-on-Chip Architectures},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {177--196},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_11},
  doi          = {10.1007/978-0-387-74909-9\_11},
  timestamp    = {Tue, 22 Oct 2019 15:21:19 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/BeltrameSS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/BernasconiCC06a,
  author       = {Anna Bernasconi and
                  Valentina Ciriani and
                  Roberto Cordone},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Logic Synthesis of {EXOR} Projected Sum of Products},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {241--257},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_14},
  doi          = {10.1007/978-0-387-74909-9\_14},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/BernasconiCC06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/Bockelmann06a,
  author       = {Ulrich Bockelmann},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Electronic Detection of {DNA} Adsorption and Hybridization},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {55--67},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_4},
  doi          = {10.1007/978-0-387-74909-9\_4},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/Bockelmann06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/Borkar06a,
  author       = {Shekhar Borkar},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Probabilistic amp; Statistical Design - the Wave of the Future},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {69--79},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_5},
  doi          = {10.1007/978-0-387-74909-9\_5},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/Borkar06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/BounceurMRS06a,
  author       = {Ahc{\`{e}}ne Bounceur and
                  Salvador Mir and
                  Lu{\'{\i}}s Rol{\'{\i}}ndez and
                  Emmanuel Simeu},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {{CAT} Platform for Analogue and Mixed-Signal Test Evaluation and Optimization},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {281--300},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_16},
  doi          = {10.1007/978-0-387-74909-9\_16},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/BounceurMRS06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/BratemanXL06a,
  author       = {Jeff Brateman and
                  Changjiu Xian and
                  Yung{-}Hsiang Lu},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Frequency and Speed Setting for Energy Conservation in Autonomous
                  Mobile Robots},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {197--216},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_12},
  doi          = {10.1007/978-0-387-74909-9\_12},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/BratemanXL06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/ChakrapaniGMAP06,
  author       = {Lakshmi N. Chakrapani and
                  Jason George and
                  Bo Marr and
                  Bilge Saglam Akgul and
                  Krishna V. Palem},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Probabilistic Design: {A} Survey of Probabilistic {CMOS} Technology
                  and Future Directions for Terascale {IC} Design},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {101--118},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_7},
  doi          = {10.1007/978-0-387-74909-9\_7},
  timestamp    = {Wed, 25 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/ChakrapaniGMAP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/DenizLV06a,
  author       = {Zeynep Toprak Deniz and
                  Yusuf Leblebici and
                  Eric A. Vittoz},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Configurable On-Line Global Energy Optimization in Multi-Core Embedded
                  Systems Using Principles of Analog Computation},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {217--240},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_13},
  doi          = {10.1007/978-0-387-74909-9\_13},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/DenizLV06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/GuiducciSBLRNCC06a,
  author       = {Carlotta Guiducci and
                  Claudio Stagni and
                  M. Brocchi and
                  Massimo Lanzoni and
                  Bruno Ricc{\`{o}} and
                  Augusto Nascetti and
                  Davide Caputo and
                  A. De Cesare},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Innovative Optoeletronic Approaches to Biomolecular Analysis with
                  Arrays of Silicon Devices},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {37--53},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_3},
  doi          = {10.1007/978-0-387-74909-9\_3},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/GuiducciSBLRNCC06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/HendersonRRC06a,
  author       = {Robert K. Henderson and
                  Bruce Rae and
                  David R. Renshaw and
                  Edoardo Charbon},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Oversampled Time Estimation Techniques for Precision Photonic Detectors},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {25--35},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_2},
  doi          = {10.1007/978-0-387-74909-9\_2},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/HendersonRRC06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/HentschkeSJR06a,
  author       = {Renato Fernandes Hentschke and
                  Sandro Sawicki and
                  Marcelo O. Johann and
                  Ricardo Reis},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {A Method for {I/O} Pins Partitioning Targeting 3D {VLSI} Circuits},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {259--279},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_15},
  doi          = {10.1007/978-0-387-74909-9\_15},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/HentschkeSJR06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/IwagakiOF06a,
  author       = {Tsuyoshi Iwagaki and
                  Satoshi Ohtake and
                  Hideo Fujiwara},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Broadside Transition Test Generation for Partial Scan Circuits through
                  Stuck-at Test Generation},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {301--316},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_17},
  doi          = {10.1007/978-0-387-74909-9\_17},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/IwagakiOF06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/JiangDY06a,
  author       = {Shan Jiang and
                  Manh Anh Do and
                  Kiat Seng Yeo},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {A {CMOS} Mixed-Mode Sample-and-Hold Circuit for Pipelined ADCs},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {81--99},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_6},
  doi          = {10.1007/978-0-387-74909-9\_6},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/JiangDY06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/KavusiGG06a,
  author       = {Sam Kavusi and
                  Kunal Ghosh and
                  Abbas El Gamal},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Architectures for High Dynamic Range, High Speed Image Sensor Readout
                  Circuits},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {1--23},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_1},
  doi          = {10.1007/978-0-387-74909-9\_1},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/KavusiGG06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MitraZSMK06a,
  author       = {Subhasish Mitra and
                  Ming Zhang and
                  Norbert Seifert and
                  T. M. Mak and
                  Kee Sup Kim},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Soft Error Resilient System Design through Error Correction},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {143--156},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_9},
  doi          = {10.1007/978-0-387-74909-9\_9},
  timestamp    = {Tue, 17 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/MitraZSMK06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MoonenBBBBGGHM06,
  author       = {Arno Moonen and
                  Chris Bartels and
                  Marco Bekooij and
                  Ren{\'{e}} van den Berg and
                  Harpreet Bhullar and
                  Kees Goossens and
                  Patrick Groeneveld and
                  Jos Huisken and
                  Jef L. van Meerbergen},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Comparison of an {\AE}thereal Network on Chip and Traditional Interconnects
                  - Two Case Studies},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {317--336},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_18},
  doi          = {10.1007/978-0-387-74909-9\_18},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MoonenBBBBGGHM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MuraliMAACBMR06a,
  author       = {Srinivasan Murali and
                  Paolo Meloni and
                  Federico Angiolini and
                  David Atienza and
                  Salvatore Carta and
                  Luca Benini and
                  Giovanni De Micheli and
                  Luigi Raffo},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Designing Routing and Message-Dependent Deadlock Free Networks on
                  Chips},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {337--355},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_19},
  doi          = {10.1007/978-0-387-74909-9\_19},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/MuraliMAACBMR06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/PapanikolaouWMCD06,
  author       = {Antonis Papanikolaou and
                  Hua Wang and
                  Miguel Miranda and
                  Francky Catthoor and
                  Wim Dehaene},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent
                  Variability and its Impact on Embedded System Design},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {119--141},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_8},
  doi          = {10.1007/978-0-387-74909-9\_8},
  timestamp    = {Wed, 04 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/PapanikolaouWMCD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/PendersGVRBNHRYFL06,
  author       = {Julien Penders and
                  Bert Gyselinckx and
                  Ruud J. M. Vullers and
                  Olivier Rousseaux and
                  Mladen Berekovic and
                  Michael De Nil and
                  Chris Van Hoof and
                  Julien Ryckaert and
                  Refet Firat Yazicioglu and
                  Paolo Fiorini and
                  Vladimir Leonov},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Human++: Emerging Technology for Body Area Networks},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {377--397},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_21},
  doi          = {10.1007/978-0-387-74909-9\_21},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/PendersGVRBNHRYFL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/SilvaZPS06a,
  author       = {Lu{\'{\i}}s Guerra e Silva and
                  Zhenhai Zhu and
                  Joel R. Phillips and
                  L. Miguel Silveira},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Library Compatible Variational Delay Computation},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {157--176},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_10},
  doi          = {10.1007/978-0-387-74909-9\_10},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/SilvaZPS06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/TaniguchiSUTI06,
  author       = {Ittetsu Taniguchi and
                  Keishi Sakanushi and
                  Kyoko Ueda and
                  Yoshinori Takeuchi and
                  Masaharu Imai},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Dynamic Reconfigurable Architecture Exploration based on Parameterized
                  Reconfigurable Processor Model},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {357--376},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_20},
  doi          = {10.1007/978-0-387-74909-9\_20},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/TaniguchiSUTI06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}