Search dblp for Publications

export results for "toc:db/conf/sbcci/sbcci2016.bht:"

 download as .bib file

@inproceedings{DBLP:conf/sbcci/AlbuquerqueFSBC16,
  author       = {Erika S. Albuquerque and
                  Antonyus Pyetro do Amaral Ferreira and
                  Joao G. M. Silva and
                  Jo{\~{a}}o Paulo Fernandes Barbosa and
                  Renato L. M. Carlos and
                  Djeefther S. Albuquerque and
                  Edna Natividade da Silva Barros},
  title        = {An FPGA-based accelerator for multiple real-time template matching},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724071},
  doi          = {10.1109/SBCCI.2016.7724071},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlbuquerqueFSBC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmayaGR16,
  author       = {Andres Amaya and
                  H{\'{e}}ctor G{\'{o}}mez and
                  Elkim Roa},
  title        = {A digital offset correction method for high speed analog front-ends},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724077},
  doi          = {10.1109/SBCCI.2016.7724077},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmayaGR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Anacona-Mosquera16,
  author       = {Oscar Anacona{-}Mosquera and
                  Janier Arias{-}Garcia and
                  Daniel M. Mu{\~{n}}oz Arboleda and
                  Carlos H. Llanos},
  title        = {Efficient hardware implementation of the Richardson-Lucy Algorithm
                  for restoring motion-blurred image on reconfigurable digital system},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724056},
  doi          = {10.1109/SBCCI.2016.7724056},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Anacona-Mosquera16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BisiauxLKBS16,
  author       = {Pierre Bisiaux and
                  Caroline Lelandais{-}Perrault and
                  Anthony Kolar and
                  Philippe B{\'{e}}nab{\`{e}}s and
                  Filipe Vinci dos Santos},
  title        = {A new two-step {\(\Sigma\)}{\(\Delta\)} architecture column-parallel
                  {ADC} for {CMOS} image sensor},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724065},
  doi          = {10.1109/SBCCI.2016.7724065},
  timestamp    = {Fri, 18 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BisiauxLKBS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolonFGBCHM16,
  author       = {Felipe Todeschini Bortolon and
                  Sergio Johann Filho and
                  Matheus Gibiluka and
                  Sergio Bampi and
                  Ney Laert Vilar Calazans and
                  Fabiano Passuelo Hessel and
                  Matheus Trevisan Moreira},
  title        = {Design and analysis of the {HF-RISC} processor targeting voltage scaling
                  applications},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724047},
  doi          = {10.1109/SBCCI.2016.7724047},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolonFGBCHM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BrumW16,
  author       = {Raphael Martins Brum and
                  Gilson I. Wirth},
  title        = {MagPDK: An open-source process design kit for circuit design with
                  magnetic tunnel junctions},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724055},
  doi          = {10.1109/SBCCI.2016.7724055},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BrumW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CastilhosMO16,
  author       = {Guilherme M. Castilhos and
                  Fernando Gehm Moraes and
                  Luciano Ost},
  title        = {A lightweight software-based runtime temperature monitoring model
                  for multiprocessor embedded systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724040},
  doi          = {10.1109/SBCCI.2016.7724040},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CastilhosMO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CataldoKFMM16,
  author       = {Rodrigo Cataldo and
                  Guilherme Korol and
                  Ramon Fernandes and
                  Debora Matos and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Architectural exploration of Last-Level Caches targeting homogeneous
                  multicore systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724050},
  doi          = {10.1109/SBCCI.2016.7724050},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CataldoKFMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CordovaOTKBF16,
  author       = {David Cordova and
                  Arthur Campos de Oliveira and
                  Pedro Toledo and
                  Hamilton Klimach and
                  Sergio Bampi and
                  Eric E. Fabris},
  title        = {A 0.3 V, high-PSRR, picowatt NMOS-only voltage reference using zero-VT
                  active loads},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724045},
  doi          = {10.1109/SBCCI.2016.7724045},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CordovaOTKBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaKB16,
  author       = {Arthur Liraneto Torres Costa and
                  Hamilton Klimach and
                  Sergio Bampi},
  title        = {A 450 mV supply self-biased wideband inductorless balun {LNA} for
                  sub-GHz applications},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724068},
  doi          = {10.1109/SBCCI.2016.7724068},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaKB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DevalR16,
  author       = {Yann Deval and
                  Francois Rivet},
  title        = {A balanced logic routing block for Factorial-DLL based Frequency Generation},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724061},
  doi          = {10.1109/SBCCI.2016.7724061},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DevalR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FernandesMCSSS16,
  author       = {Ramon Fernandes and
                  C{\'{e}}sar A. M. Marcon and
                  Rodrigo Cataldo and
                  Jarbas Silveira and
                  Georg Sigl and
                  Martha Johanna Sep{\'{u}}lveda},
  title        = {A security aware routing approach for NoC-based MPSoCs},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724054},
  doi          = {10.1109/SBCCI.2016.7724054},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FernandesMCSSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraSAFBLM16,
  author       = {Antonyus Pyetro do Amaral Ferreira and
                  Joao G. M. Silva and
                  Jefferson R. L. Anjos and
                  Luiz H. A. Figueiroa and
                  Edna Natividade da Silva Barros and
                  Manoel Eus{\'{e}}bio de Lima and
                  Victor Wanderley Costa de Medeiros},
  title        = {A hardware accelerator for the alignment of multiple {DNA} sequences},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724069},
  doi          = {10.1109/SBCCI.2016.7724069},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraSAFBLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GibilukaMNC16,
  author       = {Matheus Gibiluka and
                  Matheus Trevisan Moreira and
                  Walter Lau Neto and
                  Ney Laert Vilar Calazans},
  title        = {A standard cell characterization flow for non-standard voltage supplies},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724046},
  doi          = {10.1109/SBCCI.2016.7724046},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GibilukaMNC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuimaraesT16,
  author       = {Mario Vinicius Guimaraes and
                  Frank Sill Torres},
  title        = {Automatic layout integration of Bulk Built-In Current Sensors for
                  detection of soft errors},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724073},
  doi          = {10.1109/SBCCI.2016.7724073},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuimaraesT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JaimesS16,
  author       = {Arturo Fajardo Jaimes and
                  Fernando Rangel de Sousa},
  title        = {Modeling and design of high-efficiency power amplifiers fed by limited
                  power sources},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724042},
  doi          = {10.1109/SBCCI.2016.7724042},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JaimesS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JaimesS16a,
  author       = {Arturo Fajardo Jaimes and
                  Fernando Rangel de Sousa},
  title        = {Integrated {CMOS} class-E power amplifier for self-sustaining wireless
                  power transfer system},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724043},
  doi          = {10.1109/SBCCI.2016.7724043},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JaimesS16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LussariOFV16,
  author       = {Eduardo Lussari and
                  Duarte Lopes de Oliveira and
                  Lester de Abreu Faria and
                  Orlando Verducci Jr.},
  title        = {Software-Defined Radio design based on {GALS} architecture for FPGAs},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724076},
  doi          = {10.1109/SBCCI.2016.7724076},
  timestamp    = {Thu, 14 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LussariOFV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MagalhaesHLN16,
  author       = {Felipe Gohring de Magalhaes and
                  Fabiano Hessel and
                  Odile Liboiron{-}Ladouceur and
                  Gabriela Nicolescu},
  title        = {Cluster-based architecture relying on Optical Integrated Networks
                  with the provision of a low-latency arbiter},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724053},
  doi          = {10.1109/SBCCI.2016.7724053},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MagalhaesHLN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquesB16,
  author       = {Ademir Marques Jr. and
                  Alexandro Baldassin},
  title        = {Energy-aware scheduling in transactional memory systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724062},
  doi          = {10.1109/SBCCI.2016.7724062},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquesB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MeloSMAZRP16,
  author       = {Mateus Melo and
                  Gustavo H. Smaniotto and
                  Henrique Maich and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Leomar Rosa and
                  Marcelo Schiavon Porto},
  title        = {A parallel Motion Estimation solution for heterogeneous System on
                  Chip},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724063},
  doi          = {10.1109/SBCCI.2016.7724063},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MeloSMAZRP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NettoLGSG16,
  author       = {Renan Netto and
                  Vinicius S. Livramento and
                  Chrystian Guth and
                  Luiz C. V. dos Santos and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {Evaluating the impact of circuit legalization on incremental optimization
                  techniques},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724041},
  doi          = {10.1109/SBCCI.2016.7724041},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NettoLGSG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraASGS16,
  author       = {Mateus S. Oliveira and
                  Paulo C{\'{e}}sar Comassetto de Aguirre and
                  Lucas C. Severo and
                  Alessandro Girardi and
                  Altamiro Amadeu Susin},
  title        = {A digitally tunable 4th-order Gm-C low-pass filter for multi-standards
                  receivers},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724064},
  doi          = {10.1109/SBCCI.2016.7724064},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraASGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraLGBP16,
  author       = {Fernanda D. V. R. Oliveira and
                  Tiago M. de F. Lopes and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Fernando Antonio Pinto Bar{\'{u}}qui and
                  Antonio Petraglia},
  title        = {Focal-plane image encoder with cascode current mirrors and increased
                  vector quantization bit rate},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724059},
  doi          = {10.1109/SBCCI.2016.7724059},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraLGBP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveraP16,
  author       = {Fabian Olivera and
                  Antonio Petraglia},
  title        = {Analytic boundaries for 6T-SRAM design in standby mode},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724074},
  doi          = {10.1109/SBCCI.2016.7724074},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveraP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PetitprezCHCTJS16,
  author       = {E. Petitprez and
                  Dalton M. Colombo and
                  Felipe M. Henes and
                  Laurent Courcelle and
                  R. Tararam and
                  S. Jacobsen and
                  R. Soares and
                  C. Krug and
                  Marcelo Lubaszewski},
  title        = {Successful prototyping of complex integrated circuits with focused
                  ion beam},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724072},
  doi          = {10.1109/SBCCI.2016.7724072},
  timestamp    = {Mon, 12 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PetitprezCHCTJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PiovezanCS16,
  author       = {Felipe Piovezan and
                  Tarcisio E. M. Crocomo and
                  Luiz C. V. dos Santos},
  title        = {Cache sizing for low-energy Elliptic Curve Cryptography},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724052},
  doi          = {10.1109/SBCCI.2016.7724052},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PiovezanCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PonchetBFPTFS16,
  author       = {Andr{\'{e}} F. Ponchet and
                  Ezio M. Bastida and
                  Celio Finardi and
                  Roberto R. Panepucci and
                  Stefan Tenenbaum and
                  Saulo Finco and
                  Jacobus W. Swart},
  title        = {A design methodology for low-noise {CMOS} transimpedance amplifiers
                  based on shunt-shunt feedback topology},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724067},
  doi          = {10.1109/SBCCI.2016.7724067},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PonchetBFPTFS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamosGZPB16,
  author       = {F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and
                  Jones Goebel and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  title        = {Low-power hardware design for the {HEVC} Binary Arithmetic Encoder
                  targeting 8K videos},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724044},
  doi          = {10.1109/SBCCI.2016.7724044},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamosGZPB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReinbrechtSBSS16,
  author       = {Cezar Reinbrecht and
                  Altamiro Amadeu Susin and
                  Lilian Bossuet and
                  Georg Sigl and
                  Martha Johanna Sep{\'{u}}lveda},
  title        = {Side channel attack on NoC-based MPSoCs are practical: NoC Prime+Probe
                  attack},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724051},
  doi          = {10.1109/SBCCI.2016.7724051},
  timestamp    = {Wed, 09 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReinbrechtSBSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RivetFMGD16,
  author       = {Francois Rivet and
                  Elina Fiawoo and
                  Richard Montigny and
                  Patrick Garrec and
                  Yann Deval},
  title        = {An ultra wide band analog-to-digital converter based on a Delta-Riemann
                  architecture},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724060},
  doi          = {10.1109/SBCCI.2016.7724060},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RivetFMGD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezAM16,
  author       = {Gustavo Sanchez and
                  Luciano Volcan Agostini and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Energy-aware light-weight {DMM-1} patterns decoders with efficiently
                  storage in 3D-HEVC},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724038},
  doi          = {10.1109/SBCCI.2016.7724038},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SchivittzFFNMB16,
  author       = {Rafael B. Schivittz and
                  Rafael Fritz and
                  Denis Teixeira Franco and
                  Lirida A. B. Naviner and
                  Cristina Meinhardt and
                  Paulo F. Butzen},
  title        = {Inserting permanent fault input dependence on {PTM} to improve robustness
                  evaluation},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724070},
  doi          = {10.1109/SBCCI.2016.7724070},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SchivittzFFNMB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Schreiber-Prillwitz16,
  author       = {Wolfgang Schreiber{-}Prillwitz and
                  Reinhart Job},
  title        = {Development process for {MEMS} pressure sensors for standarized {CMOS}
                  read-out circuitry},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724037},
  doi          = {10.1109/SBCCI.2016.7724037},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Schreiber-Prillwitz16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SimaticCBF16,
  author       = {Jean Simatic and
                  Abdelkarim Cherkaoui and
                  Rodrigo Possamai Bastos and
                  Laurent Fesquet},
  title        = {New asynchronous protocols for enhancing area and throughput in bundled-data
                  pipelines},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724066},
  doi          = {10.1109/SBCCI.2016.7724066},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SimaticCBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SimbelieLMPQRC16,
  author       = {Frederique Simbelie and
                  Sylvain Laurent and
                  Pierre Medrel and
                  Michel Prigent and
                  Raymond Qu{\'{e}}r{\'{e}} and
                  Myrianne Regis and
                  Yann Creveuil},
  title        = {Characterization and nonlinear modeling of MASMOS\({}^{\mbox{{\textregistered}}}\)
                  transistor in order to design power amplifiers for {LTE} applications},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724058},
  doi          = {10.1109/SBCCI.2016.7724058},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SimbelieLMPQRC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresDCB16,
  author       = {Leonardo Bandeira Soares and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi},
  title        = {A novel pruned-based algorithm for energy-efficient {SATD} operation
                  in the {HEVC} coding},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724049},
  doi          = {10.1109/SBCCI.2016.7724049},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresDCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ToledoTCKBF16,
  author       = {Pedro Toledo and
                  Rene Timbo and
                  David Cordova and
                  Hamilton Klimach and
                  Sergio Bampi and
                  Eric E. Fabris},
  title        = {A 0.7V Fully Differential First Order {GZTC-C} filter},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724075},
  doi          = {10.1109/SBCCI.2016.7724075},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ToledoTCKBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TrindadeFNSN16,
  author       = {Alyson Trindade and
                  Ricardo S. Ferreira and
                  Jos{\'{e}} Augusto Miranda Nacif and
                  Douglas Sales and
                  Omar P. Vilela Neto},
  title        = {A Placement and routing algorithm for Quantum-dot Cellular Automata},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724048},
  doi          = {10.1109/SBCCI.2016.7724048},
  timestamp    = {Fri, 04 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TrindadeFNSN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YamauchiS16,
  author       = {Hiroyuki Yamauchi and
                  Worawit Somha},
  title        = {A mutual rectification-interference avoidance technique with cascade
                  filters for both downward-direction tailed-RDF deconvolution},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724039},
  doi          = {10.1109/SBCCI.2016.7724039},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/YamauchiS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2016,
  title        = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7593174/proceeding},
  isbn         = {978-1-5090-2736-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}