Search dblp for Publications

export results for "toc:db/conf/reconfig/reconfig2012.bht:"

 download as .bib file

@inproceedings{DBLP:conf/reconfig/AasaraaiM12,
  author       = {Kaveh Aasaraai and
                  Andreas Moshovos},
  title        = {{SPREX:} {A} soft processor with Runahead execution},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416786},
  doi          = {10.1109/RECONFIG.2012.6416786},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/AasaraaiM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/AbdellatifCM12,
  author       = {Karim M. Abdellatif and
                  Roselyne Chotin{-}Avot and
                  Habib Mehrez},
  title        = {Efficient parallel-pipelined {GHASH} for message authentication},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416742},
  doi          = {10.1109/RECONFIG.2012.6416742},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/AbdellatifCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/AbramsonD12,
  author       = {Jeremy Abramson and
                  Pedro C. Diniz},
  title        = {Resiliency-aware Scheduling for reconfigurable {VLIW} processors},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416784},
  doi          = {10.1109/RECONFIG.2012.6416784},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/AbramsonD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/AlachiotisBS12,
  author       = {Nikolaos Alachiotis and
                  Simon A. Berger and
                  Alexandros Stamatakis},
  title        = {A versatile {UDP/IP} based {PC} {\(\leftrightarrow\)} {FPGA} communication
                  platform},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416725},
  doi          = {10.1109/RECONFIG.2012.6416725},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/AlachiotisBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/AlamZS12,
  author       = {Ashraful Alam and
                  Zain{-}ul{-}Abdin and
                  Bertil Svensson},
  title        = {Parallelization of the estimation algorithm of the 3D structure tensor},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416771},
  doi          = {10.1109/RECONFIG.2012.6416771},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/AlamZS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/AlnajiarHOM12,
  author       = {Dawood Alnajiar and
                  Masanori Hashimoto and
                  Takao Onoye and
                  Yukio Mitsuyama},
  title        = {Static voltage over-scaling and dynamic voltage variation tolerance
                  with replica circuits and time redundancy in reconfigurable devices},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416787},
  doi          = {10.1109/RECONFIG.2012.6416787},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/AlnajiarHOM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/AndradeGRE12,
  author       = {Hugo A. Andrade and
                  Arkadeb Ghosal and
                  Kaushik Ravindran and
                  Brian L. Evans},
  title        = {A methodology for the design and deployment of reliable systems on
                  heterogeneous platforms},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416722},
  doi          = {10.1109/RECONFIG.2012.6416722},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/AndradeGRE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Barron-ZambranoTR12,
  author       = {Jose Hugo Barron{-}Zambrano and
                  C{\'{e}}sar Torres{-}Huitzil and
                  Horacio Rostro{-}Gonz{\'{a}}lez},
  title        = {Versatile FPGA-based locomotion platform for legged robots},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6417035},
  doi          = {10.1109/RECONFIG.2012.6417035},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/Barron-ZambranoTR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/BirkBRB12,
  author       = {Matthias Birk and
                  Matthias Norbert Balzer and
                  Nicole V. Ruiter and
                  J{\"{u}}rgen Becker},
  title        = {Comparison of processing performance and architectural efficiency
                  metrics for FPGAs and GPUs in 3D Ultrasound Computer Tomography},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416735},
  doi          = {10.1109/RECONFIG.2012.6416735},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/BirkBRB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/BirklykkeMAP12,
  author       = {Alex A. Birklykke and
                  Yannick Le Moullec and
                  Lars K. Alminde and
                  Ramjee Prasad},
  title        = {An automated test framework for experimenting with stochastic behavior
                  in reconfigurable logic},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416729},
  doi          = {10.1109/RECONFIG.2012.6416729},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/BirklykkeMAP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/BogdanovKTY12,
  author       = {Andrey Bogdanov and
                  Elif Bilge Kavun and
                  Elmar Tischhauser and
                  Tolga Yal{\c{c}}in},
  title        = {Efficient reconfigurable hardware architecture for accurately computing
                  success probability and data complexity of linear attacks},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416744},
  doi          = {10.1109/RECONFIG.2012.6416744},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/BogdanovKTY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/BogdanovMY12,
  author       = {Andrey Bogdanov and
                  Amir Moradi and
                  Tolga Yal{\c{c}}in},
  title        = {Efficient and side-channel resistant authenticated encryption of {FPGA}
                  bitstreams},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416743},
  doi          = {10.1109/RECONFIG.2012.6416743},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/BogdanovMY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/BonamyCBS12,
  author       = {Robin Bonamy and
                  Daniel Chillet and
                  S{\'{e}}bastien Bilavarn and
                  Olivier Sentieys},
  title        = {Power consumption model for partial and dynamic reconfiguration},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416772},
  doi          = {10.1109/RECONFIG.2012.6416772},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/BonamyCBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Castells-RufasVC12,
  author       = {David Castells{-}Rufas and
                  Oscar Vila{-}Closas and
                  Jordi Carrabina},
  title        = {Design of a multi-soft-core based Laser Marking controller},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416737},
  doi          = {10.1109/RECONFIG.2012.6416737},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/Castells-RufasVC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/DaigneaultD12,
  author       = {Marc{-}Andr{\'{e}} Daigneault and
                  Jean{-}Pierre David},
  title        = {Synchronized-transfer-level design methodology applied to hardware
                  matrix multiplication},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416789},
  doi          = {10.1109/RECONFIG.2012.6416789},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/DaigneaultD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/DardaillonLR12,
  author       = {Micka{\"{e}}l Dardaillon and
                  C{\'{e}}dric Lauradoux and
                  Tanguy Risset},
  title        = {Hardware implementation of the {GPS} authentication},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416754},
  doi          = {10.1109/RECONFIG.2012.6416754},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/DardaillonLR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/DataG12,
  author       = {Andy Caley Data and
                  Kent Gilson},
  title        = {Isolation of behavior design from system implementation},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416758},
  doi          = {10.1109/RECONFIG.2012.6416758},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/DataG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/DriessenGKMPP12,
  author       = {Benedikt Driessen and
                  Tim G{\"{u}}neysu and
                  Elif Bilge Kavun and
                  Oliver Mischke and
                  Christof Paar and
                  Thomas P{\"{o}}ppelmann},
  title        = {IPSecco: {A} lightweight and reconfigurable IPSec core},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416757},
  doi          = {10.1109/RECONFIG.2012.6416757},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/DriessenGKMPP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/ElarabyO12,
  author       = {Nashwa Elaraby and
                  Iyad Obeid},
  title        = {A model design of a 2560-channel neural spike detection platform},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416762},
  doi          = {10.1109/RECONFIG.2012.6416762},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/ElarabyO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/ErdemCL12,
  author       = {Oguzhan Erdem and
                  Aydin Carus and
                  Hoang Le},
  title        = {Compact trie forest: Scalable architecture for {IP} lookup on FPGAs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416734},
  doi          = {10.1109/RECONFIG.2012.6416734},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/ErdemCL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/FrangiehA12,
  author       = {Tannous Frangieh and
                  Peter Athanas},
  title        = {A design assembly framework for {FPGA} back-end acceleration},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416718},
  doi          = {10.1109/RECONFIG.2012.6416718},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/FrangiehA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/GantelBLV12,
  author       = {Laurent Gantel and
                  Mohamed El Amine Benkhelifa and
                  Fabrice Lemonnier and
                  Fran{\c{c}}ois Verdier},
  title        = {Module relocation in Heterogeneous Reconfigurable Systems-on-Chip
                  using the Xilinx Isolation Design Flow},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416763},
  doi          = {10.1109/RECONFIG.2012.6416763},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/GantelBLV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/GarnicaLLAH12,
  author       = {Jaime J. Garnica and
                  Sergio L{\'{o}}pez{-}Buedo and
                  V{\'{\i}}ctor L{\'{o}}pez and
                  Javier Aracil and
                  Jos{\'{e}} Mar{\'{\i}}a G{\'{o}}mez Hidalgo},
  title        = {A FPGA-based scalable architecture for {URL} legal filtering in 100GbE
                  networks},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416719},
  doi          = {10.1109/RECONFIG.2012.6416719},
  timestamp    = {Fri, 08 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/GarnicaLLAH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/GasparFGJ12,
  author       = {Lubos Gaspar and
                  Viktor Fischer and
                  Tim G{\"{u}}neysu and
                  Zouha Cherif Jouini},
  title        = {Two {IP} protection schemes for multi-FPGA systems},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416790},
  doi          = {10.1109/RECONFIG.2012.6416790},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/GasparFGJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/GilCLGB12,
  author       = {A. D. Santana Gil and
                  Manuel Hernandez Calvi{\~{n}}o and
                  Francisco Javier Quiles{-}Latorre and
                  Ezequiel Herruzo Gomez and
                  Jos{\'{e}} Ignacio Benavides Ben{\'{\i}}tez},
  title        = {Optimizing the physical implementation of a reconfigurable cache},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416768},
  doi          = {10.1109/RECONFIG.2012.6416768},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/GilCLGB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Gimmler-DumontSW12,
  author       = {Christina Gimmler{-}Dumont and
                  Philipp Schl{\"{a}}fer and
                  Norbert Wehn},
  title        = {FPGA-based rapid prototyping platform for {MIMO-BICM} design space
                  exploration},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416748},
  doi          = {10.1109/RECONFIG.2012.6416748},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/Gimmler-DumontSW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/GriillKHK12,
  author       = {Frederik Gr{\"{u}}ll and
                  Michael Kunz and
                  Michael Hausmann and
                  Udo Kebschull},
  title        = {An implementation of 3D Electron Tomography on FPGAs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416732},
  doi          = {10.1109/RECONFIG.2012.6416732},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/GriillKHK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/HappeHAP12,
  author       = {Markus Happe and
                  Hendrik Hangmann and
                  Andreas Agne and
                  Christian Plessl},
  title        = {Eight ways to put your {FPGA} on fire - {A} systematic study of heat
                  generators},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416745},
  doi          = {10.1109/RECONFIG.2012.6416745},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/HappeHAP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/HariaGP12,
  author       = {Swapnil Haria and
                  Thilan Ganegedara and
                  Viktor K. Prasanna},
  title        = {Power-efficient and scalable virtual router architecture on {FPGA}},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416793},
  doi          = {10.1109/RECONFIG.2012.6416793},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/HariaGP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/HeOTR12,
  author       = {Wei He and
                  Andr{\'{e}}s Otero and
                  Eduardo de la Torre and
                  Teresa Riesgo},
  title        = {Automatic generation of identical routing pairs for {FPGA} implemented
                  {DPL} logic},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416733},
  doi          = {10.1109/RECONFIG.2012.6416733},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/HeOTR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/IsaBC12,
  author       = {Mohd Nazrin Md. Isa and
                  Khaled Benkrid and
                  Thomas Clayton},
  title        = {A novel efficient {FPGA} architecture for {HMMER} acceleration},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416723},
  doi          = {10.1109/RECONFIG.2012.6416723},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/IsaBC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Jain-MendonS12,
  author       = {Shweta Jain{-}Mendon and
                  Ron Sass},
  title        = {A case study of streaming storage format for sparse matrices},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416788},
  doi          = {10.1109/RECONFIG.2012.6416788},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/Jain-MendonS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/KaradenizMGG12,
  author       = {Turhan Karadeniz and
                  Lotfi Mhamdi and
                  Kees Goossens and
                  J. J. Garcia{-}Luna{-}Aceves},
  title        = {Hardware design and implementation of a Network-on-Chip based load
                  balancing switch fabric},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416753},
  doi          = {10.1109/RECONFIG.2012.6416753},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/KaradenizMGG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/KenterSP12,
  author       = {Tobias Kenter and
                  Henning Schmitz and
                  Christian Plessl},
  title        = {Pragma based parallelization - Trading hardware efficiency for ease
                  of use?},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416773},
  doi          = {10.1109/RECONFIG.2012.6416773},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/KenterSP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/KliemV12,
  author       = {Daniel Kliem and
                  Sven{-}Ole Voigt},
  title        = {A multi-core FPGA-based SoC architecture with domain segregation},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416764},
  doi          = {10.1109/RECONFIG.2012.6416764},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/KliemV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/KretzschmarALGS12,
  author       = {Uli Kretzschmar and
                  Armando Astarloa and
                  Jes{\'{u}}s L{\'{a}}zaro and
                  Mikel Garay and
                  Javier Del Ser},
  title        = {Robustness of different {TMR} granularities in shared wishbone architectures
                  on {SRAM} {FPGA}},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416785},
  doi          = {10.1109/RECONFIG.2012.6416785},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/KretzschmarALGS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/LapotreGDHB12,
  author       = {Vianney Lapotre and
                  Guy Gogniat and
                  Jean{-}Philippe Diguet and
                  Salim Haddad and
                  Amer Baghdadi},
  title        = {An analytical approach for sizing of heterogeneous multiprocessor
                  flexible platforms for iterative demapping and channel decoding},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416728},
  doi          = {10.1109/RECONFIG.2012.6416728},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/LapotreGDHB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/LifaEP12,
  author       = {Adrian Alin Lifa and
                  Petru Eles and
                  Zebo Peng},
  title        = {Minimization of average execution time based on speculative {FPGA}
                  configuration prefetch},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416761},
  doi          = {10.1109/RECONFIG.2012.6416761},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/LifaEP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/LiuBFGT12,
  author       = {Ling Liu and
                  Jeremia B{\"{a}}r and
                  Felix Friedrich and
                  J{\"{u}}rg Gutknecht and
                  Shiao{-}Li Tsao},
  title        = {A low power configurable SoC for simulating delay-based audio effects},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416759},
  doi          = {10.1109/RECONFIG.2012.6416759},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/LiuBFGT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/LozanoPG12,
  author       = {Pedro Cervantes Lozano and
                  Luis Fernando Gonz{\'{a}}lez P{\'{e}}rez and
                  Andr{\'{e}}s David Garc{\'{\i}}a Garc{\'{\i}}a},
  title        = {A {VLSI} architecture for the K-best Sphere-Decoder in {MIMO} systems},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416791},
  doi          = {10.1109/RECONFIG.2012.6416791},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/LozanoPG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/MaHA12,
  author       = {Sen Ma and
                  Miaoqing Huang and
                  David Andrews},
  title        = {Developing application-specific multiprocessor platforms on FPGAs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416739},
  doi          = {10.1109/RECONFIG.2012.6416739},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/MaHA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Martin12,
  author       = {Grant Martin},
  title        = {Keynote 1 - The once and future {FPGA:} The confluence of configurable
                  processing and reconfigurable technology},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416775},
  doi          = {10.1109/RECONFIG.2012.6416775},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/Martin12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/MirianC12,
  author       = {Vincent Mirian and
                  Paul Chow},
  title        = {An implementation of a directory protocol for a cache coherent system
                  on FPGAs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416731},
  doi          = {10.1109/RECONFIG.2012.6416731},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/MirianC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Morales-VelazquezOR12,
  author       = {Luis Morales{-}Velazquez and
                  Roque Alfredo Osornio{-}Rios and
                  Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso},
  title        = {{FPGA} embedded single-cycle 16-bit microprocessor and tools},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416749},
  doi          = {10.1109/RECONFIG.2012.6416749},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/Morales-VelazquezOR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/NaneSB12,
  author       = {Razvan Nane and
                  Vlad Mihai Sima and
                  Koen Bertels},
  title        = {A lightweight speculative and predicative scheme for hardware execution},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416721},
  doi          = {10.1109/RECONFIG.2012.6416721},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/NaneSB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/NishitaniIAIKS12,
  author       = {Yuki Nishitani and
                  Kazuki Inoue and
                  Motoki Amagasaki and
                  Masahiro Iida and
                  Morihiro Kuga and
                  Toshinori Sueyoshi},
  title        = {A novel physical defects recovery technique for {FPGA-IP} cores},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416766},
  doi          = {10.1109/RECONFIG.2012.6416766},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/NishitaniIAIKS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Ochoa-RuizLBCMD12,
  author       = {Gilberto Ochoa{-}Ruiz and
                  Ouassila Labbani{-}Narsis and
                  El{-}Bay Bourennane and
                  Sana Cherif and
                  Samy Meftali and
                  Jean{-}Luc Dekeyser},
  title        = {Facilitating {IP} deployment in a MARTE-based {MDE} methodology using
                  {IP-XACT:} {A} Xilinx {EDK} case study},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416751},
  doi          = {10.1109/RECONFIG.2012.6416751},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/Ochoa-RuizLBCMD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/OteroTR12,
  author       = {Andr{\'{e}}s Otero and
                  Eduardo de la Torre and
                  Teresa Riesgo},
  title        = {Dreams: {A} tool for the design of dynamically reconfigurable embedded
                  and modular systems},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416740},
  doi          = {10.1109/RECONFIG.2012.6416740},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/OteroTR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Pham-QuocAB12,
  author       = {Cuong Pham{-}Quoc and
                  Zaid Al{-}Ars and
                  Koen Bertels},
  title        = {A heuristic-based communication-aware hardware optimization approach
                  in heterogeneous multicore systems},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416720},
  doi          = {10.1109/RECONFIG.2012.6416720},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/Pham-QuocAB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/PohlSKPWP12,
  author       = {Matthias Pohl and
                  Michael Schaeferling and
                  Gundolf Kiefer and
                  Plamen Petrow and
                  Egmont Woitzel and
                  Frank Papenfuss},
  title        = {An efficient and scalable architecture for real-time distortion removal
                  and rectification of live camera images},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416730},
  doi          = {10.1109/RECONFIG.2012.6416730},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/PohlSKPWP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RakossyNC12,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Tejas Naphade and
                  Anupam Chattopadhyay},
  title        = {Design and analysis of layered coarse-grained reconfigurable architecture},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416736},
  doi          = {10.1109/RECONFIG.2012.6416736},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/RakossyNC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/ReichenbachSF12,
  author       = {Marc Reichenbach and
                  Ralf Seidler and
                  Dietmar Fey},
  title        = {Heterogeneous computer architectures: An image processing pipeline
                  for optical metrology},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416755},
  doi          = {10.1109/RECONFIG.2012.6416755},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/ReichenbachSF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RomothJHPR12,
  author       = {Johannes Romoth and
                  Dirk Jungewelter and
                  Jens Hagemeyer and
                  Mario Porrmann and
                  Ulrich R{\"{u}}ckert},
  title        = {Optimizing inter-FPGA communication by automatic channel adaptation},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416767},
  doi          = {10.1109/RECONFIG.2012.6416767},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/RomothJHPR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SantosAF12,
  author       = {Pedro Vieira dos Santos and
                  Jos{\'{e}} Carlos Alves and
                  Jo{\~{a}}o Canas Ferreira},
  title        = {A scalable array for Cellular Genetic Algorithms: {TSP} as case study},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416724},
  doi          = {10.1109/RECONFIG.2012.6416724},
  timestamp    = {Mon, 05 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/SantosAF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SantosNCAW12,
  author       = {Paulo C. Santos and
                  Gabriel L. Nazar and
                  Luigi Carro and
                  Fakhar Anjam and
                  Stephan Wong},
  title        = {Adapting communication for adaptable processors: {A} multi-axis reconfiguration
                  approach},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416726},
  doi          = {10.1109/RECONFIG.2012.6416726},
  timestamp    = {Wed, 31 Mar 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/SantosNCAW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SatoILN12,
  author       = {Yukinori Sato and
                  Yasushi Inoguchi and
                  Wayne Luk and
                  Tadao Nakamura},
  title        = {Evaluating reconfigurable dataflow computing using the Himeno benchmark},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416746},
  doi          = {10.1109/RECONFIG.2012.6416746},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/SatoILN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SchmidtF12,
  author       = {Michael Schmidt and
                  Dietmar Fey},
  title        = {Akers's wavefront planner - One of the fastest stencil-based path
                  planners on FPGAs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416727},
  doi          = {10.1109/RECONFIG.2012.6416727},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/SchmidtF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SharmaRS12,
  author       = {Rahul R. Sharma and
                  Yamuna Rajasekhar and
                  Ron Sass},
  title        = {Exploring hardware work queue support for lightweight threads in MPSoCs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416747},
  doi          = {10.1109/RECONFIG.2012.6416747},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/SharmaRS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SilvaCB12,
  author       = {Bruno de Abreu Silva and
                  Lucas Albers Cuminato and
                  Vanderlei Bonato},
  title        = {Reducing the overall cache miss rate using different cache sizes for
                  Heterogeneous Multi-core Processors},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416783},
  doi          = {10.1109/RECONFIG.2012.6416783},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/SilvaCB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/SilvaFVN12,
  author       = {Victor Silva and
                  Jorge R. Fernandes and
                  M{\'{a}}rio P. V{\'{e}}stias and
                  Hor{\'{a}}cio C. Neto},
  title        = {A High-Performance Reconfigurable Computing architecture using a magnetic
                  configuration memory},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416756},
  doi          = {10.1109/RECONFIG.2012.6416756},
  timestamp    = {Tue, 29 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/SilvaFVN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/Sivertson12,
  author       = {Eric Sivertson},
  title        = {Keynote 2 - "Reconfigurable Computing and Trust: Foundational technologies
                  to enable trusted reconfigurable platforms"},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416776},
  doi          = {10.1109/RECONFIG.2012.6416776},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/Sivertson12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/TongYP12,
  author       = {Da Tong and
                  Yi{-}Hua E. Yang and
                  Viktor K. Prasanna},
  title        = {A memory efficient IPv6 lookup engine on {FPGA}},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416760},
  doi          = {10.1109/RECONFIG.2012.6416760},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/TongYP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/TradowskyCDHB12,
  author       = {Carsten Tradowsky and
                  Enrique Cordero and
                  Thorsten Deuser and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  title        = {Determination of on-chip temperature gradients on reconfigurable hardware},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416738},
  doi          = {10.1109/RECONFIG.2012.6416738},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/TradowskyCDHB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/TurkiMM12,
  author       = {Mariem Turki and
                  Habib Mehrez and
                  Zied Marrakchi},
  title        = {Multi-FPGA prototyping environment: Large benchmark generation and
                  signals routing},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416765},
  doi          = {10.1109/RECONFIG.2012.6416765},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/TurkiMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/UpeguiIC12,
  author       = {Andres Upegui and
                  Julien Izui and
                  Gilles Curchod},
  title        = {Fault mitigation by means of dynamic partial reconfiguration of Virtex-5
                  FPGAs},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416752},
  doi          = {10.1109/RECONFIG.2012.6416752},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/UpeguiIC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/ViswanathanNAND12,
  author       = {Venkatasubramanian Viswanathan and
                  Benjamin Nakache and
                  Rabie Ben Atitallah and
                  Maurice Nakache and
                  Jean{-}Luc Dekeyser},
  title        = {Dynamic reconfiguration of modular {I/O} {IP} cores for avionic applications},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416741},
  doi          = {10.1109/RECONFIG.2012.6416741},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/ViswanathanNAND12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/ZiermannBTZ12,
  author       = {Tobias Ziermann and
                  Alexander Butiu and
                  J{\"{u}}rgen Teich and
                  Daniel Ziener},
  title        = {FPGA-based testbed for timing behavior evaluation of the Controller
                  Area Network {(CAN)}},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416750},
  doi          = {10.1109/RECONFIG.2012.6416750},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/ZiermannBTZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/reconfig/2012,
  title        = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6410219/proceeding},
  isbn         = {978-1-4673-2919-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics