Search dblp for Publications

export results for "toc:db/conf/isscc/isscc2017.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isscc/0002LMKM17,
  author       = {Zhiyuan Chen and
                  Man{-}Kay Law and
                  Pui{-}In Mak and
                  Wing{-}Hung Ki and
                  Rui Paulo Martins},
  title        = {22.2 {A} 1.7mm\({}^{\mbox{2}}\) inductorless fully integrated flipping-capacitor
                  rectifier {(FCR)} for piezoelectric energy harvesting with 483{\%}
                  power-extraction enhancement},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {372--373},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870416},
  doi          = {10.1109/ISSCC.2017.7870416},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/0002LMKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/AfsarMBWSV17,
  author       = {Yasmin Afsar and
                  Tiffany Moy and
                  Nicholas Brady and
                  Sigurd Wagner and
                  James C. Sturm and
                  Naveen Verma},
  title        = {15.1 Large-scale acquisition of large-area sensors using an array
                  of frequency-hopping ZnO thin-film-transistor oscillators},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {256--257},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870358},
  doi          = {10.1109/ISSCC.2017.7870358},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/AfsarMBWSV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/AnHKYKHYJLJBHK17,
  author       = {Jae{-}Sung An and
                  Sang{-}Hyun Han and
                  Ju Eon Kim and
                  Dong{-}Hyun Yoon and
                  Young{-}Hwan Kim and
                  Han{-}Hee Hong and
                  Jae{-}Hun Ye and
                  Sung{-}Jin Jung and
                  Seung{-}Hwan Lee and
                  Ji{-}Yong Jeong and
                  Kwang{-}Hyun Baek and
                  Seong{-}Kwan Hong and
                  Oh{-}Kyong Kwon},
  title        = {9.6 {A} 3.9kHz-frame-rate capacitive touch system with pressure/tilt
                  angle expressions of active stylus using multiple-frequency driving
                  method for 65{\({''}\)} 104{\texttimes}64 touch screen panel},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {168--169},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870314},
  doi          = {10.1109/ISSCC.2017.7870314},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/AnHKYKHYJLJBHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/AndersenGMBHKLW17,
  author       = {Nikolaj Andersen and
                  Kristian Granhaug and
                  J{\o}rgen Andreas Michaelsen and
                  Sumit Bagga and
                  H{\aa}kon A. Hjortland and
                  Mats Risopatron Knutsen and
                  Tor Sverre Lande and
                  Dag T. Wisland},
  title        = {7.7 {A} 118mW 23.3GS/s dual-band 7.3GHz and 8.7GHz impulse-based direct
                  {RF} sampling radar SoC in 55nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {138--139},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870299},
  doi          = {10.1109/ISSCC.2017.7870299},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/AndersenGMBHKLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ArsovskiFHPBKRM17,
  author       = {Igor Arsovski and
                  Michael Fragano and
                  Robert M. Houle and
                  Akhilesh Patil and
                  Van Butler and
                  Raymond Kim and
                  Ramon Rodriguez and
                  Tom Maffitt and
                  Joseph J. Oler and
                  John Goss and
                  Christopher Parkinson and
                  Michael A. Ziegerhofer and
                  Steven Burns},
  title        = {12.4 1.4Gsearch/s 2Mb/mm\({}^{\mbox{2}}\) {TCAM} using two-phase-precharge
                  {ML} sensing and power-grid preconditioning to reduce Ldi/dt power-supply
                  noise by 50{\%}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {212--213},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870336},
  doi          = {10.1109/ISSCC.2017.7870336},
  timestamp    = {Mon, 28 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ArsovskiFHPBKRM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BachGSBSSW17,
  author       = {Elmar Bach and
                  Richard Gaggl and
                  Luca Sant and
                  Cesare Buffa and
                  Snezana Stojanovic and
                  Dietmar Straeussnigg and
                  Andreas Wiesbauer},
  title        = {9.5 {A} 1.8V true-differential 140dB {SPL} full-scale standard {CMOS}
                  {MEMS} digital microphone exhibiting 67dB {SNR}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {166--167},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870313},
  doi          = {10.1109/ISSCC.2017.7870313},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BachGSBSSW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Bahai17,
  author       = {Ahmad Bahai},
  title        = {1.2 Dynamics of exponentials in circuits and systems},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {14--20},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870241},
  doi          = {10.1109/ISSCC.2017.7870241},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Bahai17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BangWLGKDCFSDMK17,
  author       = {Suyoung Bang and
                  Jingcheng Wang and
                  Ziyun Li and
                  Cao Gao and
                  Yejoong Kim and
                  Qing Dong and
                  Yen{-}Po Chen and
                  Laura Fick and
                  Xun Sun and
                  Ronald G. Dreslinski and
                  Trevor N. Mudge and
                  Hun{-}Seok Kim and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {14.7 {A} 288{\(\mathrm{\mu}\)}W programmable deep-learning processor
                  with 270KB on-chip weight storage using non-uniform memory hierarchy
                  for mobile intelligence},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {250--251},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870355},
  doi          = {10.1109/ISSCC.2017.7870355},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/BangWLGKDCFSDMK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BhagavatulaKLBC17,
  author       = {Venumadhav Bhagavatula and
                  Dae Hyun Kwon and
                  Jaehun Lee and
                  Quang{-}Diep Bui and
                  Jeong{-}Hyun Choi and
                  Siuchuang{-}Ivan Lu and
                  Sang Won Son},
  title        = {13.3 {A} SAW-less reconfigurable multimode transmitter with a voltage-mode
                  harmonic-reject mixer in 14nm FinFET {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {220--221},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870340},
  doi          = {10.1109/ISSCC.2017.7870340},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BhagavatulaKLBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BhamraTHYI17,
  author       = {Hansraj Bhamra and
                  Jui{-}Wei Tsai and
                  Yu{-}Wen Huang and
                  Quan Yuan and
                  Pedro P. Irazoqui},
  title        = {21.3 {A} sub-mm\({}^{\mbox{3}}\) wireless implantable intraocular
                  pressure monitor microsystem},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {356--357},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870408},
  doi          = {10.1109/ISSCC.2017.7870408},
  timestamp    = {Sun, 11 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/BhamraTHYI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BhatZK17,
  author       = {Ritesh Bhat and
                  Jin Zhou and
                  Harish Krishnaswamy},
  title        = {13.10 {A} {\textgreater}1W 2.2GHz switched-capacitor digital power
                  amplifier with wideband mixed-domain multi-tap {FIR} filtering of
                  {OOB} noise floor},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {234--235},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870347},
  doi          = {10.1109/ISSCC.2017.7870347},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BhatZK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BongCKKKY17,
  author       = {Kyeongryeol Bong and
                  Sungpill Choi and
                  Changhyeon Kim and
                  Sanghoon Kang and
                  Youchang Kim and
                  Hoi{-}Jun Yoo},
  title        = {14.6 {A} 0.62mW ultra-low-power convolutional-neural-network face-recognition
                  processor and a {CIS} integrated with always-on haar-like face detector},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {248--249},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870354},
  doi          = {10.1109/ISSCC.2017.7870354},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BongCKKKY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BroxBBCDFGHHLIK17,
  author       = {Martin Brox and
                  Mani Balakrishnan and
                  Martin Broschwitz and
                  Cristian Chetreanu and
                  Stefan Dietrich and
                  Fabien Funfrock and
                  Marcos Alvarez Gonzalez and
                  Thomas Hein and
                  Eugen Huber and
                  Daniel Lauber and
                  Milena Ivanov and
                  Maksim Kuzmenka and
                  Chris Mohr and
                  Francisco Emiliano Munoz and
                  Juan Ocon Garrido and
                  Swetha Padaraju and
                  Sven Piatkowski and
                  Jan Pottgiesser and
                  Peter Pfefferl and
                  Manfred Plan and
                  Jens Polney and
                  Stefan Rau and
                  Michael Richter and
                  Ronny Schneider and
                  Ralf Oliver Seitter and
                  Wolfgang Spirkl and
                  Marc Walter and
                  J{\"{o}}rg Weller and
                  Filippo Vitale},
  title        = {23.1 An 8Gb 12Gb/s/pin {GDDR5X} {DRAM} for cost-effective high-performance
                  applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {388--389},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870424},
  doi          = {10.1109/ISSCC.2017.7870424},
  timestamp    = {Wed, 09 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BroxBBCDFGHHLIK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BroxCLLM17,
  author       = {Martin Brox and
                  Jonathan Chang and
                  Howard C. Luong and
                  Paul Liang and
                  Riccardo Mariani},
  title        = {{EE5:} When will we stop driving our cars?},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {524},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870489},
  doi          = {10.1109/ISSCC.2017.7870489},
  timestamp    = {Wed, 25 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BroxCLLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BurdMN17,
  author       = {Thomas Burd and
                  James Myers and
                  Byeong{-}Gyu Nam},
  title        = {Session 3 overview: Digital processors},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {48--49},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870254},
  doi          = {10.1109/ISSCC.2017.7870254},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/BurdMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ButzenS17,
  author       = {Nicolas Butzen and
                  Michiel Steyaert},
  title        = {10.1 {A} 1.1W/mm\({}^{\mbox{2}}\)-power-density 82{\%}-efficiency
                  fully integrated 3{\unicode{8758}}1 Switched-Capacitor {DC-DC} converter
                  in baseline 28nm {CMOS} using Stage Outphasing and Multiphase Soft-Charging},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {178--179},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870319},
  doi          = {10.1109/ISSCC.2017.7870319},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ButzenS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CaoCNHLCKHAZYRS17,
  author       = {Jun Cao and
                  Delong Cui and
                  Ali Nazemi and
                  Tim He and
                  Guansheng Li and
                  Burak {\c{C}}atli and
                  Mehdi Khanpour and
                  Kangmin Hu and
                  Tamer A. Ali and
                  Heng Zhang and
                  Hairong Yu and
                  Ben Rhew and
                  Shiwei Sheng and
                  Yonghyun Shim and
                  Bo Zhang and
                  Afshin Momtaz},
  title        = {29.2 {A} transmitter and receiver for 100Gb/s coherent networks with
                  integrated 4{\texttimes}64GS/s 8b ADCs and DACs in 20nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {484--485},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870472},
  doi          = {10.1109/ISSCC.2017.7870472},
  timestamp    = {Thu, 01 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/CaoCNHLCKHAZYRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CauwenberghsPI17,
  author       = {Gert Cauwenberghs and
                  Michiel A. P. Pertijs and
                  Makoto Ikeda},
  title        = {Session 27 overview: Biomedical circuits},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {446--447},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870453},
  doi          = {10.1109/ISSCC.2017.7870453},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/CauwenberghsPI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CevreroOFMMBKKP17,
  author       = {Alessandro Cevrero and
                  Ilter {\"{O}}zkaya and
                  Pier Andrea Francese and
                  Christian Menolfi and
                  Thomas Morf and
                  Matthias Braendli and
                  Daniel M. Kuchta and
                  Lukas Kull and
                  Jonathan E. Proesel and
                  Marcel A. Kossel and
                  Danny Luu and
                  Benjamin G. Lee and
                  Fuad E. Doany and
                  Mounir Meghelli and
                  Yusuf Leblebici and
                  Thomas Toifl},
  title        = {29.1 {A} 64Gb/s 1.4pJ/b {NRZ} optical-receiver data-path in 14nm {CMOS}
                  FinFET},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {482--483},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870471},
  doi          = {10.1109/ISSCC.2017.7870471},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/CevreroOFMMBKKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Chan0HZUM17,
  author       = {Chi{-}Hang Chan and
                  Yan Zhu and
                  Iok{-}Meng Ho and
                  Wai{-}Hong Zhang and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {16.4 {A} 5mW 7b 2.4GS/s 1-then-2b/cycle {SAR} {ADC} with background
                  offset calibration},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {282--283},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870371},
  doi          = {10.1109/ISSCC.2017.7870371},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/Chan0HZUM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChandrakasanM17,
  author       = {Anantha P. Chandrakasan and
                  Boris Murmann},
  title        = {Session 1 overview: Plenary Session},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {6--7},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870239},
  doi          = {10.1109/ISSCC.2017.7870239},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChandrakasanM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChandrakumarM17,
  author       = {Hariprasad Chandrakumar and
                  Dejan Markovic},
  title        = {27.1 {A} 2.8{\(\mathrm{\mu}\)}W 80mVpp-linear-input-range 1.6G{\(\Omega\)}-input
                  impedance bio-signal chopper amplifier tolerant to common-mode interference
                  up to 650mVpp},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {448--449},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870454},
  doi          = {10.1109/ISSCC.2017.7870454},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChandrakumarM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChangCCSCFLLHLL17,
  author       = {Jonathan Chang and
                  Yen{-}Huei Chen and
                  Wei{-}Min Chan and
                  Sahil Preet Singh and
                  Hank Cheng and
                  Hidehiro Fujiwara and
                  Jih{-}Yu Lin and
                  Kao{-}Cheng Lin and
                  John Hung and
                  Robin Lee and
                  Hung{-}Jen Liao and
                  Jhon{-}Jhy Liaw and
                  Quincy Li and
                  Chih{-}Yung Lin and
                  Mu{-}Chi Chiang and
                  Shien{-}Yang Wu},
  title        = {12.1 {A} 7nm 256Mb {SRAM} in high-k metal-gate FinFET technology with
                  write-assist circuitry for low-VMIN applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {206--207},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870333},
  doi          = {10.1109/ISSCC.2017.7870333},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChangCCSCFLLHLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChangDDMSV17,
  author       = {Meng{-}Fan Chang and
                  Jun Deguchi and
                  Vivek De and
                  Masato Motomura and
                  Shinichiro Shiratake and
                  Marian Verhelst},
  title        = {{F3:} Beyond the horizon of conventional computing: From deep learning
                  to neuromorphic systems},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {506--508},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870481},
  doi          = {10.1109/ISSCC.2017.7870481},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChangDDMSV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChangWCWA17,
  author       = {Ting Chia Chang and
                  Max L. Wang and
                  Jayant Charthad and
                  Marcus J. Weber and
                  Amin Arbabian},
  title        = {27.7 {A} 30.5mm\({}^{\mbox{3}}\) fully packaged implantable device
                  with duplex ultrasonic data and power links achieving 95kb/s with
                  {\textless}10\({}^{\mbox{-4}}\) {BER} at 8.5cm depth},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {460--461},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870460},
  doi          = {10.1109/ISSCC.2017.7870460},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChangWCWA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChaputBW17,
  author       = {Simon Chaput and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {21.5 {A} 3-to-5V input 100Vpp output 57.7mW 0.42{\%} {THD+N} highly
                  integrated piezoelectric actuator driver},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {360--361},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870410},
  doi          = {10.1109/ISSCC.2017.7870410},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChaputBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Charbon17,
  author       = {Edoardo Charbon},
  title        = {{EE3:} Quantum engineering: Hype, spin or reality?},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {522},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870487},
  doi          = {10.1109/ISSCC.2017.7870487},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Charbon17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CharbonSBVSSHPD17,
  author       = {Edoardo Charbon and
                  Fabio Sebastiano and
                  Masoud Babaie and
                  Andrei Vladimirescu and
                  Mina Shahmohammadi and
                  Robert Bogdan Staszewski and
                  Harald A. R. Homulle and
                  Bishnu Patra and
                  Jeroen P. G. van Dijk and
                  Rosario M. Incandela and
                  Lin Song and
                  Bahador Valizadehpasha},
  title        = {15.5 Cryo-CMOS circuits and systems for scalable quantum computing},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {264--265},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870362},
  doi          = {10.1109/ISSCC.2017.7870362},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/CharbonSBVSSHPD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChaturvediNVMN17,
  author       = {Vikram Chaturvedi and
                  Mohammad Reza Nabavi and
                  Johan Vogel and
                  Kofi A. A. Makinwa and
                  Stoyan N. Nihtianov},
  title        = {9.9 {A} 0.6nm resolution 19.8mW eddy-current displacement sensor interface
                  with 126MHz excitation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870317},
  doi          = {10.1109/ISSCC.2017.7870317},
  timestamp    = {Mon, 10 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ChaturvediNVMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CheeGMBWS17,
  author       = {Yuen{-}Hui Chee and
                  Fatih Golcuk and
                  Toru Matsuura and
                  Christopher Beale and
                  James F. Wang and
                  Osama Shana'a},
  title        = {17.1 {A} digitally assisted {CMOS} WiFi 802.11ac/11ax front-end module
                  achieving 12{\%} {PA} efficiency at 20dBm output power with 160MHz
                  256-QAM {OFDM} signal},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {292--293},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870376},
  doi          = {10.1109/ISSCC.2017.7870376},
  timestamp    = {Fri, 28 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/CheeGMBWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChenLCCLCLCLDWH17,
  author       = {Tsung{-}Ming Chen and
                  Yi Lu and
                  Pang{-}Ning Chen and
                  Yu{-}Hsien Chang and
                  Ming{-}Chung Liu and
                  Po{-}Yu Chang and
                  Chia{-}Jen Liang and
                  Yi{-}Chu Chen and
                  Hsi{-}Liang Lu and
                  Jian{-}Yu Ding and
                  Chin{-}Chung Wang and
                  YuLi Hsueh and
                  Jen{-}Che Tsai and
                  Min{-}Shun Hsu and
                  Yuan{-}Hung Chung and
                  George Chien},
  title        = {7.1 An 802.11ac dual-band reconfigurable transceiver supporting up
                  to four {VHT80} spatial streams with 116fsrms-jitter frequency synthesizer
                  and integrated {LNA/PA} delivering 256QAM 19dBm per stream achieving
                  1.733Gb/s {PHY} rate},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {126--127},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870293},
  doi          = {10.1109/ISSCC.2017.7870293},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChenLCCLCLCLDWH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChenPKCCGM17,
  author       = {Man{-}Chia Chen and
                  Aldo Pena Perez and
                  Sri{-}Rajasekhar Kothapalli and
                  Philippe Cathelin and
                  Andreia Cathelin and
                  Sanjiv Sam Gambhir and
                  Boris Murmann},
  title        = {27.5 {A} pixel-pitch-matched ultrasound receiver for 3D photoacoustic
                  imaging with integrated delta-sigma beamformer in 28nm {UTBB} {FDSOI}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {456--457},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870458},
  doi          = {10.1109/ISSCC.2017.7870458},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ChenPKCCGM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChenSMMM17,
  author       = {Lei Chen and
                  Joseph Sankman and
                  Rajarshi Mukhopadhyay and
                  Mark Morgan and
                  Dongsheng Brian Ma},
  title        = {25.1 {A} 50.7{\%} peak efficiency subharmonic resonant isolated capacitive
                  power transfer system with 62mW output power for low-power industrial
                  sensor interfaces},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {428--429},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870444},
  doi          = {10.1109/ISSCC.2017.7870444},
  timestamp    = {Fri, 21 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChenSMMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChengK17,
  author       = {Lin Cheng and
                  Wing{-}Hung Ki},
  title        = {10.6 {A} 30MHz hybrid buck converter with 36mV droop and 125ns 1{\%}
                  settling time for a 1.25A/2ns load transient},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {188--189},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870324},
  doi          = {10.1109/ISSCC.2017.7870324},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ChengK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChiHLW17,
  author       = {Taiyun Chi and
                  Min{-}Yu Huang and
                  Sensen Li and
                  Hua Wang},
  title        = {17.7 {A} packaged 90-to-300GHz transmitter and 115-to-325GHz coherent
                  receiver in {CMOS} for full-band continuous-wave mm-wave hyperspectral
                  imaging},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {304--305},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870382},
  doi          = {10.1109/ISSCC.2017.7870382},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChiHLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChiWLHPW17,
  author       = {Taiyun Chi and
                  Fei Wang and
                  Sensen Li and
                  Min{-}Yu Huang and
                  Jong Seok Park and
                  Hua Wang},
  title        = {17.3 {A} 60GHz on-chip linear radiator with single-element 27.9dBm
                  Psat and 33.1dBm peak {EIRP} using multifeed antenna for direct on-antenna
                  power combining},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {296--297},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870378},
  doi          = {10.1109/ISSCC.2017.7870378},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChiWLHPW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChiuYYWCTSLCYCD17,
  author       = {Chinq{-}Shiun Chiu and
                  Shih{-}Chieh Yen and
                  Chi{-}Yao Yu and
                  Tzung{-}Han Wu and
                  Chung{-}Yun Chou and
                  Sheng{-}Che Tseng and
                  Chih{-}Hsien Shen and
                  Yu{-}Tsung Lu and
                  Hsinhung Chen and
                  Song{-}Yu Yang and
                  Yen{-}Tso Chen and
                  Guang{-}Kaai Dehng and
                  Yangjian Chen and
                  Christophe Beghein and
                  Dimitris Nalbantis and
                  Manel Collados and
                  Bernard Tenbroek and
                  Jonathan Strange and
                  Caiyi Wang},
  title        = {7.3 {A} 40nm low-power transceiver for {LTE-A} Carrier Aggregation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {130--131},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870295},
  doi          = {10.1109/ISSCC.2017.7870295},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChiuYYWCTSLCYCD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChoD17,
  author       = {SeongHwan Cho and
                  Denis Daly},
  title        = {{EE1:} Student Research Preview},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {518--520},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870485},
  doi          = {10.1109/ISSCC.2017.7870485},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChoD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChoSCCKPS17,
  author       = {Hwasuk Cho and
                  Kihwan Seong and
                  Kwang{-}Hee Choi and
                  Jin{-}Hyeok Choi and
                  Byungsub Kim and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  title        = {8.7 {A} 0.0047mm\({}^{\mbox{2}}\) highly synthesizable {TDC-} and
                  DCO-less fractional-N {PLL} with a seamless lock range of fREF to
                  1GHz},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {154--155},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870307},
  doi          = {10.1109/ISSCC.2017.7870307},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChoSCCKPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChoiKSKHC17,
  author       = {Woojun Choi and
                  Taewoong Kim and
                  Jongjoo Shim and
                  Hyungsoo Kim and
                  Gunhee Han and
                  Youngcheol Chae},
  title        = {23.8 {A} 1V 7.8mW 15.6Gb/s {C-PHY} transceiver using tri-level signaling
                  for post-LPDDR4},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {402--403},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870431},
  doi          = {10.1109/ISSCC.2017.7870431},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChoiKSKHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChouCCCC17,
  author       = {Shau{-}Yu Chou and
                  Yu{-}Shiang Chen and
                  Jun{-}Hao Chang and
                  Yu{-}Der Chih and
                  Tsung{-}Yung Jonathan Chang},
  title        = {11.3 {A} 10nm 32Kb low-voltage logic-compatible anti-fuse one-time-programmable
                  memory with anti-tampering sensing scheme},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {200--201},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870330},
  doi          = {10.1109/ISSCC.2017.7870330},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChouCCCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChowdhuryMA17,
  author       = {Debopriyo Chowdhury and
                  Sraavan R. Mundlapudi and
                  Ali Afsahi},
  title        = {2.2 {A} fully integrated reconfigurable wideband envelope-tracking
                  SoC for high-bandwidth {WLAN} applications in a 28nm {CMOS} technology},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {34--35},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870247},
  doi          = {10.1109/ISSCC.2017.7870247},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChowdhuryMA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChuYZLCWLLT17,
  author       = {Li{-}Cheng Chu and
                  Wen{-}Hau Yang and
                  Xiao{-}Qing Zhang and
                  Yan{-}Jiun Lai and
                  Ke{-}Horng Chen and
                  Chin{-}Long Wey and
                  Ying{-}Hsi Lin and
                  Shian{-}Ru Lin and
                  Tsung{-}Yen Tsai},
  title        = {10.5 {A} three-level single-inductor triple-output converter with
                  an adjustable flying-capacitor technique for low output ripple and
                  fast transient response},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {186--187},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870323},
  doi          = {10.1109/ISSCC.2017.7870323},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChuYZLCWLLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChuangK17,
  author       = {Jeffrey Chuang and
                  Harish Krishnaswamy},
  title        = {19.4 {A} 0.0049mm2 2.3GHz sub-sampling ring-oscillator {PLL} with
                  time-based loop filter achieving -236.2dB jitter-FOM},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {328--329},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870394},
  doi          = {10.1109/ISSCC.2017.7870394},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChuangK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChuangVPRWSTLBB17,
  author       = {Pierce I{-}Jen Chuang and
                  Christos Vezyrtzis and
                  Divya Pathak and
                  Richard F. Rizzolo and
                  Tobias Webel and
                  Thomas Strach and
                  Otto A. Torreiter and
                  Preetham Lobo and
                  Alper Buyuktosunoglu and
                  Ramon Bertran and
                  Michael S. Floyd and
                  Malcolm S. Ware and
                  Gerard Salem and
                  Sean M. Carey and
                  Phillip J. Restle},
  title        = {26.2 Power supply noise in a 22nm z13{\texttrademark} microprocessor},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {438--439},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870449},
  doi          = {10.1109/ISSCC.2017.7870449},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ChuangVPRWSTLBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChungAH17,
  author       = {SungWon Chung and
                  Hooman Abediasl and
                  Hossein Hashemi},
  title        = {15.4 {A} 1024-element scalable optical phased array in 0.18{\(\mathrm{\mu}\)}m
                  {SOI} {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {262--263},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870361},
  doi          = {10.1109/ISSCC.2017.7870361},
  timestamp    = {Sat, 28 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChungAH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChuoSLCFKKGWKB17,
  author       = {Li{-}Xuan Chuo and
                  Yao Shi and
                  Zhihong Luo and
                  Nikolaos Chiotellis and
                  Zhiyoong Foo and
                  Gyouho Kim and
                  Yejoong Kim and
                  Anthony Grbic and
                  David D. Wentzloff and
                  Hun{-}Seok Kim and
                  David T. Blaauw},
  title        = {7.4 {A} 915MHz asymmetric radio using Q-enhanced amplifier for a fully
                  integrated 3{\texttimes}3{\texttimes}3mm\({}^{\mbox{3}}\) wireless
                  sensor node with 20m non-line-of-sight communication},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {132--133},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870296},
  doi          = {10.1109/ISSCC.2017.7870296},
  timestamp    = {Mon, 08 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChuoSLCFKKGWKB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ClintonCLLWYHWY17,
  author       = {Michael Clinton and
                  Hank Cheng and
                  Hung{-}Jen Liao and
                  Robin Lee and
                  Ching{-}Wei Wu and
                  Johnny Yang and
                  Hau{-}Tai Hsieh and
                  Frank Wu and
                  Jung{-}Ping Yang and
                  Atul Katoch and
                  Arun Achyuthan and
                  Donald Mikan and
                  Bryan Sheffield and
                  Jonathan Chang},
  title        = {12.3 {A} low-power and high-performance 10nm {SRAM} architecture for
                  mobile applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {210--211},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870335},
  doi          = {10.1109/ISSCC.2017.7870335},
  timestamp    = {Wed, 10 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ClintonCLLWYHWY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CoombsENEH17,
  author       = {Daniel Coombs and
                  Ahmed Elkholy and
                  Romesh Kumar Nandwana and
                  Ahmed Elmallah and
                  Pavan Kumar Hanumolu},
  title        = {8.6 {A} 2.5-to-5.75GHz 5mW 0.3psrms-jitter cascaded ring-based digital
                  injection-locked clock multiplier in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {152--153},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870306},
  doi          = {10.1109/ISSCC.2017.7870306},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/CoombsENEH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CousinardWLFGOC17,
  author       = {David Cousinard and
                  Renaldi Winoto and
                  Hao Li and
                  Yuan Fang and
                  Amir Ghaffari and
                  Ashkan Olyaei and
                  Ovidiu Carnu and
                  Philip Godoy and
                  Alden Wong and
                  Xingliang Zhao and
                  Jiexi Liu and
                  Arnab Mitra and
                  Randy Tsang and
                  Li Lin},
  title        = {13.7 {A} 0.23mm\({}^{\mbox{2}}\) digital power amplifier with hybrid
                  time/amplitude control achieving 22.5dBm at 28{\%} {PAE} for 802.11g},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {228--229},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870344},
  doi          = {10.1109/ISSCC.2017.7870344},
  timestamp    = {Thu, 11 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/CousinardWLFGOC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DehngLP17,
  author       = {Guang{-}Kaai Dehng and
                  Kyoohyun Lim and
                  Aarno P{\"{a}}rssinen},
  title        = {Session 13 overview: High-performance transmitters},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {214--215},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870337},
  doi          = {10.1109/ISSCC.2017.7870337},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DehngLP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DesaiJCC17,
  author       = {Nachiket V. Desai and
                  Chiraag Juvekar and
                  Shubham Chandak and
                  Anantha P. Chandrakasan},
  title        = {21.8 An actively detuned wireless power receiver with public key cryptographic
                  authentication and dynamic power allocation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {366--367},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870413},
  doi          = {10.1109/ISSCC.2017.7870413},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DesaiJCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DesoliCBSGAMZAS17,
  author       = {Giuseppe Desoli and
                  Nitin Chawla and
                  Thomas Boesch and
                  Surinder Pal Singh and
                  Elio Guidetti and
                  Fabio De Ambroggi and
                  Tommaso Majo and
                  Paolo Zambotti and
                  Manuj Ayodhyawasi and
                  Harvinder Singh and
                  Nalin Aggarwal},
  title        = {14.1 {A} 2.9TOPS/W deep convolutional neural network SoC in {FD-SOI}
                  28nm for intelligent embedded systems},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {238--239},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870349},
  doi          = {10.1109/ISSCC.2017.7870349},
  timestamp    = {Fri, 24 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/DesoliCBSGAMZAS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DevarajanSKKPSB17,
  author       = {Siddharth Devarajan and
                  Larry Singer and
                  Dan Kelly and
                  Steve Kosic and
                  Tao Pan and
                  Jos{\'{e}} B. Silva and
                  Janet Brunsilius and
                  Daniel Rey{-}Losada and
                  Frank Murden and
                  Carroll Speir and
                  Jeff Bray and
                  Eric Otte and
                  Nevena Rakuljic and
                  Phil Brown and
                  Todd Weigandt and
                  Qicheng Yu and
                  Donald Paterson and
                  Corey Petersen and
                  Jeffrey C. Gealow},
  title        = {16.7 {A} 12b 10GS/s interleaved pipeline {ADC} in 28nm {CMOS} technology},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {288--289},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870374},
  doi          = {10.1109/ISSCC.2017.7870374},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DevarajanSKKPSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DicksonAM17,
  author       = {Timothy O. Dickson and
                  Herschel A. Ainspan and
                  Mounir Meghelli},
  title        = {6.5 {A} 1.8pJ/b 56Gb/s {PAM-4} transmitter with fractionally spaced
                  {FFE} in 14nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {118--119},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870289},
  doi          = {10.1109/ISSCC.2017.7870289},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DicksonAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DincK17,
  author       = {Tolga Dinc and
                  Harish Krishnaswamy},
  title        = {17.2 {A} 28GHz magnetic-free non-reciprocal passive {CMOS} circulator
                  based on spatio-temporal conductance modulation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {294--295},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870377},
  doi          = {10.1109/ISSCC.2017.7870377},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DincK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DingL0LZBBP17,
  author       = {Ming Ding and
                  Yao{-}Hong Liu and
                  Yan Zhang and
                  Chuang Lu and
                  Peng Zhang and
                  Benjamin Busze and
                  Christian Bachmann and
                  Kathleen Philips},
  title        = {5.3 {A} 95{\(\mathrm{\mu}\)}W 24MHz digitally controlled crystal oscillator
                  for IoT applications with 36nJ start-up energy and {\textgreater}13{\texttimes}
                  start-up time reduction using a fully-autonomous dynamically-adjusted
                  load},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {90--91},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870275},
  doi          = {10.1109/ISSCC.2017.7870275},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DingL0LZBBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DolatshaGSCBKBL17,
  author       = {Nemat Dolatsha and
                  Baptiste Grave and
                  Mahmoud Sawaby and
                  Cheng Chen and
                  Afshin Babveyh and
                  Siavash Kananian and
                  Aimeric Bisognin and
                  Cyril Luxey and
                  Frederic Gianesello and
                  Jorge R. Costa and
                  Carlos Fernandes and
                  Amin Arbabian},
  title        = {17.8 {A} compact 130GHz fully packaged point-to-point wireless system
                  with 3D-printed 26dBi lens antenna achieving 12.5Gb/s at 1.55pJ/b/m},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {306--307},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870383},
  doi          = {10.1109/ISSCC.2017.7870383},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DolatshaGSCBKBL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DongKLCLWYCDCKC17,
  author       = {Qing Dong and
                  Yejoong Kim and
                  Inhee Lee and
                  Myungjoon Choi and
                  Ziyun Li and
                  Jingcheng Wang and
                  Kaiyuan Yang and
                  Yen{-}Po Chen and
                  Junjie Dong and
                  Minchang Cho and
                  Gyouho Kim and
                  Wei{-}Keng Chang and
                  Yun{-}Sheng Chen and
                  Yu{-}Der Chih and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {11.2 {A} 1Mb embedded {NOR} flash memory with 39{\(\mathrm{\mu}\)}W
                  program power for mm-scale high-temperature sensor nodes},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {198--199},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870329},
  doi          = {10.1109/ISSCC.2017.7870329},
  timestamp    = {Tue, 02 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/DongKLCLWYCDCKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DorisRRS17,
  author       = {Kostas Doris and
                  David Robertson and
                  Seung{-}Tak Ryu and
                  Seng{-}Pan U},
  title        = {{F6:} Pushing the performance limit in data converters organizers:
                  Venkatesh Srinivasan, Texas Instruments, Dallas, {TX}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {515--517},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870484},
  doi          = {10.1109/ISSCC.2017.7870484},
  timestamp    = {Thu, 12 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/DorisRRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DuncanDMMLTCK17,
  author       = {Lucas Duncan and
                  Brian Dupaix and
                  Jamin J. McCue and
                  Brandon Mathieu and
                  Matthew LaRue and
                  Mesfin Teshome and
                  Myung{-}Jun Choe and
                  Waleed Khalil},
  title        = {16.6 {A} 10b DC-to-20GHz multiple-return-to-zero {DAC} with {\textgreater}48dB
                  {SFDR}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {286--287},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870373},
  doi          = {10.1109/ISSCC.2017.7870373},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DuncanDMMLTCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DupretMC17,
  author       = {Antoine Dupret and
                  Pui{-}In Mak and
                  Eugenio Cantatore},
  title        = {Session 21 overview: Smart SoCs for innovative applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {350--351},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870405},
  doi          = {10.1109/ISSCC.2017.7870405},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DupretMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ErbaSO17,
  author       = {Simone Erba and
                  Takayuki Shibasaki and
                  Frank O'Mahony},
  title        = {Session 6 overview: Ultra-high-speed wireline},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {108--109},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870284},
  doi          = {10.1109/ISSCC.2017.7870284},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ErbaSO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ErdmannCBPVMCTG17,
  author       = {Christophe Erdmann and
                  Edward Cullen and
                  Damien Brouard and
                  Roberto Pelliconi and
                  Bob Verbruggen and
                  John McGrath and
                  Diarmuid Collins and
                  Marites De La Torre and
                  Pierrick Gay and
                  Patrick Lynch and
                  Peng Lim and
                  Anthony Collins and
                  Brendan Farley},
  title        = {16.3 {A} 330mW 14b 6.8GS/s dual-mode {RF} {DAC} in 16nm FinFET achieving
                  -70.8dBc {ACPR} in a 20MHz channel at 5.2GHz},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {280--281},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870370},
  doi          = {10.1109/ISSCC.2017.7870370},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ErdmannCBPVMCTG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/FloydRSOFFMDCV17,
  author       = {Michael S. Floyd and
                  Phillip J. Restle and
                  Michael A. Sperling and
                  Pawel Owczarczyk and
                  Eric J. Fluhr and
                  Joshua Friedrich and
                  Paul Muench and
                  Timothy Diemoz and
                  Pierce Chuang and
                  Christos Vezyrtzis},
  title        = {26.5 Adaptive clocking in the POWER9{\texttrademark} processor for
                  voltage droop protection},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {444--445},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870452},
  doi          = {10.1109/ISSCC.2017.7870452},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/FloydRSOFFMDCV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/FransFBPNE17,
  author       = {Yohan Frans and
                  Ichiro Fujimori and
                  Seung{-}Jun Bae and
                  Samuel Palermo and
                  Hideyuki Nosaka and
                  Simone Erba},
  title        = {{F5:} Wireline transceivers for Mega Data Centers: 50Gb/s and beyond},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {512--514},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870483},
  doi          = {10.1109/ISSCC.2017.7870483},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/FransFBPNE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Friedman17,
  author       = {Daniel J. Friedman},
  title        = {Ultra-low-power analog design},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {526--527},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870491},
  doi          = {10.1109/ISSCC.2017.7870491},
  timestamp    = {Sat, 20 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Friedman17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/FujimoriHF17,
  author       = {Ichiro Fujimori and
                  Pavan Kumar Hanumolu},
  title        = {{EE4:} Semiconductor economics: How business decisions are engineered},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {523},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870488},
  doi          = {10.1109/ISSCC.2017.7870488},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/FujimoriHF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Fujino17,
  author       = {Laura Chizuko Fujino},
  title        = {Reflections},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870237},
  doi          = {10.1109/ISSCC.2017.7870237},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Fujino17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/FuldeBBBFGGGGHK17,
  author       = {Michael Fulde and
                  Alexander Belitzer and
                  Zdravko Boos and
                  Michael Bruennert and
                  Jonas Fritzin and
                  Hans Geltinger and
                  Marcus Groinig and
                  Daniel Gruber and
                  Simon Gruenberger and
                  Thomas Hartig and
                  Vahur Kampus and
                  Boris Kapfelsperger and
                  Franz Kuttner and
                  Stephan Leuschner and
                  Thomas Maletz and
                  Andreas Menkhoff and
                  Jos{\'{e}} Moreira and
                  Alan Paussa and
                  Davide Ponton and
                  Harald Pretl and
                  Daniel Sira and
                  Ulrich Steinacker and
                  Nenad Stevanovic},
  title        = {13.2 {A} digital multimode polar transmitter supporting 40MHz {LTE}
                  Carrier Aggregation in 28nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {218--219},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870339},
  doi          = {10.1109/ISSCC.2017.7870339},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/FuldeBBBFGGGGHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GaoLM17,
  author       = {Yuan Gao and
                  Lisong Li and
                  Philip K. T. Mok},
  title        = {22.8 An AC-input inductorless {LED} driver for visible-light-communication
                  applications with 8Mb/s data-rate and 6.4{\%} low-frequency flicker},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {384--385},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870422},
  doi          = {10.1109/ISSCC.2017.7870422},
  timestamp    = {Tue, 12 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GaoLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GarripoliSSGRC17,
  author       = {Carmine Garripoli and
                  Jan{-}Laurens P. J. van der Steen and
                  Edsger C. P. Smits and
                  Gerwin H. Gelinck and
                  Arthur H. M. van Roermund and
                  Eugenio Cantatore},
  title        = {15.3 An a-IGZO asynchronous delta-sigma modulator on foil achieving
                  up to 43dB {SNR} and 40dB {SNDR} in 300Hz bandwidth},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {260--261},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870360},
  doi          = {10.1109/ISSCC.2017.7870360},
  timestamp    = {Fri, 27 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GarripoliSSGRC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GenoeFC17,
  author       = {Jan Genoe and
                  Hiroshi Fuketa and
                  Eugenio Cantatore},
  title        = {Session 15 overview: Innovations in technologies and circuits},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {254--255},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870357},
  doi          = {10.1109/ISSCC.2017.7870357},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GenoeFC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GinsburgHW17,
  author       = {Brian P. Ginsburg and
                  Payam Heydari and
                  Piet Wambacq},
  title        = {Session 17 overview: {TX} and {RX} building blocks},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {290--291},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870375},
  doi          = {10.1109/ISSCC.2017.7870375},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GinsburgHW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GonzalezFDHRPFS17,
  author       = {Christopher J. Gonzalez and
                  Eric Fluhr and
                  Daniel Dreps and
                  David Hogenmiller and
                  Rahul M. Rao and
                  Jose Paredes and
                  Michael S. Floyd and
                  Michael A. Sperling and
                  Ryan Kruse and
                  Vinod Ramadurai and
                  Ryan Nett and
                  Md. Saiful Islam and
                  Juergen Pille and
                  Donald W. Plass},
  title        = {3.1 POWER9{\texttrademark}: {A} processor family optimized for cognitive
                  computing with 25Gb/s accelerator links and 16Gb/s PCIe Gen4},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {50--51},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870255},
  doi          = {10.1109/ISSCC.2017.7870255},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GonzalezFDHRPFS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GougheriK17,
  author       = {Hesam Sadeghi Gougheri and
                  Mehdi Kiani},
  title        = {22.3 Adaptive reconfigurable voltage/current-mode power management
                  with self-regulation for extended-range inductive power transmission},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {374--375},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870417},
  doi          = {10.1109/ISSCC.2017.7870417},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GougheriK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GourlatSVSJOBH17,
  author       = {Guillaume Gourlat and
                  Marc Sansa and
                  Patrick Villard and
                  Gilles Sicard and
                  Guillaume Jourdan and
                  Issam Ouerghi and
                  G{\'{e}}rard Billiot and
                  Sebastien Hentz},
  title        = {15.6 {A} 30-to-80MHz simultaneous dual-mode heterodyne oscillator
                  targeting {NEMS} array gravimetric sensing applications with a 300zg
                  mass resolution},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {266--267},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870363},
  doi          = {10.1109/ISSCC.2017.7870363},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GourlatSVSJOBH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/GreenhillHLSCTA17,
  author       = {David Greenhill and
                  Ron Ho and
                  David M. Lewis and
                  Herman Schmit and
                  Kok Hong Chan and
                  Andy Tong and
                  Sean Atsatt and
                  Dana How and
                  Peter McElheny and
                  Keith Duwel and
                  Jeffrey Schulz and
                  Darren Faulkner and
                  Gopal Iyer and
                  George Chen and
                  Hee Kong Phoon and
                  Han Wooi Lim and
                  Wei{-}Yee Koay and
                  Ty Garibay},
  title        = {3.3 {A} 14nm 1GHz {FPGA} with 2.5D transceiver integration},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {54--55},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870257},
  doi          = {10.1109/ISSCC.2017.7870257},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/GreenhillHLSCTA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HaLLKKRCY17,
  author       = {Unsoo Ha and
                  Jaehyuk Lee and
                  Jihee Lee and
                  Kwantae Kim and
                  Minseo Kim and
                  Taehwan Roh and
                  Sangsik Choi and
                  Hoi{-}Jun Yoo},
  title        = {27.2 {A} 25.2mW {EEG-NIRS} multimodal SoC for accurate anesthesia
                  depth monitoring},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {450--451},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870455},
  doi          = {10.1109/ISSCC.2017.7870455},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HaLLKKRCY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HameedP17,
  author       = {Sameed Hameed and
                  Sudhakar Pamarti},
  title        = {24.6 {A} time-interleaved filtering-by-aliasing receiver front-end
                  with {\textgreater}70dB suppression at {\textless}4{\texttimes} bandwidth
                  frequency offset},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {418--419},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870439},
  doi          = {10.1109/ISSCC.2017.7870439},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HameedP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HamzaogluSC17,
  author       = {Fatih Hamzaoglu and
                  Chun Shiah and
                  Leland Chang},
  title        = {Session 12 overview: {SRAM}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {204--205},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870332},
  doi          = {10.1109/ISSCC.2017.7870332},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HamzaogluSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HanLSA17,
  author       = {Jaeduk Han and
                  Yue Lu and
                  Nicholas Sutardja and
                  Elad Alon},
  title        = {6.2 {A} 60Gb/s 288mW {NRZ} transceiver with adaptive equalization
                  and baud-rate clock and data recovery in 65nm {CMOS} technology},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {112--113},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870286},
  doi          = {10.1109/ISSCC.2017.7870286},
  timestamp    = {Thu, 27 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HanLSA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HarutaNHUTTKSEY17,
  author       = {Tsutomu Haruta and
                  Tsutomu Nakajima and
                  Jun Hashizume and
                  Taku Umebayashi and
                  Hiroshi Takahashi and
                  Kazuo Taniguchi and
                  Masami Kuroda and
                  Hiroshi Sumihiro and
                  Koji Enoki and
                  Takatsugu Yamasaki and
                  Katsuya Ikezawa and
                  Atsushi Kitahara and
                  Masao Zen and
                  Masafumi Oyama and
                  Hiroki Koga and
                  Hidenobu Tsugawa and
                  Tomoharu Ogita and
                  Takashi Nagano and
                  Satoshi Takano and
                  Tetsuo Nomoto},
  title        = {4.6 {A} 1/2.3inch 20Mpixel 3-layer stacked {CMOS} Image Sensor with
                  {DRAM}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {76--77},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870268},
  doi          = {10.1109/ISSCC.2017.7870268},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HarutaNHUTTKSEY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HashemiSMALAV17,
  author       = {Mohsen Hashemi and
                  Yiyu Shen and
                  Mohammadreza Mehrpoo and
                  Mustafa Acar and
                  Rene van Leuken and
                  Morteza S. Alavi and
                  Leonardus de Vreede},
  title        = {17.5 An intrinsically linear wideband digital polar {PA} featuring
                  {AM-AM} and {AM-PM} corrections through nonlinear sizing, overdrive-voltage
                  control, and multiphase {RF} clocking},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {300--301},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870380},
  doi          = {10.1109/ISSCC.2017.7870380},
  timestamp    = {Tue, 13 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HashemiSMALAV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HashimotoMN17,
  author       = {Takashi Hashimoto and
                  Mahesh Mehendale and
                  Byeong{-}Gyu Nam},
  title        = {Session 14 overview: Deep-learning processors},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {236--237},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870348},
  doi          = {10.1109/ISSCC.2017.7870348},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HashimotoMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HassibiSMSKBNJM17,
  author       = {Arjang Hassibi and
                  Rituraj Singh and
                  Arun Manickam and
                  Ruma Sinha and
                  Bob Kuimelis and
                  Sara Bolouki and
                  Pejman Naraghi{-}Arani and
                  Kirsten A. Johnson and
                  Mark W. McDermott and
                  Nicholas Wood and
                  Piyush Savalia and
                  Nader Gamini},
  title        = {4.2 {A} fully integrated {CMOS} fluorescence biochip for multiplex
                  polymerase chain-reaction {(PCR)} processes},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {68--69},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870264},
  doi          = {10.1109/ISSCC.2017.7870264},
  timestamp    = {Tue, 07 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/HassibiSMSKBNJM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HeLKHBMBP17,
  author       = {Yuming He and
                  Yao{-}Hong Liu and
                  Takashi Kuramochi and
                  Johan H. C. van den Heuvel and
                  Benjamin Busze and
                  Nereo Markulic and
                  Christian Bachmann and
                  Kathleen Philips},
  title        = {24.7 {A} 673{\(\mathrm{\mu}\)}W 1.8-to-2.5GHz dividerless fractional-N
                  digital {PLL} with an inherent frequency-capture capability and a
                  phase-dithering spur mitigation for IoT applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {420--421},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870440},
  doi          = {10.1109/ISSCC.2017.7870440},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HeLKHBMBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HengYP17,
  author       = {Chun{-}Huat Heng and
                  Ken Yamamoto and
                  Aarno P{\"{a}}rssinen},
  title        = {Session 24 overview: Wireless receivers and synthesizers},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {406--407},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870433},
  doi          = {10.1109/ISSCC.2017.7870433},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HengYP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HongS17,
  author       = {Lingyu Hong and
                  Kaushik Sengupta},
  title        = {27.8 Fully integrated optical spectrometer with 500-to-830nm range
                  in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {462--463},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870461},
  doi          = {10.1109/ISSCC.2017.7870461},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HongS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Hou17,
  author       = {Cliff Hou},
  title        = {1.1 {A} smart design paradigm for smart chips},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {8--13},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870240},
  doi          = {10.1109/ISSCC.2017.7870240},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Hou17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HsuTCRS17,
  author       = {Ying{-}Chih Hsu and
                  Chia{-}Liang Tai and
                  Mei{-}Chen Chuang and
                  Alan Roth and
                  Eric G. Soenen},
  title        = {5.9 An 18.75{\(\mathrm{\mu}\)}W dynamic-distributing-bias temperature
                  sensor with 0.87{\textdegree}C(3{\(\sigma\)}) untrimmed inaccuracy
                  and 0.00946mm\({}^{\mbox{2}}\) area},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {102--103},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870281},
  doi          = {10.1109/ISSCC.2017.7870281},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HsuTCRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HuWW17,
  author       = {Song Hu and
                  Fei Wang and
                  Hua Wang},
  title        = {2.1 {A} 28GHz/37GHz/39GHz multiband linear Doherty power amplifier
                  for 5G massive {MIMO} applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {32--33},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870246},
  doi          = {10.1109/ISSCC.2017.7870246},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HuWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HuangEKOA17,
  author       = {Sheng{-}Jui Huang and
                  Nathan Egan and
                  Divya Kesharwani and
                  Frank Opteynde and
                  Michael Ashburn},
  title        = {28.3 {A} 125MHz-BW 71.9dB-SNDR VCO-based {CT} {\(\Delta\)}{\(\Sigma\)}
                  {ADC} with segmented phase-domain {ELD} compensation in 16nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {470--471},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870465},
  doi          = {10.1109/ISSCC.2017.7870465},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HuangEKOA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HuangLUM17,
  author       = {Mo Huang and
                  Yan Lu and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {20.4 An output-capacitor-free analog-assisted digital low-dropout
                  regulator with tri-loop control},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {342--343},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870401},
  doi          = {10.1109/ISSCC.2017.7870401},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HuangLUM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HuangLUM17a,
  author       = {Mo Huang and
                  Yan Lu and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {22.4 {A} reconfigurable bidirectional wireless power transceiver with
                  maximum-current charging mode and 58.6{\%} battery-to-battery efficiency},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {376--377},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870418},
  doi          = {10.1109/ISSCC.2017.7870418},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HuangLUM17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HuangSEC17,
  author       = {Hai Huang and
                  Sudipta Sarkar and
                  Brian Elies and
                  Yun Chiu},
  title        = {28.4 {A} 12b 330MS/s pipelined-SAR {ADC} with PVT-stabilized dynamic
                  amplifier achieving {\textless}1dB {SNDR} variation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {472--473},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870466},
  doi          = {10.1109/ISSCC.2017.7870466},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HuangSEC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HusseinVSP17,
  author       = {Ahmed I. Hussein and
                  Sriharsha Vasadi and
                  Mazen Soliman and
                  Jeyanandh Paramesh},
  title        = {19.3 {A} 50-to-66GHz 65nm {CMOS} all-digital fractional-N {PLL} with
                  220fsrms jitter},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {326--327},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870393},
  doi          = {10.1109/ISSCC.2017.7870393},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HusseinVSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HwangLKC17,
  author       = {Hyunseok Hwang and
                  Hyeyeon Lee and
                  Hongchae Kim and
                  Youngcheol Chae},
  title        = {9.7 {A} 6.9mW 120fps 28{\texttimes}50 capacitive touch sensor with
                  41.7dB {SNR} for 1mm stylus using current-driven {\(\Delta\)}{\(\Sigma\)}
                  ADCs},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {170--171},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870315},
  doi          = {10.1109/ISSCC.2017.7870315},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HwangLKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ImFRCCCCGMZZHLU17,
  author       = {Jay Im and
                  Dave Freitas and
                  Arianne Roldan and
                  Ronan Casey and
                  Stanley Chen and
                  Adam Chou and
                  Tim Cronin and
                  Kevin Geary and
                  Scott McLeod and
                  Lei Zhou and
                  Ian Zhuang and
                  Jaeduk Han and
                  Sen Lin and
                  Parag Upadhyaya and
                  Geoff Zhang and
                  Yohan Frans and
                  Ken Chang},
  title        = {6.3 {A} 40-to-56Gb/s {PAM-4} receiver with 10-tap direct decision-feedback
                  equalization in 16nm FinFET},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {114--115},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870287},
  doi          = {10.1109/ISSCC.2017.7870287},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ImFRCCCCGMZZHLU17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/InoueSB17,
  author       = {Atsuki Inoue and
                  Dennis Sylvester and
                  Edith Beign{\'{e}}},
  title        = {Session 20 overview: Digital voltage regulators and low-power techniques},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {334--335},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870397},
  doi          = {10.1109/ISSCC.2017.7870397},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/InoueSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JaliliM17,
  author       = {Hossein Jalili and
                  Omeed Momeni},
  title        = {17.10 {A} 318-to-370GHz standing-wave 2D phased array in 0.13{\(\mathrm{\mu}\)}m
                  BiCMOS},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {310--311},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870385},
  doi          = {10.1109/ISSCC.2017.7870385},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/JaliliM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JangJJCSB17,
  author       = {Tae{-}Kwang Jang and
                  Seokhyeon Jeong and
                  Dongsuk Jeon and
                  Kyojin David Choo and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {8.4 {A} 2.5ps 0.8-to-3.2GHz bang-bang phase- and frequency-detector-based
                  all-digital {PLL} with noise self-adjustment},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {148--149},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870304},
  doi          = {10.1109/ISSCC.2017.7870304},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/JangJJCSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JeongCJTBKS17,
  author       = {Seokhyeon Jeong and
                  Yu Chen and
                  Tae{-}Kwang Jang and
                  Julius Ming{-}Lin Tsai and
                  David T. Blaauw and
                  Hun{-}Seok Kim and
                  Dennis Sylvester},
  title        = {21.6 {A} 12nW always-on acoustic sensing and object recognition microsystem
                  using frequency-domain feature extraction and {SVM} classification},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {362--363},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870411},
  doi          = {10.1109/ISSCC.2017.7870411},
  timestamp    = {Thu, 19 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/JeongCJTBKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JiJSKPS17,
  author       = {Youngwoo Ji and
                  Cheonhoo Jeon and
                  Hyunwoo Son and
                  Byungsub Kim and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  title        = {5.8 {A} 9.3nW all-in-one bandgap voltage and current reference circuit},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {100--101},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870280},
  doi          = {10.1109/ISSCC.2017.7870280},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/JiJSKPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JiangLKUM17,
  author       = {Junmin Jiang and
                  Yan Lu and
                  Wing{-}Hung Ki and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {20.5 {A} dual-symmetrical-output switched-capacitor converter with
                  dynamic power cells and minimized cross regulation for application
                  processors in 28nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {344--345},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870402},
  doi          = {10.1109/ISSCC.2017.7870402},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/JiangLKUM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JiangMN17,
  author       = {Hui Jiang and
                  Kofi A. A. Makinwa and
                  Stoyan N. Nihtianov},
  title        = {9.8 An energy-efficient 3.7nV/{\(\surd\)}Hz bridge-readout {IC} with
                  a stable bridge offset compensation scheme},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {172--173},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870316},
  doi          = {10.1109/ISSCC.2017.7870316},
  timestamp    = {Fri, 08 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/JiangMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JiangWGSKRHM17,
  author       = {Haowei Jiang and
                  Po{-}Han Peter Wang and
                  Li Gao and
                  Pinar Sen and
                  Young{-}Han Kim and
                  Gabriel M. Rebeiz and
                  Drew A. Hall and
                  Patrick P. Mercier},
  title        = {24.5 {A} 4.5nW wake-up radio with -69dBm sensitivity},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {416--417},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870438},
  doi          = {10.1109/ISSCC.2017.7870438},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/JiangWGSKRHM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JoshiKHCC17,
  author       = {Siddharth Joshi and
                  Chul Kim and
                  Sohmyung Ha and
                  Yu Mike Chi and
                  Gert Cauwenberghs},
  title        = {21.7 2pJ/MAC 14b 8{\texttimes}8 linear transform mixed-signal spatial
                  filter in 65nm {CMOS} with 84dB interference suppression},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {364--365},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870412},
  doi          = {10.1109/ISSCC.2017.7870412},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/JoshiKHCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/JuSHPBKCLC17,
  author       = {Yong{-}Min Ju and
                  Se{-}un Shin and
                  Yeunhee Huh and
                  Sang{-}Hui Park and
                  Jun{-}Suk Bang and
                  Kiduk Kim and
                  Sung{-}Won Choi and
                  Ji{-}Hun Lee and
                  Gyu{-}Hyeong Cho},
  title        = {10.4 {A} hybrid inductor-based flying-capacitor-assisted step-up/step-down
                  {DC-DC} converter with 96.56{\%} efficiency},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {184--185},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870322},
  doi          = {10.1109/ISSCC.2017.7870322},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/JuSHPBKCLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KaoCPWSC17,
  author       = {Yu{-}Hsien Kao and
                  Hao{-}Chung Chou and
                  Chun{-}Chieh Peng and
                  Yu{-}Jiu Wang and
                  Borching Su and
                  Ta{-}Shun Chu},
  title        = {18.3 {A} single-port duplex {RF} front-end for X-band single-antenna
                  {FMCW} radar in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {318--319},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870389},
  doi          = {10.1109/ISSCC.2017.7870389},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KaoCPWSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KarSMRDM17,
  author       = {Monodeep Kar and
                  Arvind Singh and
                  Sanu Mathew and
                  Anand Rajan and
                  Vivek De and
                  Saibal Mukhopadhyay},
  title        = {8.1 Improved power-side-channel-attack resistance of an {AES-128}
                  core via a security-aware integrated buck voltage regulator},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {142--143},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870301},
  doi          = {10.1109/ISSCC.2017.7870301},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KarSMRDM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KassiriPSSCVG17,
  author       = {Hossein Kassiri and
                  Reza Pazhouhandeh and
                  Nima Soltani and
                  Muhammad Tariqus Salam and
                  Peter L. Carlen and
                  Jos{\'{e}} Luis P{\'{e}}rez Velazquez and
                  Roman Genov},
  title        = {27.3 All-wireless 64-channel 0.013mm\({}^{\mbox{2}}\)/ch closed-loop
                  neurostimulator with rail-to-rail {DC} offset removal},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {452--453},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870456},
  doi          = {10.1109/ISSCC.2017.7870456},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KassiriPSSCVG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KatsubeKNNONTIY17,
  author       = {Yusaku Katsube and
                  Shinya Kajiyama and
                  Takuma Nishimoto and
                  Tatsuo Nakagawa and
                  Yasuyuki Okuma and
                  Yohei Nakamura and
                  Takahide Terada and
                  Yutaka Igarashi and
                  Taizo Yamawaki and
                  Toru Yazaki and
                  Yoshihiro Hayashi and
                  Kazuhiro Amino and
                  Takuya Kaneko and
                  Hiroki Tanaka},
  title        = {27.6 Single-chip 3072ch 2D array {IC} with {RX} analog and all-digital
                  {TX} beamformer for 3D ultrasound imaging},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {458--459},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870459},
  doi          = {10.1109/ISSCC.2017.7870459},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KatsubeKNNONTIY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KeSCHM17,
  author       = {Xugang Ke and
                  Joseph Sankman and
                  Yingping Chen and
                  Lenian He and
                  Dongsheng Brian Ma},
  title        = {25.2 {A} 10MHz 3-to-40V {VIN} tri-slope gate driving GaN {DC-DC} converter
                  with 40.5dB{\(\mathrm{\mu}\)}V spurious noise compression and 79.3{\%}
                  ringing suppression for automotive applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {430--431},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870445},
  doi          = {10.1109/ISSCC.2017.7870445},
  timestamp    = {Tue, 09 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/KeSCHM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KeaneGSWWTNN17,
  author       = {John P. Keane and
                  Nathaniel J. Guilar and
                  Dusan Stepanovic and
                  Bernd Wuppermann and
                  Charles Wu and
                  Cheongyuen W. Tsang and
                  Robert Neff and
                  Ken Nishimura},
  title        = {16.5 An 8GS/s time-interleaved {SAR} {ADC} with unresolved decision
                  detection achieving -58dBFS noise and 4GHz bandwidth in 28nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {284--285},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870372},
  doi          = {10.1109/ISSCC.2017.7870372},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KeaneGSWWTNN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KennedyBLR17,
  author       = {Henry Kennedy and
                  Rares Bodnar and
                  Teerasak Lee and
                  William Redman{-}White},
  title        = {22.1 {A} self-tuning resonant inductive link transmit driver using
                  quadrature-symmetric phase-switched fractional capacitance},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {370--371},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870415},
  doi          = {10.1109/ISSCC.2017.7870415},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/KennedyBLR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17,
  author       = {Chulbum Kim and
                  Ji{-}Ho Cho and
                  Woopyo Jeong and
                  Il{-}Han Park and
                  Hyun Wook Park and
                  Doo{-}Hyun Kim and
                  Daewoon Kang and
                  Sunghoon Lee and
                  Ji{-}Sang Lee and
                  Wontae Kim and
                  Jiyoon Park and
                  Yang{-}Lo Ahn and
                  Jiyoung Lee and
                  Jong{-}Hoon Lee and
                  Seungbum Kim and
                  Hyun{-}Jun Yoon and
                  Jaedoeg Yu and
                  Nayoung Choi and
                  Yelim Kwon and
                  Nahyun Kim and
                  Hwajun Jang and
                  Jonghoon Park and
                  Seunghwan Song and
                  Yongha Park and
                  Jinbae Bang and
                  Sangki Hong and
                  Byunghoon Jeong and
                  Hyun{-}Jin Kim and
                  Chunan Lee and
                  Young{-}Sun Min and
                  Inryul Lee and
                  In{-}Mo Kim and
                  Sunghoon Kim and
                  Dongkyu Yoon and
                  Ki{-}Sung Kim and
                  Youngdon Choi and
                  Moosung Kim and
                  Hyunggon Kim and
                  Pansuk Kwak and
                  Jeong{-}Don Ihm and
                  Dae{-}Seok Byeon and
                  Jin{-}Yub Lee and
                  Ki{-}Tae Park and
                  Kyehyun Kyung},
  title        = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {202--203},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870331},
  doi          = {10.1109/ISSCC.2017.7870331},
  timestamp    = {Thu, 23 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimHM17,
  author       = {Taewook Kim and
                  Changsok Han and
                  Nima Maghari},
  title        = {28.2 An 11.4mW 80.4dB-SNDR 15MHz-BW {CT} delta-sigma modulator using
                  6b double-noise-shaped quantizer},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {468--469},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870464},
  doi          = {10.1109/ISSCC.2017.7870464},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KimHM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimKCLSCCJ17,
  author       = {Sungwoo Kim and
                  Han{-}Gon Ko and
                  Sung{-}Yong Cho and
                  Jinhyung Lee and
                  Soyeong Shin and
                  Min{-}Seong Choo and
                  Hankyu Chi and
                  Deog{-}Kyoon Jeong},
  title        = {29.7 {A} 2.5GHz injection-locked {ADPLL} with 197fsrms integrated
                  jitter and -65dBc reference spur using time-division dual calibration},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {494--495},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870477},
  doi          = {10.1109/ISSCC.2017.7870477},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KimKCLSCCJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimKHS17,
  author       = {Doyun Kim and
                  Jonghwan Kim and
                  Hyunju Ham and
                  Mingoo Seok},
  title        = {20.6 {A} 0.5V-VIN 1.44mA-class event-driven digital {LDO} with a fully
                  integrated 100pF output capacitor},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {346--347},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870403},
  doi          = {10.1109/ISSCC.2017.7870403},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KimKHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimKJLLLLKLY17,
  author       = {Minseo Kim and
                  Hyunki Kim and
                  Jaeeun Jang and
                  Jihee Lee and
                  Jaehyuk Lee and
                  Jiwon Lee and
                  Kyungrog Lee and
                  Kwantae Kim and
                  Yongsu Lee and
                  Hoi{-}Jun Yoo},
  title        = {21.2 {A} 1.4m{\(\Omega\)}-sensitivity 94dB-dynamic-range electrical
                  impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation
                  monitoring system},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {354--355},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870407},
  doi          = {10.1109/ISSCC.2017.7870407},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KimKJLLLLKLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimLK17,
  author       = {Eunhwan Kim and
                  Minah Lee and
                  Jae{-}Joon Kim},
  title        = {8.2 8Mb/s 28Mb/mJ robust true-random-number generator in 65nm {CMOS}
                  based on differential ring oscillator with feedback resistors},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {144--145},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870302},
  doi          = {10.1109/ISSCC.2017.7870302},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KimLK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KimuraNWHKUTOIS17,
  author       = {Hayato Kimura and
                  Hideyuki Noda and
                  Hisaaki Watanabe and
                  Takashi Higuchi and
                  Ryosaku Kobayashi and
                  Masayuki Utsuno and
                  Fumitake Takami and
                  Sugako Otani and
                  Masayuki Ito and
                  Yasuhisa Shimazaki and
                  Naoki Yada and
                  Hiroyuki Kondo},
  title        = {3.5 {A} 40nm flash microcontroller with 0.80{\(\mathrm{\mu}\)}s field-oriented-control
                  intelligent motor timer and functional safety system for next-generation
                  {EV/HEV}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {58--59},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870259},
  doi          = {10.1109/ISSCC.2017.7870259},
  timestamp    = {Fri, 11 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KimuraNWHKUTOIS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KoGCRPLLBW17,
  author       = {Jenwei Ko and
                  Xiaochuan Guo and
                  Changhua Cao and
                  Saravanan Rajapandian and
                  Solti Peng and
                  Jing Li and
                  Wenchang Lee and
                  Narayanan Baskaran and
                  Caiyi Wang},
  title        = {2.5 {A} high-efficiency multiband Class-F power amplifier in 0.153{\(\mathrm{\mu}\)}m
                  bulk {CMOS} for {WCDMA/LTE} applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {40--41},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870250},
  doi          = {10.1109/ISSCC.2017.7870250},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KoGCRPLLBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KobayashiOKSTMT17,
  author       = {Masahiro Kobayashi and
                  Yusuke Onuki and
                  Kazunari Kawabata and
                  Hiroshi Sekine and
                  Toshiki Tsuboi and
                  Yasushi Matsuno and
                  Hidekazu Takahashi and
                  Toru Koizumi and
                  Katsuhito Sakurai and
                  Hiroshi Yuzurihara and
                  Shunsuke Inoue and
                  Takeshi Ichikawa},
  title        = {4.5 {A} 1.8erms\({}^{\mbox{-}}\) temporal noise over 110dB dynamic
                  range 3.4{\(\mathrm{\mu}\)}m pixel pitch global shutter {CMOS} image
                  sensor with dual-gain amplifiers, {SS-ADC} and multiple-accumulation
                  shutter},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {74--75},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870267},
  doi          = {10.1109/ISSCC.2017.7870267},
  timestamp    = {Mon, 10 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KobayashiOKSTMT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KongR17,
  author       = {Long Kong and
                  Behzad Razavi},
  title        = {19.5 {A} 2.4GHz {RF} fractional-N synthesizer with 0.25fREF {BW}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {330--331},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870395},
  doi          = {10.1109/ISSCC.2017.7870395},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KongR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KonoPC17,
  author       = {Takashi Kono and
                  Ki{-}Tae Park and
                  Leland Chang},
  title        = {Session 11 overview: Nonvolatile memory solutions},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {194--195},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870327},
  doi          = {10.1109/ISSCC.2017.7870327},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KonoPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KooMKPS17,
  author       = {Jahyun Koo and
                  Kyoung{-}Sik Moon and
                  Byungsub Kim and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  title        = {5.5 {A} quadrature relaxation oscillator with a process-induced frequency-error
                  compensation loop},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {94--95},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870277},
  doi          = {10.1109/ISSCC.2017.7870277},
  timestamp    = {Mon, 09 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/KooMKPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KosunenLMRNESAP17,
  author       = {Marko Kosunen and
                  Jerry Lemberg and
                  Mikko Martelius and
                  Enrico Roverato and
                  Tero Nieminen and
                  Mikko Englund and
                  Kari Stadius and
                  Lauri Anttila and
                  Jorma Pallonen and
                  Mikko Valkama and
                  Jussi Ryyn{\"{a}}nen},
  title        = {13.5 {A} 0.35-to-2.6GHz multilevel outphasing transmitter with a digital
                  interpolating phase modulator enabling up to 400MHz instantaneous
                  bandwidth},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {224--225},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870342},
  doi          = {10.1109/ISSCC.2017.7870342},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/KosunenLMRNESAP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KrishnamurthyVW17,
  author       = {Harish Kumar Krishnamurthy and
                  Vaibhav A. Vaidya and
                  Sheldon Weng and
                  Krishnan Ravichandran and
                  Pavan Kumar and
                  Stephen T. Kim and
                  Rinkle Jain and
                  George E. Matthew and
                  Jim Tschanz and
                  Vivek De},
  title        = {20.1 {A} digitally controlled fully integrated voltage regulator with
                  on-die solenoid inductor with planar magnetic core in 14nm tri-gate
                  {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {336--337},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870398},
  doi          = {10.1109/ISSCC.2017.7870398},
  timestamp    = {Wed, 05 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KrishnamurthyVW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KullLMBFMKYCOT17,
  author       = {Lukas Kull and
                  Danny Luu and
                  Christian Menolfi and
                  Matthias Braendli and
                  Pier Andrea Francese and
                  Thomas Morf and
                  Marcel A. Kossel and
                  Hazar Yueksel and
                  Alessandro Cevrero and
                  Ilter {\"{O}}zkaya and
                  Thomas Toifl},
  title        = {28.5 {A} 10b 1.5GS/s pipelined-SAR {ADC} with background second-stage
                  common-mode regulation and offset calibration in 14nm {CMOS} FinFET},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {474--475},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870467},
  doi          = {10.1109/ISSCC.2017.7870467},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KullLMBFMKYCOT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17,
  author       = {Nohhyup Kwak and
                  Saeng{-}Hwan Kim and
                  Kyong Ha Lee and
                  Chang{-}Ki Baek and
                  Mun Seon Jang and
                  Yongsuk Joo and
                  Seung{-}Hun Lee and
                  Wooyoung Lee and
                  Eunryeong Lee and
                  Donghee Han and
                  Jaeyeol Kang and
                  Jung Ho Lim and
                  Jae{-}Beom Park and
                  Kyung{-}Tae Kim and
                  Sunki Cho and
                  Sung Woo Han and
                  Jee Yeon Keh and
                  Jun Hyun Chun and
                  Jonghoon Oh and
                  Seok Hee Lee},
  title        = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A
                  self-refresh current for IoT applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {392--393},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870426},
  doi          = {10.1109/ISSCC.2017.7870426},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17,
  author       = {Hye{-}Jung Kwon and
                  Eunsung Seo and
                  ChangYong Lee and
                  Young{-}Hun Seo and
                  Gong{-}Heum Han and
                  Hye{-}Ran Kim and
                  Jong{-}Ho Lee and
                  Min{-}Su Jang and
                  Sung{-}Geun Do and
                  Seung{-}Hyun Cho and
                  Jae{-}Koo Park and
                  Su{-}Yeon Doo and
                  Jung{-}Bum Shin and
                  Sang{-}Hoon Jung and
                  Hyoung{-}Ju Kim and
                  In{-}Ho Im and
                  Beob{-}Rae Cho and
                  Jaewoong Lee and
                  Jae{-}Youl Lee and
                  Ki{-}Hun Yu and
                  Hyung{-}Kyu Kim and
                  Chul{-}Hee Jeon and
                  Hyun{-}Soo Park and
                  Sang{-}Sun Kim and
                  Seok{-}Ho Lee and
                  Jong{-}Wook Park and
                  Seung{-}Sub Lee and
                  Bo{-}Tak Lim and
                  Jun{-}Young Park and
                  Yoon{-}Sik Park and
                  Hyuk{-}Jun Kwon and
                  Seung{-}Jun Bae and
                  Jung{-}Hwan Choi and
                  Kwang{-}Il Park and
                  Seong{-}Jin Jang and
                  Gyo{-}Young Jin},
  title        = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM}
                  for wearable devices},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {394--395},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870427},
  doi          = {10.1109/ISSCC.2017.7870427},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LachartreDBFOLM17,
  author       = {David Lachartre and
                  Francois Dehmas and
                  Carolynn Bernier and
                  Christophe Fourtet and
                  Laurent Ouvry and
                  Florent Lepin and
                  Eric Mercier and
                  Steve Hamard and
                  Lionel Zirphile and
                  S{\'{e}}bastien Thuries and
                  Fabrice Chaix},
  title        = {7.5 {A} TCXO-less 100Hz-minimum-bandwidth transceiver for ultra-narrow-band
                  sub-GHz IoT cellular networks},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {134--135},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870297},
  doi          = {10.1109/ISSCC.2017.7870297},
  timestamp    = {Wed, 12 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/LachartreDBFOLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LajevardiMI17,
  author       = {Pedram Lajevardi and
                  Masayuki Miyamoto and
                  Makoto Ikeda},
  title        = {Session 9 overview: Sensors},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {156--157},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870308},
  doi          = {10.1109/ISSCC.2017.7870308},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LajevardiMI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeBKGPHYBJLPBC17,
  author       = {Ji{-}Hun Lee and
                  Jun{-}Suk Bang and
                  Kiduk Kim and
                  Hui{-}Dong Gwon and
                  Sang{-}Hui Park and
                  Yeunhee Huh and
                  Kye{-}Seok Yoon and
                  Jong{-}Beom Baek and
                  Yong{-}Min Ju and
                  Gibbeum Lee and
                  Homin Park and
                  Hyeon{-}Min Bae and
                  Gyu{-}Hyeong Cho},
  title        = {5.2 An 8{\(\Omega\)} 10W 91{\%}-power-efficiency 0.0023{\%}-THD+N
                  multi-level Class-D audio amplifier with folded {PWM}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {88--89},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870274},
  doi          = {10.1109/ISSCC.2017.7870274},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeBKGPHYBJLPBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeCBJSHK17,
  author       = {Yeonho Lee and
                  Yoonjae Choi and
                  Sang{-}Geun Bae and
                  Jaehun Jun and
                  Junyoung Song and
                  Sewook Hwang and
                  Chulwoo Kim},
  title        = {29.5 12Gb/s over four balanced lines utilizing {NRZ} braid clock signaling
                  with 100{\%} data payload and spread transition scheme for 8K {UHD}
                  intra-panel interfaces},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {490--491},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870475},
  doi          = {10.1109/ISSCC.2017.7870475},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeCBJSHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17,
  author       = {Chang{-}Kyo Lee and
                  Yoon{-}Joo Eom and
                  Jin{-}Hee Park and
                  Junha Lee and
                  Hye{-}Ran Kim and
                  Kihan Kim and
                  Young Choi and
                  Ho{-}Jun Chang and
                  Jonghyuk Kim and
                  Jong{-}Min Bang and
                  Seungjun Shin and
                  Hanna Park and
                  Su{-}Jin Park and
                  Young{-}Ryeol Choi and
                  Hoon Lee and
                  Kyong{-}Ho Jeon and
                  Jae{-}Young Lee and
                  Hyo{-}Joo Ahn and
                  Kyoung{-}Ho Kim and
                  Jung{-}Sik Kim and
                  Soobong Chang and
                  Hyong{-}Ryol Hwang and
                  Duyeul Kim and
                  Yoon{-}Hwan Yoon and
                  Seok{-}Hun Hyun and
                  Joon{-}Young Park and
                  Yoon{-}Gyu Song and
                  Youn{-}Sik Park and
                  Hyuck{-}Joon Kwon and
                  Seung{-}Jun Bae and
                  Tae{-}Young Oh and
                  Indal Song and
                  Yong{-}Cheol Bae and
                  Jung{-}Hwan Choi and
                  Kwang{-}Il Park and
                  Seong{-}Jin Jang and
                  Gyo{-}Young Jin},
  title        = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL}
                  and split-die architecture with 2-die {ZQ} calibration scheme},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {390--391},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870425},
  doi          = {10.1109/ISSCC.2017.7870425},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeOCKKKCNPLKJC17,
  author       = {Soo{-}Min Lee and
                  Jihun Oh and
                  Jinho Choi and
                  Seokkyun Ko and
                  Daero Kim and
                  Kyounghoi Koo and
                  JongRyun Choi and
                  Yoonjee Nam and
                  Sangsoo Park and
                  Hyungkweon Lee and
                  Eunsu Kim and
                  Sukhyun Jung and
                  Kwanyeob Chae and
                  SuHo Kim and
                  Sanghune Park and
                  Sanghyun Lee and
                  Sungho Park},
  title        = {23.6 {A} 0.6V 4.266Gb/s/pin {LPDDR4X} interface with auto-DQS cleaning
                  and write-VWM training for memory controller},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {398--399},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870429},
  doi          = {10.1109/ISSCC.2017.7870429},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeOCKKKCNPLKJC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeePT17,
  author       = {Hoi Lee and
                  Gerard Villar Pique and
                  Axel Thomsen},
  title        = {Session 10 overview: {DC-DC} converters},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {176--177},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870318},
  doi          = {10.1109/ISSCC.2017.7870318},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeePT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeSM17,
  author       = {Harry Lee and
                  Matt Straayer and
                  Chris Mangelsdorf},
  title        = {{EE6:} Return of survey says!},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {525},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870490},
  doi          = {10.1109/ISSCC.2017.7870490},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeSMM17,
  author       = {Bumkil Lee and
                  Min Kyu Song and
                  Ashis Maity and
                  Dongsheng Brian Ma},
  title        = {10.7 {A} 25MHz 4-phase {SAW} hysteretic {DC-DC} converter with 1-cycle
                  {APC} achieving 190ns tsettle to 4A load transient and above 80{\%}
                  efficiency in 96.7{\%} of the power range},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {190--191},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870325},
  doi          = {10.1109/ISSCC.2017.7870325},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeSMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeVM17,
  author       = {Tai{-}Cheng Lee and
                  Bob Verbruggen and
                  Un{-}Ku Moon},
  title        = {Session 28 overview: Hybrid ADCs},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {464--465},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870462},
  doi          = {10.1109/ISSCC.2017.7870462},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeVM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiDSKYZDSBK17,
  author       = {Ziyun Li and
                  Qing Dong and
                  Mehdi Saligane and
                  Benjamin P. Kempke and
                  Shijia Yang and
                  Zhengya Zhang and
                  Ronald G. Dreslinski and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Hun{-}Seok Kim},
  title        = {3.7 {A} 1920{\texttimes}1080 30fps 2.3TOPS/W stereo-depth processor
                  for robust autonomous navigation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {62--63},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870261},
  doi          = {10.1109/ISSCC.2017.7870261},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/LiDSKYZDSBK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiYCLLHCS17,
  author       = {Chao{-}Chieh Li and
                  Min{-}Shueh Yuan and
                  Chih{-}Hsien Chang and
                  Yu{-}Tso Lin and
                  Chia{-}Chun Liao and
                  Kenny Hsieh and
                  Mark Chen and
                  Robert Bogdan Staszewski},
  title        = {19.6 {A} 0.2V trifilar-coil {DCO} with {DC-DC} converter in 16nm FinFET
                  {CMOS} with 188dB FOM, 1.3kHz resolution, and frequency pushing of
                  38MHz/V for energy harvesting applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {332--333},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870396},
  doi          = {10.1109/ISSCC.2017.7870396},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LiYCLLHCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiangSTOY17,
  author       = {Joshua Liang and
                  Ali Sheikholeslami and
                  Hirotaka Tamura and
                  Yuuki Ogata and
                  Hisakatsu Yamaguchi},
  title        = {6.7 {A} 28Gb/s digital {CDR} with adaptive loop gain for optimum jitter
                  tolerance},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {122--123},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870291},
  doi          = {10.1109/ISSCC.2017.7870291},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/LiangSTOY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LienKTSN17,
  author       = {Yuanching Lien and
                  Eric A. M. Klumperink and
                  Bernard Tenbroek and
                  Jon Strange and
                  Bram Nauta},
  title        = {24.3 {A} high-linearity {CMOS} receiver achieving +44dBm {IIP3} and
                  +13dBm B1dB for SAW-less {LTE} radio},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {412--413},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870436},
  doi          = {10.1109/ISSCC.2017.7870436},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LienKTSN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LimSB17,
  author       = {Wootaek Lim and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {4.4 {A} sub-nW 80mlx-to-1.26Mlx self-referencing light-to-digital
                  converter with AlGaAs photodiode},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {72--73},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870266},
  doi          = {10.1109/ISSCC.2017.7870266},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LimSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LinJA17,
  author       = {Longyang Lin and
                  Saurabh Jain and
                  Massimo Alioto},
  title        = {26.3 Reconfigurable clock networks for random skew mitigation from
                  subthreshold to nominal voltage},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {440--441},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870450},
  doi          = {10.1109/ISSCC.2017.7870450},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LinJA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiuALHP17,
  author       = {Wen{-}Chuen Liu and
                  Pourya Assem and
                  Yutian Lei and
                  Pavan Kumar Hanumolu and
                  Robert C. N. Pilawa{-}Podgurski},
  title        = {10.3 {A} 94.2{\%}-peak-efficiency 1.53A direct-battery-hook-up hybrid
                  Dickson switched-capacitor {DC-DC} converter with wide continuous
                  conversion ratio in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {182--183},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870321},
  doi          = {10.1109/ISSCC.2017.7870321},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LiuALHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiuCS17,
  author       = {Xiaosen Liu and
                  Adrian I. Colli{-}Menchi and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {21.4 {A} reduced-order sliding-mode controller with an auxiliary {PLL}
                  frequency discriminator for ultrasonic electric scalpels},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {358--359},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870409},
  doi          = {10.1109/ISSCC.2017.7870409},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/LiuCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiuH17,
  author       = {Chun{-}Cheng Liu and
                  Mu{-}Chen Huang},
  title        = {28.1 {A} 0.46mW 5MHz-BW 79.7dB-SNDR noise-shaping {SAR} {ADC} with
                  dynamic-amplifier-based {FIR-IIR} filter},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {466--467},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870463},
  doi          = {10.1109/ISSCC.2017.7870463},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LiuH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiuPLDSBP17,
  author       = {Yao{-}Hong Liu and
                  Vijaya Kumar Purushothaman and
                  Chuang Lu and
                  Johan Dijkhuis and
                  Robert Bogdan Staszewski and
                  Christian Bachmann and
                  Kathleen Philips},
  title        = {24.1 {A} 770pJ/b 0.85V 0.3mm\({}^{\mbox{2}}\) DCO-based phase-tracking
                  {RX} featuring direct demodulation and data-aided carrier tracking
                  for IoT applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {408--409},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870434},
  doi          = {10.1109/ISSCC.2017.7870434},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LiuPLDSBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LiuZZCML17,
  author       = {Xun Liu and
                  Heng Zhang and
                  Min Zhao and
                  Xuan Chen and
                  Philip K. T. Mok and
                  Howard C. Luong},
  title        = {2.4 {A} 2.4V 23.9dBm 35.7{\%}-PAE -32.1dBc-ACLR LTE-20MHz envelope-shaping-and-tracking
                  system with a multiloop-controlled AC-coupling supply modulator and
                  a mode-switching {PA}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {38--39},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870249},
  doi          = {10.1109/ISSCC.2017.7870249},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LiuZZCML17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LuBLWBP17,
  author       = {Chuang Lu and
                  Ao Ba and
                  Yao{-}Hong Liu and
                  Xiaoyan Wang and
                  Christian Bachmann and
                  Kathleen Philips},
  title        = {17.4 {A} sub-mW antenna-impedance detection using electrical balance
                  for single-step on-chip tunable matching in wearable/implantable applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {298--299},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870379},
  doi          = {10.1109/ISSCC.2017.7870379},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LuBLWBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LuHS17,
  author       = {Xuyang Lu and
                  Lingyu Hong and
                  Kaushik Sengupta},
  title        = {15.9 An integrated optical physically unclonable function using process-sensitive
                  sub-wavelength photonic crystals in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {272--273},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870366},
  doi          = {10.1109/ISSCC.2017.7870366},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LuHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MachidaSTYYISMN17,
  author       = {Shin'ichi Machida and
                  Sanshiro Shishido and
                  Takeyoshi Tokuhara and
                  Masaaki Yanagida and
                  Takayoshi Yamada and
                  Masumi Izuchi and
                  Yoshiaki Sato and
                  Yasuo Miyake and
                  Manabu Nakata and
                  Masashi Murakami and
                  Mitsuru Harada and
                  Yasunori Inoue},
  title        = {4.7 {A} 2.1Mpixel organic-film stacked {RGB-IR} image sensor with
                  electrically controllable {IR} sensitivity},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {78--79},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870269},
  doi          = {10.1109/ISSCC.2017.7870269},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MachidaSTYYISMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MadoglioXCCFLKN17,
  author       = {Paolo Madoglio and
                  Hongtao Xu and
                  Kailash Chandrashekar and
                  Luis Cuellar and
                  Muhammad Faisal and
                  Yee William Li and
                  Hyung Seok Kim and
                  Khoa Minh Nguyen and
                  Yulin Tan and
                  Brent R. Carlton and
                  Vaibhav A. Vaidya and
                  Yanjie Wang and
                  Thomas Tetzlaff and
                  Satoshi Suzuki and
                  Amr Fahim and
                  Parmoon Seddighrad and
                  Jianyong Xie and
                  Zhichao Zhang and
                  Divya Shree Vemparala and
                  Ashoke Ravi and
                  Stefano Pellerano and
                  Yorgos Palaskas},
  title        = {13.6 {A} 2.4GHz {WLAN} digital polar transmitter with synthesized
                  digital-to-time converter in 14nm trigate/FinFET technology for IoT
                  and wearable applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {226--227},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870343},
  doi          = {10.1109/ISSCC.2017.7870343},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MadoglioXCCFLKN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MairWWKTGLSGLTL17,
  author       = {Hugh Mair and
                  Ericbill Wang and
                  Alice Wang and
                  Ping Kao and
                  Yuwen Tsai and
                  Sumanth Gururajarao and
                  Rolf Lagerquist and
                  Jin Son and
                  Gordon Gammie and
                  Gordon Lin and
                  Achuta Thippana and
                  Kent Li and
                  Manzur Rahman and
                  Wuan Kuo and
                  David Yen and
                  Yi{-}Chang Zhuang and
                  Ue Fu and
                  Hung{-}Wei Wang and
                  Mark Peng and
                  Cheng{-}Yuh Wu and
                  Taner Dosluoglu and
                  Anatoly Gelman and
                  Daniel Dia and
                  Girishankar Gurumurthy and
                  Tony Hsieh and
                  W. X. Lin and
                  Ray Tzeng and
                  Jengding Wu and
                  C. H. Wang and
                  Uming Ko},
  title        = {3.4 {A} 10nm FinFET 2.8GHz tri-gear deca-core {CPU} complex with optimized
                  power-delivery network for mobile SoC performance},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {56--57},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870258},
  doi          = {10.1109/ISSCC.2017.7870258},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MairWWKTGLSGLTL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MarxDNRMM17,
  author       = {Maximilian Marx and
                  Daniel DeDorigo and
                  Sebastian Nessler and
                  Stefan Rombach and
                  Michael Maurer and
                  Yiannos Manoli},
  title        = {9.4 {A} 27{\(\mathrm{\mu}\)}W 0.06mm\({}^{\mbox{2}}\) background resonance
                  frequency tuning circuit based on noise observation for a 1.71mW CT-{\(\Delta\)}{\(\Sigma\)}
                  {MEMS} gyroscope readout system with 0.9{\textdegree}/h bias instability},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {164--165},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870312},
  doi          = {10.1109/ISSCC.2017.7870312},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MarxDNRMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MazzantiGW17,
  author       = {Andrea Mazzanti and
                  Xiang Gao and
                  Piet Wambacq},
  title        = {Session 19 overview: Frequency generation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {320--321},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870390},
  doi          = {10.1109/ISSCC.2017.7870390},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MazzantiGW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MiuraLWIN17,
  author       = {Noriyuki Miura and
                  Shijia Liu and
                  Tsuyoshi Watanabe and
                  Shigeki Imai and
                  Makoto Nagata},
  title        = {15.8 {A} permanent digital archive system based on 4F\({}^{\mbox{2}}\)
                  x-point multi-layer metal nano-dot structure},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {270--271},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870365},
  doi          = {10.1109/ISSCC.2017.7870365},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MiuraLWIN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MoazeniLWARPS17,
  author       = {Sajjad Moazeni and
                  Sen Lin and
                  Mark T. Wade and
                  Luca Alloatti and
                  Rajeev J. Ram and
                  Milos A. Popovic and
                  Vladimir Stojanovic},
  title        = {29.3 {A} 40Gb/s {PAM-4} transmitter based on a ring-resonator optical
                  {DAC} in 45nm {SOI} {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {486--487},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870473},
  doi          = {10.1109/ISSCC.2017.7870473},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MoazeniLWARPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MolnarCP17,
  author       = {Alyosha C. Molnar and
                  Jan Craninckx and
                  Aarno P{\"{a}}rssinen},
  title        = {Session 18 overview: Full duplex wireless front-ends},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {312--313},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870386},
  doi          = {10.1109/ISSCC.2017.7870386},
  timestamp    = {Fri, 11 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MolnarCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MoonsUDV17,
  author       = {Bert Moons and
                  Roel Uytterhoeven and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {14.5 Envision: {A} 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable
                  Convolutional Neural Network processor in 28nm {FDSOI}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {246--247},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870353},
  doi          = {10.1109/ISSCC.2017.7870353},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MoonsUDV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MostertSGBHKIGH17,
  author       = {Fred Mostert and
                  Dani{\"{e}}l Schinkel and
                  Wouter Groothedde and
                  Lucien J. Breems and
                  Remko van Heeswijk and
                  Marto{-}Jan Koerts and
                  Eric van Iersel and
                  Daniel Groeneveld and
                  Gertjan van Holland and
                  Patrick Zeelen and
                  Derk{-}Jan Hissink and
                  Martin Pos and
                  Paul Wielage and
                  Fre Jorritsma and
                  Marc Klein Middelink},
  title        = {5.1 {A} 5{\texttimes}80W 0.004{\%} {THD+N} automotive multiphase Class-D
                  audio amplifier with integrated low-latency {\(\Delta\)}{\(\Sigma\)}
                  ADCs for digitized feedback after the output filter},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {86--87},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870273},
  doi          = {10.1109/ISSCC.2017.7870273},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MostertSGBHKIGH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MukherjeeBSBSGT17,
  author       = {Subhashish Mukherjee and
                  Anoop Narayan Bhat and
                  Kumar Anurag Shrivastava and
                  Madhulatha Bonu and
                  Benjamin Sutton and
                  Venugopal Gopinathan and
                  Ganesan Thiagarajan and
                  Abhijit Patki and
                  Jhankar Malakar and
                  Nagendra Krishnapura},
  title        = {25.4 {A} 500Mb/s 200pJ/b die-to-die bidirectional link with 24kV surge
                  isolation and 50kV/{\(\mathrm{\mu}\)}s {CMR} using resonant inductive
                  coupling in 0.18{\(\mathrm{\mu}\)}m {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {434--435},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870447},
  doi          = {10.1109/ISSCC.2017.7870447},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MukherjeeBSBSGT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MulderFM17,
  author       = {Jan Mulder and
                  Paul Ferguson and
                  Un{-}Ku Moon},
  title        = {Session 16 overview: Gigahertz data converters},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {274--275},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870367},
  doi          = {10.1109/ISSCC.2017.7870367},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MulderFM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Murmann17,
  author       = {Boris Murmann},
  title        = {Foreword: Intelligent Chips for a Smart World},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {5},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870238},
  doi          = {10.1109/ISSCC.2017.7870238},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/Murmann17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynyLPRAWSSDG17,
  author       = {Kris Myny and
                  Yi{-}Cheng Lai and
                  Nikolaos Papadopoulos and
                  Florian De Roose and
                  Marc Ameys and
                  Myriam Willegems and
                  Steve Smout and
                  Soeren Steudel and
                  Wim Dehaene and
                  Jan Genoe},
  title        = {15.2 {A} flexible {ISO14443-A} compliant 7.5mW 128b metal-oxide {NFC}
                  barcode tag with direct clock division circuit from 13.56MHz carrier},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {258--259},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870359},
  doi          = {10.1109/ISSCC.2017.7870359},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MynyLPRAWSSDG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/NadeauMCLC17,
  author       = {Phillip M. Nadeau and
                  Mark Mimee and
                  Sean Carim and
                  Timothy K. Lu and
                  Anantha P. Chandrakasan},
  title        = {21.1 Nanowatt circuit interface to whole-cell bacterial sensors},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {352--353},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870406},
  doi          = {10.1109/ISSCC.2017.7870406},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/NadeauMCLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/NagaiRC17,
  author       = {Shuichi Nagai and
                  Yogesh K. Ramadass and
                  Eugenio Cantatore},
  title        = {Session 25 overview: GaN drivers and galvanic isolators},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {426--427},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870443},
  doi          = {10.1109/ISSCC.2017.7870443},
  timestamp    = {Wed, 10 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/NagaiRC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/NandwanaSETZCEH17,
  author       = {Romesh Kumar Nandwana and
                  Saurabh Saxena and
                  Ahmed Elkholy and
                  Mrunmay Talegaonkar and
                  Junheng Zhu and
                  Woo{-}Seok Choi and
                  Ahmed Elmallah and
                  Pavan Kumar Hanumolu},
  title        = {29.6 {A} 3-to-10Gb/s 5.75pJ/b transceiver with flexible clocking in
                  65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {492--493},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870476},
  doi          = {10.1109/ISSCC.2017.7870476},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/NandwanaSETZCEH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/NasriWAGRSWKS17,
  author       = {Bayan Nasri and
                  Ting Wu and
                  Abdullah Alharbi and
                  Mayank Gupta and
                  RamKumar RanjithKumar and
                  Sunit P. Sebastian and
                  Yue Wang and
                  Roozbeh Kiani and
                  Davood Shahrjerdi},
  title        = {15.7 Heterogeneous integrated CMOS-graphene sensor array for dopamine
                  detection},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {268--269},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870364},
  doi          = {10.1109/ISSCC.2017.7870364},
  timestamp    = {Wed, 20 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/NasriWAGRSWKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/NazariJH17,
  author       = {Peyman Nazari and
                  Saman Jafarlou and
                  Payam Heydari},
  title        = {19.1 {A} fundamental-frequency 114GHz circular-polarized radiating
                  element with 14dBm EIRP, -99.3dBc/Hz phase-noise at 1MHz offset and
                  3.7{\%} peak efficiency},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {322--323},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870391},
  doi          = {10.1109/ISSCC.2017.7870391},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/NazariJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/NgoNYTOM17,
  author       = {Huy Cu Ngo and
                  Kengo Nakata and
                  Toru Yoshioka and
                  Yuki Terashima and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {8.5 {A} 0.42ps-jitter -241.7dB-FOM synthesizable injection-locked
                  {PLL} with noise-isolation {LDO}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {150--151},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870305},
  doi          = {10.1109/ISSCC.2017.7870305},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/NgoNYTOM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/OnizukaKW17,
  author       = {Kohei Onizuka and
                  Abbas Komijani and
                  Piet Wambacq},
  title        = {Session 2 overview: Power amplifiers},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {30--31},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870245},
  doi          = {10.1109/ISSCC.2017.7870245},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/OnizukaKW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PaidimarriC17,
  author       = {Arun Paidimarri and
                  Anantha P. Chandrakasan},
  title        = {10.8 {A} Buck converter with 240pW quiescent power, 92{\%} peak efficiency
                  and a 2{\texttimes}10\({}^{\mbox{6}}\) dynamic range},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {192--193},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870326},
  doi          = {10.1109/ISSCC.2017.7870326},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PaidimarriC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PalermoNO17,
  author       = {Samuel Palermo and
                  Hideyuki Nosaka and
                  Frank O'Mahony},
  title        = {Session 29 overview: Optical- and electrical-link innovations},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {480--481},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870470},
  doi          = {10.1109/ISSCC.2017.7870470},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PalermoNO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PanARCM17,
  author       = {Jiacheng Pan and
                  Asad A. Abidi and
                  Dejan Rozgic and
                  Hariprasad Chandrakumar and
                  Dejan Markovic},
  title        = {22.7 An inductively-coupled wireless power-transfer system that is
                  immune to distance and load variations},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {382--383},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870421},
  doi          = {10.1109/ISSCC.2017.7870421},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PanARCM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PanLSM17,
  author       = {Sining Pan and
                  Yanquan Luo and
                  Saleh Heidary Shalmany and
                  Kofi A. A. Makinwa},
  title        = {9.1 {A} resistor-based temperature sensor with a 0.13pJ{\(\cdot\)}K\({}^{\mbox{2}}\)
                  resolution {FOM}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {158--159},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870309},
  doi          = {10.1109/ISSCC.2017.7870309},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PanLSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PangMKNSDKKKKTL17,
  author       = {Jian Pang and
                  Shotaro Maki and
                  Seitarou Kawai and
                  Noriaki Nagashima and
                  Yuuki Seo and
                  Masato Dome and
                  Hisashi Kato and
                  Makihiko Katsuragi and
                  Kento Kimura and
                  Satoshi Kondo and
                  Yuki Terashima and
                  Hanli Liu and
                  Teerachot Siriburanon and
                  Aravind Tharayil Narayanan and
                  Nurul Fajri and
                  Tohru Kaneko and
                  Toru Yoshioka and
                  Bangan Liu and
                  Yun Wang and
                  Rui Wu and
                  Ning Li and
                  Korkut Kaan Tokgoz and
                  Masaya Miyahara and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {24.9 {A} 128-QAM 60GHz {CMOS} transceiver for IEEE802.11ay with calibration
                  of {LO} feedthrough and {I/Q} imbalance},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {424--425},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870442},
  doi          = {10.1109/ISSCC.2017.7870442},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PangMKNSDKKKKTL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PappuRB17,
  author       = {Karthik Pappu and
                  George Pieter Reitsma and
                  Sumant Bapat},
  title        = {5.4 Frequency-locked-loop ring oscillator with 3ns peak-to-peak accumulated
                  jitter in 1ms time window for high-resolution frequency counting},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {92--93},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870276},
  doi          = {10.1109/ISSCC.2017.7870276},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PappuRB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ParkWPHW17,
  author       = {Jong Seok Park and
                  Yanjie Wang and
                  Stefano Pellerano and
                  Christopher D. Hull and
                  Hua Wang},
  title        = {13.8 {A} 24dBm 2-to-4.3GHz wideband digital Power Amplifier with built-in
                  {AM-PM} distortion self-compensation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {230--231},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870345},
  doi          = {10.1109/ISSCC.2017.7870345},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ParkWPHW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PassamaniPTKNB17,
  author       = {Antonio Passamani and
                  Davide Ponton and
                  Edwin Thaller and
                  Gerhard Knoblinger and
                  Andrea Neviani and
                  Andrea Bevilacqua},
  title        = {13.9 {A} 1.1V 28.6dBm fully integrated digital power amplifier for
                  mobile and wireless applications in 28nm {CMOS} technology with 35{\%}
                  {PAE}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {232--233},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870346},
  doi          = {10.1109/ISSCC.2017.7870346},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PassamaniPTKNB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PelleranoCR17,
  author       = {Stefano Pellerano and
                  Sungdae Choi and
                  Jan M. Rabaey},
  title        = {{EE2:} Intelligent machines: Will the technological singularity happen?},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {521},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870486},
  doi          = {10.1109/ISSCC.2017.7870486},
  timestamp    = {Thu, 21 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PelleranoCR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PengLCL17,
  author       = {Pen{-}Jui Peng and
                  Jeng{-}Feng Li and
                  Li{-}Yang Chen and
                  Jri Lee},
  title        = {6.1 {A} 56Gb/s {PAM-4/NRZ} transceiver in 40nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {110--111},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870285},
  doi          = {10.1109/ISSCC.2017.7870285},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PengLCL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PiessensIT17,
  author       = {Tim Piessens and
                  Vadim Ivanov and
                  Axel Thomsen},
  title        = {Session 5 overview: Analog techniques},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {84--85},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870272},
  doi          = {10.1109/ISSCC.2017.7870272},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PiessensIT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PrabhuRLE17,
  author       = {Hemanth Prabhu and
                  Joachim Neves Rodrigues and
                  Liang Liu and
                  Ove Edfors},
  title        = {3.6 {A} 60pJ/b 300Mb/s 128{\texttimes}8 Massive {MIMO} precoder-detector
                  in 28nm {FD-SOI}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {60--61},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870260},
  doi          = {10.1109/ISSCC.2017.7870260},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PrabhuRLE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PriceGC17,
  author       = {Michael Price and
                  James R. Glass and
                  Anantha P. Chandrakasan},
  title        = {14.4 {A} scalable speech recognizer with deep-neural-network acoustic
                  models and voice-activated power gating},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {244--245},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870352},
  doi          = {10.1109/ISSCC.2017.7870352},
  timestamp    = {Mon, 22 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PriceGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RahmanYLSTSY17,
  author       = {Wahid Rahman and
                  Danny Yoo and
                  Joshua Liang and
                  Ali Sheikholeslami and
                  Hirotaka Tamura and
                  Takayuki Shibasaki and
                  Hisakatsu Yamaguchi},
  title        = {6.6 {A} 22.5-to-32Gb/s 3.2pJ/b referenceless baud-rate digital {CDR}
                  with {DFE} and {CTLE} in 28nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {120--121},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870290},
  doi          = {10.1109/ISSCC.2017.7870290},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/RahmanYLSTSY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RamachandranNA17,
  author       = {Ashwin Ramachandran and
                  Arun Natarajan and
                  Tejasvi Anand},
  title        = {29.4 {A} 16Gb/s 3.6pJ/b wireline transceiver with phase domain equalization
                  scheme: Integrated pulse width modulation (iPWM) in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {488--489},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870474},
  doi          = {10.1109/ISSCC.2017.7870474},
  timestamp    = {Fri, 02 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/RamachandranNA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ReiskarimianDZK17,
  author       = {Negar Reiskarimian and
                  Mahmood Baraani Dastjerdi and
                  Jin Zhou and
                  Harish Krishnaswamy},
  title        = {18.2 Highly-linear integrated magnetic-free circulator-receiver for
                  full-duplex wireless},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {316--317},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870388},
  doi          = {10.1109/ISSCC.2017.7870388},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ReiskarimianDZK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RhoTKSBINMCSPPY17,
  author       = {Kwangmyoung Rho and
                  Kenji Tsuchida and
                  Dongkeun Kim and
                  Yutaka Shirai and
                  Jihyae Bae and
                  Tsuneo Inaba and
                  Hiromi Noro and
                  Hyunin Moon and
                  Sungwoong Chung and
                  Kazumasa Sunouchi and
                  Jinwon Park and
                  Kiseon Park and
                  Akihito Yamamoto and
                  Seoungju Chung and
                  Hyeongon Kim and
                  Hisato Oyamatsu and
                  Jonghoon Oh},
  title        = {23.5 {A} 4Gb {LPDDR2} {STT-MRAM} with compact 9F2 1T1MTJ cell and
                  hierarchical bitline architecture},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {396--397},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870428},
  doi          = {10.1109/ISSCC.2017.7870428},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/RhoTKSBINMCSPPY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Rothberg17,
  author       = {Jonathan Rothberg},
  title        = {1.3 The development of high-speed {DNA} sequencing: Jurassic Park,
                  Neanderthal, Moore, and you},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {23},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870243},
  doi          = {10.1109/ISSCC.2017.7870243},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Rothberg17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RoveratoKCVSBKS17,
  author       = {Enrico Roverato and
                  Marko Kosunen and
                  Koen Cornelissens and
                  Sofia Vatti and
                  Paul Stynen and
                  Kaoutar Bertrand and
                  Teuvo Korhonen and
                  Hans Samsom and
                  Patrick Vandenameele and
                  Jussi Ryyn{\"{a}}nen},
  title        = {13.4 All-digital {RF} transmitter in 28nm {CMOS} with programmable
                  RX-band noise shaping},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {222--223},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870341},
  doi          = {10.1109/ISSCC.2017.7870341},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/RoveratoKCVSBKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RuOHNLC17,
  author       = {Jiayoon Ru and
                  Kohei Onizuka and
                  Pavan Kumar Hanumolu and
                  Roberto Nonis and
                  Howard C. Luong and
                  Jan Craninckx},
  title        = {{F2:} High-performance frequency generation for wireless and wireline
                  systems},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {503--505},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870480},
  doi          = {10.1109/ISSCC.2017.7870480},
  timestamp    = {Wed, 25 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/RuOHNLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SadhuTHSRRSHMBW17,
  author       = {Bodhisatwa Sadhu and
                  Yahya M. Tousi and
                  Joakim Hallin and
                  Stefan Sahl and
                  Scott K. Reynolds and
                  Orjan Renstrom and
                  Kristoffer Sjogren and
                  Olov Haapalahti and
                  Nadav Mazor and
                  Bo Bokinge and
                  Gustaf Weibull and
                  H{\aa}kan Bengtsson and
                  Anders Carlinger and
                  Eric Westesson and
                  Jan{-}Erik Thillberg and
                  Leonard Rexberg and
                  Mark Yeck and
                  Xiaoxiong Gu and
                  Daniel J. Friedman and
                  Alberto Valdes{-}Garcia},
  title        = {7.2 {A} 28GHz 32-element phased-array transceiver {IC} with concurrent
                  dual polarized beams and 1.4 degree beam-steering resolution for 5G
                  communication},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {128--129},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870294},
  doi          = {10.1109/ISSCC.2017.7870294},
  timestamp    = {Thu, 13 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SadhuTHSRRSHMBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SalemM17,
  author       = {Loai G. Salem and
                  Patrick P. Mercier},
  title        = {26.4 {A} 0.4-to-1V 1MHz-to-2GHz switched-capacitor adiabatic clock
                  driver achieving 55.6{\%} clock power reduction},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {442--443},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870451},
  doi          = {10.1109/ISSCC.2017.7870451},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SalemM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SalemWM17,
  author       = {Loai G. Salem and
                  Julian Warchall and
                  Patrick P. Mercier},
  title        = {20.3 {A} 100nA-to-2mA successive-approximation digital {LDO} with
                  {PD} compensation and sub-LSB duty control achieving a 15.1ns response
                  time at 0.5V},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {340--341},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870400},
  doi          = {10.1109/ISSCC.2017.7870400},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SalemWM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SavanthMWFA17,
  author       = {Anand Savanth and
                  James Myers and
                  Alex S. Weddell and
                  David Flynn and
                  Bashir M. Al{-}Hashimi},
  title        = {5.6 {A} 0.68nW/kHz supply-independent Relaxation Oscillator with {\(\pm\)}0.49{\%}/V
                  and 96ppm/{\textdegree}C stability},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {96--97},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870278},
  doi          = {10.1109/ISSCC.2017.7870278},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/SavanthMWFA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SchaefDS17,
  author       = {Christopher Schaef and
                  Eric Din and
                  Jason T. Stauth},
  title        = {10.2 {A} digitally controlled 94.8{\%}-peak-efficiency hybrid switched-capacitor
                  converter for bidirectional balancing and impedance-based diagnostics
                  of lithium-ion battery arrays},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {180--181},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870320},
  doi          = {10.1109/ISSCC.2017.7870320},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SchaefDS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SeidelW17,
  author       = {Achim Seidel and
                  Bernhard Wicht},
  title        = {25.3 {A} 1.3A gate driver for GaN with fully integrated gate charge
                  buffer capacitor delivering 11nC enabled by high-voltage energy storing},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {432--433},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870446},
  doi          = {10.1109/ISSCC.2017.7870446},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SeidelW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SeoSMKKYK17,
  author       = {Min{-}Woong Seo and
                  Yuya Shirakawa and
                  Yuriko Masuda and
                  Yoshimasa Kawata and
                  Keiichiro Kagawa and
                  Keita Yasutomi and
                  Shoji Kawahito},
  title        = {4.3 {A} programmable sub-nanosecond time-gated 4-tap lock-in pixel
                  {CMOS} image sensor for real-time fluorescence lifetime imaging microscopy},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {70--71},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870265},
  doi          = {10.1109/ISSCC.2017.7870265},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SeoSMKKYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SeoWJAK17,
  author       = {Min{-}Woong Seo and
                  Tongxi Wang and
                  Sung{-}Wook Jun and
                  Tomoyuki Akahori and
                  Shoji Kawahito},
  title        = {4.8 {A} 0.44e\({}^{\mbox{-}}\)rms read-noise 32fps 0.5Mpixel high-sensitivity
                  RG-less-pixel {CMOS} image sensor using bootstrapping reset},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {80--81},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870270},
  doi          = {10.1109/ISSCC.2017.7870270},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SeoWJAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ShakibEDAE17,
  author       = {Sherif Shakib and
                  Mohamed Elkholy and
                  Jeremy Dunworth and
                  Vladimir Aparin and
                  Kamran Entesari},
  title        = {2.7 {A} wideband 28GHz power amplifier supporting 8{\texttimes}100MHz
                  carrier aggregation for 5G in 40nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {44--45},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870252},
  doi          = {10.1109/ISSCC.2017.7870252},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ShakibEDAE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Sheikholeslami17,
  author       = {Ali Sheikholeslami},
  title        = {Tutorials},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {498--499},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870478},
  doi          = {10.1109/ISSCC.2017.7870478},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Sheikholeslami17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ShibataKJGZP17,
  author       = {Hajime Shibata and
                  Victor Kozlov and
                  Zexi Ji and
                  Asha Ganesan and
                  Haiyang Zhu and
                  Donald Paterson},
  title        = {16.2 {A} 9GS/s 1GHz-BW oversampled continuous-time pipeline {ADC}
                  achieving -161dBFS/Hz {NSD}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870369},
  doi          = {10.1109/ISSCC.2017.7870369},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ShibataKJGZP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ShimazakiMB17,
  author       = {Yasuhisa Shimazaki and
                  John Maneatis and
                  Edith Beign{\'{e}}},
  title        = {Session 8 overview: Digital PLLs and security circuits},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {140--141},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870300},
  doi          = {10.1109/ISSCC.2017.7870300},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ShimazakiMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ShinLLY17,
  author       = {Dongjoo Shin and
                  Jinmook Lee and
                  Jinsu Lee and
                  Hoi{-}Jun Yoo},
  title        = {14.2 {DNPU:} An 8.1TOPS/W reconfigurable {CNN-RNN} processor for general-purpose
                  deep neural networks},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {240--241},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870350},
  doi          = {10.1109/ISSCC.2017.7870350},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ShinLLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SinderenGYLY17,
  author       = {Jan van Sinderen and
                  Danielle Griffith and
                  Ken Yamamoto and
                  Antonio Liscidini and
                  Young{-}sub Yuk},
  title        = {{F4:} Wireless low-power transceivers for local and wide-area networks},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {509--511},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870482},
  doi          = {10.1109/ISSCC.2017.7870482},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SinderenGYLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SinghRJHSMNKJSC17,
  author       = {Teja Singh and
                  Sundar Rangarajan and
                  Deepesh John and
                  Carson Henrion and
                  Shane Southard and
                  Hugh McIntyre and
                  Amy Novak and
                  Stephen Kosonocky and
                  Ravi Jotwani and
                  Alex Schaefer and
                  Edward Chang and
                  Joshua Bell and
                  Michael Co},
  title        = {3.2 Zen: {A} next-generation high-performance {\texttimes}86 core},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {52--53},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870256},
  doi          = {10.1109/ISSCC.2017.7870256},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SinghRJHSMNKJSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SonSKJKSPLPWRLW17,
  author       = {Bongki Son and
                  Yunjae Suh and
                  Sungho Kim and
                  Heejae Jung and
                  Jun{-}Seok Kim and
                  Chang{-}Woo Shin and
                  Keunju Park and
                  Kyoobin Lee and
                  Jin Man Park and
                  Jooyeon Woo and
                  Yohan Roh and
                  Hyunku Lee and
                  Yibing Michelle Wang and
                  Ilia A. Ovsiannikov and
                  Hyunsurk Ryu},
  title        = {4.1 {A} 640{\texttimes}480 dynamic vision sensor with a 9{\(\mathrm{\mu}\)}m
                  pixel and 300Meps address-event representation},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {66--67},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870263},
  doi          = {10.1109/ISSCC.2017.7870263},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SonSKJKSPLPWRLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17,
  author       = {Taejoong Song and
                  Hoonki Kim and
                  Woojin Rim and
                  Yongho Kim and
                  Sunghyun Park and
                  Changnam Park and
                  Minsun Hong and
                  Giyong Yang and
                  Jeongho Do and
                  Jinyoung Lim and
                  Seungyoung Lee and
                  Ingyum Kim and
                  Sanghoon Baek and
                  Jonghoon Jung and
                  Daewon Ha and
                  Hyungsoon Jang and
                  Taejung Lee and
                  Chul{-}Hong Park and
                  Bongjae Kwon and
                  Hyuntaek Jung and
                  Sungwee Cho and
                  Yongjae Choo and
                  Jaeseung Choi},
  title        = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral
                  repair analysis},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {208--209},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870334},
  doi          = {10.1109/ISSCC.2017.7870334},
  timestamp    = {Fri, 14 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SporrerWBVRMBBP17,
  author       = {Benjamin Sporrer and
                  Lianbo Wu and
                  Luca Bettini and
                  Christian Vogt and
                  Jonas Reber and
                  Josip Marjanovic and
                  Thomas Burger and
                  David O. Brunner and
                  Klaas Paul Pruessmann and
                  Gerhard Tr{\"{o}}ster and
                  Qiuting Huang},
  title        = {27.4 {A} sub-1dB {NF} dual-channel on-coil {CMOS} receiver for Magnetic
                  Resonance Imaging},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {454--455},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870457},
  doi          = {10.1109/ISSCC.2017.7870457},
  timestamp    = {Wed, 19 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SporrerWBVRMBBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/StanzioneST17,
  author       = {Stefano Stanzione and
                  Edgar S{\'{a}}nchez{-}Sinencio and
                  Axel Thomsen},
  title        = {Session 22 overview: Harvesting and wireless power},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {368--369},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870414},
  doi          = {10.1109/ISSCC.2017.7870414},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/StanzioneST17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SteffanDMSAREBM17,
  author       = {Giovanni Steffan and
                  Emanuele Depaoli and
                  Enrico Monaco and
                  Nicolo Sabatino and
                  Walter Audoglio and
                  Augusto Andrea Rossi and
                  Simone Erba and
                  Matteo Bassi and
                  Andrea Mazzanti},
  title        = {6.4 {A} 64Gb/s {PAM-4} transmitter with 4-Tap {FFE} and 2.26pJ/b energy
                  efficiency in 28nm {CMOS} {FDSOI}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {116--117},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870288},
  doi          = {10.1109/ISSCC.2017.7870288},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SteffanDMSAREBM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TakamiyaRBPNS17,
  author       = {Makoto Takamiya and
                  Yogesh K. Ramadass and
                  Keith A. Bowman and
                  Gerard Villar Pique and
                  Shuichi Nagai and
                  Dennis Sylvester},
  title        = {{F1:} Integrated voltage regulators for SoC and emerging IoT systems},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {500--502},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870479},
  doi          = {10.1109/ISSCC.2017.7870479},
  timestamp    = {Thu, 04 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/TakamiyaRBPNS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TakanoAKHDKHMTY17,
  author       = {Kyoya Takano and
                  Shuhei Amakawa and
                  Kosuke Katayama and
                  Shinsuke Hara and
                  Ruibing Dong and
                  Akifumi Kasamatsu and
                  Iwao Hosako and
                  Koichi Mizuno and
                  Kazuaki Takahashi and
                  Takeshi Yoshida and
                  Minoru Fujishima},
  title        = {17.9 {A} 105Gb/s 300GHz {CMOS} transmitter},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {308--309},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870384},
  doi          = {10.1109/ISSCC.2017.7870384},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/TakanoAKHDKHMTY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TingWWWWLTYHLHH17,
  author       = {Tah{-}Kang Joseph Ting and
                  Gyh{-}Bin Wang and
                  Ming{-}Hung Wang and
                  Chun{-}Peng Wu and
                  Chun{-}Kai Wang and
                  Chun{-}Wei Lo and
                  Li{-}Chin Tien and
                  Der{-}Min Yuan and
                  Yung{-}Ching Hsieh and
                  Jenn{-}Shiang Lai and
                  Wen{-}Pin Hsu and
                  Chien{-}Chih Huang and
                  Chi{-}Kang Chen and
                  Yung{-}Fa Chou and
                  Ding{-}Ming Kwai and
                  Zhe Wang and
                  Wei Wu and
                  Shigeki Tomishima and
                  Patrick Stolt and
                  Shih{-}Lien Lu},
  title        = {23.9 An 8-channel 4.5Gb 180GB/s 18ns-row-latency {RAM} for the last
                  level cache},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {404--405},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870432},
  doi          = {10.1109/ISSCC.2017.7870432},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/TingWWWWLTYHLHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TsaiLCCTLBTTCDC17,
  author       = {Ming{-}Da Tsai and
                  Chien{-}Cheng Lin and
                  Ping{-}Yu Chen and
                  Tao{-}Yao Chang and
                  Chien{-}Wei Tseng and
                  Lai{-}Ching Lin and
                  Chris Beale and
                  Bosen Tseng and
                  Bernard Tenbroek and
                  Chinq{-}Shiun Chiu and
                  Guang{-}Kaai Dehng and
                  George Chien},
  title        = {13.1 {A} fully integrated multimode front-end module for {GSM/EDGE/TD-SCDMA/TD-LTE}
                  applications using a Class-F {CMOS} power amplifier},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {216--217},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870338},
  doi          = {10.1109/ISSCC.2017.7870338},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/TsaiLCCTLBTTCDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TsouYLCCWLLT17,
  author       = {Wen{-}Jie Tsou and
                  Wen{-}Hau Yang and
                  Jian{-}He Lin and
                  Hsin Chen and
                  Ke{-}Horng Chen and
                  Chin{-}Long Wey and
                  Ying{-}Hsi Lin and
                  Shian{-}Ru Lin and
                  Tsung{-}Yen Tsai},
  title        = {20.2 Digital low-dropout regulator with anti PVT-variation technique
                  for dynamic voltage scaling and adaptive voltage scaling multicore
                  processor},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {338--339},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870399},
  doi          = {10.1109/ISSCC.2017.7870399},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/TsouYLCCWLLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/UpretyL17,
  author       = {Sandip Uprety and
                  Hoi Lee},
  title        = {22.5 {A} 93{\%}-power-efficiency photovoltaic energy harvester with
                  irradiance-aware auto-reconfigurable {MPPT} scheme achieving {\textgreater}95{\%}
                  {MPPT} efficiency across 650{\(\mathrm{\mu}\)}W to 1W and 2.9ms {FOCV}
                  {MPPT} transient time},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {378--379},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870419},
  doi          = {10.1109/ISSCC.2017.7870419},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/UpretyL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/VandersypenL17,
  author       = {Lieven M. K. Vandersypen and
                  Antoni van Leeuwenhoek},
  title        = {1.4 Quantum computing - the next challenge in circuit and system design},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {24--29},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870244},
  doi          = {10.1109/ISSCC.2017.7870244},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/VandersypenL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/VazLVLMBMKTMBEF17,
  author       = {Bruno Vaz and
                  Adrian Lynam and
                  Bob Verbruggen and
                  Asma Laraba and
                  Conrado Mesadri and
                  Ali Boumaalif and
                  John McGrath and
                  Umanath Kamath and
                  Ronnie De La Torre and
                  Alvin Manlapat and
                  Daire Breathnach and
                  Christophe Erdmann and
                  Brendan Farley},
  title        = {16.1 {A} 13b 4GS/s digitally assisted dynamic 3-stage asynchronous
                  pipelined-SAR {ADC}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {276--277},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870368},
  doi          = {10.1109/ISSCC.2017.7870368},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/VazLVLMBMKTMBEF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/VorapipatLA17,
  author       = {Voravit Vorapipat and
                  Cooper S. Levy and
                  Peter M. Asbeck},
  title        = {2.8 {A} Class-G voltage-mode Doherty power amplifier},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {46--47},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870253},
  doi          = {10.1109/ISSCC.2017.7870253},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/VorapipatLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WakabayashiDI17,
  author       = {Hayato Wakabayashi and
                  Jun Deguchi and
                  Makoto Ikeda},
  title        = {Session 4 overview: Imagers},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {64--65},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870262},
  doi          = {10.1109/ISSCC.2017.7870262},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WakabayashiDI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WangH17,
  author       = {Cheng Wang and
                  Ruonan Han},
  title        = {17.6 Rapid and energy-efficient molecular sensing using dual mm-Wave
                  combs in 65nm {CMOS:} {A} 220-to-320GHz spectrometer with 5.2mW radiated
                  power and 14.6-to-19.5dB noise figure},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {302--303},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870381},
  doi          = {10.1109/ISSCC.2017.7870381},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WangH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WangMLMB17,
  author       = {Hanqing Wang and
                  Gerard Mora{-}Puchalt and
                  Colin Lyden and
                  Roberto Maurino and
                  Christian Birk},
  title        = {5.7 {A} 19nV/{\(\surd\)}Hz-noise 2{\(\mathrm{\mu}\)}V-offset 75{\(\mathrm{\mu}\)}A
                  low-drift capacitive-gain amplifier with switched-capacitor {ADC}
                  driving capability},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {98--99},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870279},
  doi          = {10.1109/ISSCC.2017.7870279},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WangMLMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WatanabeGP17,
  author       = {Yuu Watanabe and
                  Danielle Griffith and
                  Aarno P{\"{a}}rssinen},
  title        = {Session 7 overview: Wireless transceivers},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {124--125},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870292},
  doi          = {10.1109/ISSCC.2017.7870292},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WatanabeGP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WhatmoughLLRBW17,
  author       = {Paul N. Whatmough and
                  Sae Kyu Lee and
                  Hyunkwang Lee and
                  Saketh Rama and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {14.3 {A} 28nm SoC with a 1.2GHz 568nJ/prediction sparse deep-neural-network
                  engine with {\textgreater}0.1 timing error rate tolerance for IoT
                  applications},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {242--243},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870351},
  doi          = {10.1109/ISSCC.2017.7870351},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WhatmoughLLRBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WilcoxSB17,
  author       = {Kathy Wilcox and
                  Youngmin Shin and
                  Edith Beign{\'{e}}},
  title        = {Session 26 overview: Processor-power management and clocking},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {436--437},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870448},
  doi          = {10.1109/ISSCC.2017.7870448},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WilcoxSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WuCSCSB17,
  author       = {Xiao Wu and
                  Kyojin David Choo and
                  Yao Shi and
                  Li{-}Xuan Chuo and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {22.6 {A} fully integrated counter-flow energy reservoir for 70{\%}-efficient
                  peak-power delivery in ultra-low-power systems},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {380--381},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870420},
  doi          = {10.1109/ISSCC.2017.7870420},
  timestamp    = {Mon, 08 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WuCSCSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WuHY17,
  author       = {Yi{-}Chung Wu and
                  Jui{-}Hung Hung and
                  Chia{-}Hsiang Yang},
  title        = {14.8 {A} 135mW fully integrated data processor for next-generation
                  sequencing},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {252--253},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870356},
  doi          = {10.1109/ISSCC.2017.7870356},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/WuHY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WuZP17,
  author       = {Hsi{-}Shou Wu and
                  Zhengya Zhang and
                  Marios C. Papaefthymiou},
  title        = {20.7 {A} 13.8{\(\mathrm{\mu}\)}W binaural dual-microphone digital
                  {ANSI} {S1.11} filter bank for hearing aids with zero-short-circuit-current
                  logic in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {348--349},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870404},
  doi          = {10.1109/ISSCC.2017.7870404},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/WuZP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/XuCDZXGYC17,
  author       = {Hongda Xu and
                  Yongda Cai and
                  Ling Du and
                  Yuan Zhou and
                  Benwei Xu and
                  Datao Gong and
                  Jingbo Ye and
                  Yun Chiu},
  title        = {28.6 {A} 78.5dB-SNDR radiation- and metastability-tolerant two-step
                  split {SAR} {ADC} operating up to 75MS/s with 24.9mW power consumption
                  in 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {476--477},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870468},
  doi          = {10.1109/ISSCC.2017.7870468},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/XuCDZXGYC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/XuUWTL17,
  author       = {Weiwei Xu and
                  Prasanna Upadhyaya and
                  Xiaoyue Wang and
                  Randy Tsang and
                  Li Lin},
  title        = {5.10 {A} 1A {LDO} regulator driven by a 0.0013mm\({}^{\mbox{2}}\)
                  Class-D controller},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {104--105},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870282},
  doi          = {10.1109/ISSCC.2017.7870282},
  timestamp    = {Thu, 11 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/XuUWTL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YamashitaMHYYMZ17,
  author       = {Ryuji Yamashita and
                  Sagar Magia and
                  Tsutomu Higuchi and
                  Kazuhide Yoneya and
                  Toshio Yamamura and
                  Hiroyuki Mizukoshi and
                  Shingo Zaitsu and
                  Minoru Yamashita and
                  Shunichi Toyama and
                  Norihiro Kamae and
                  Juan Lee and
                  Shuo Chen and
                  Jiawei Tao and
                  William Mak and
                  Xiaohua Zhang and
                  Ying Yu and
                  Yuko Utsunomiya and
                  Yosuke Kato and
                  Manabu Sakai and
                  Masahide Matsumoto and
                  Hardwell Chibvongodze and
                  Naoki Ookuma and
                  Hiroki Yabe and
                  Subodh Taigor and
                  Rangarao Samineni and
                  Takuyo Kodama and
                  Yoshihiko Kamata and
                  Yuzuru Namai and
                  Jonathan Huynh and
                  Sung{-}En Wang and
                  Yankang He and
                  Trung Pham and
                  Vivek Saraf and
                  Akshay Petkar and
                  Mitsuyuki Watanabe and
                  Koichiro Hayashi and
                  Prashant Swarnkar and
                  Hitoshi Miwa and
                  Aditya Pradhan and
                  Sulagna Dey and
                  Debasish Dwibedy and
                  Thushara Xavier and
                  Muralikrishna Balaga and
                  Samiksha Agarwal and
                  Swaroop Kulkarni and
                  Zameer Papasaheb and
                  Sahil Deora and
                  Patrick Hong and
                  Meiling Wei and
                  Gopinath Balakrishnan and
                  Takuya Ariki and
                  Kapil Verma and
                  Chang Hua Siau and
                  Yingda Dong and
                  Ching{-}Huang Lu and
                  Toru Miwa and
                  Farookh Moogat},
  title        = {11.1 {A} 512Gb 3b/cell flash memory on 64-word-line-layer BiCS technology},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {196--197},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870328},
  doi          = {10.1109/ISSCC.2017.7870328},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YamashitaMHYYMZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YamazakiKUNKSOT17,
  author       = {Tomohiro Yamazaki and
                  Hironobu Katayama and
                  Shuji Uehara and
                  Atsushi Nose and
                  Masatsugu Kobayashi and
                  Sayaka Shida and
                  Masaki Odahara and
                  Kenichi Takamiya and
                  Yasuaki Hisamatsu and
                  Shizunori Matsumoto and
                  Leo Miyashita and
                  Yoshihiro Watanabe and
                  Takashi Izawa and
                  Yoshinori Muramatsu and
                  Masatoshi Ishikawa},
  title        = {4.9 {A} 1ms high-speed vision chip with 3D-stacked 140GOPS column-parallel
                  PEs for spatio-temporal image processing},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {82--83},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870271},
  doi          = {10.1109/ISSCC.2017.7870271},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YamazakiKUNKSOT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YangDBS17,
  author       = {Kaiyuan Yang and
                  Qing Dong and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {8.3 {A} 553F\({}^{\mbox{2}}\) 2-transistor amplifier-based Physically
                  Unclonable Function {(PUF)} with 1.67{\%} native instability},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {146--147},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870303},
  doi          = {10.1109/ISSCC.2017.7870303},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/YangDBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YangDJ0CBS17,
  author       = {Kaiyuan Yang and
                  Qing Dong and
                  Wanyeong Jung and
                  Yiqun Zhang and
                  Myungjoon Choi and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {9.2 {A} 0.6nJ -0.22/+0.19{\textdegree}C inaccuracy temperature sensor
                  using exponential subthreshold oscillation dependence},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {160--161},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870310},
  doi          = {10.1109/ISSCC.2017.7870310},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/YangDJ0CBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YangHLCSSZHH17,
  author       = {Wei Yang and
                  De Yong Hu and
                  Chun Kit Lam and
                  Ji Qing Cui and
                  Lip Kai Soh and
                  De{-}Cheng Song and
                  Xiao Wei Zhong and
                  Hon Cheong Hor and
                  Chee Lee Heng},
  title        = {7.6 {A} +8dBm {BLE/BT} transceiver with automatically calibrated integrated
                  {RF} bandpass filter and -58dBc {TX} {HD2}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {136--137},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870298},
  doi          = {10.1109/ISSCC.2017.7870298},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YangHLCSSZHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YangLMCCWLLT17,
  author       = {Shang{-}Hsien Yang and
                  Yen{-}Ting Lin and
                  Yu{-}Sheng Ma and
                  Hung{-}Wei Chen and
                  Ke{-}Horng Chen and
                  Chin{-}Long Wey and
                  Ying{-}Hsi Lin and
                  Shian{-}Ru Lin and
                  Tsung{-}Yen Tsai},
  title        = {2.3 {A} single-inductor dual-output converter with linear-amplifier-driven
                  cross regulation for prioritized energy-distribution control of envelope-tracking
                  supply modulator},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {36--37},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870248},
  doi          = {10.1109/ISSCC.2017.7870248},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YangLMCCWLLT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YangM17,
  author       = {Fan Yang and
                  Philip K. T. Mok},
  title        = {5.11 {A} 65nm inverter-based low-dropout regulator with rail-to-rail
                  regulation and over -20dB {PSR} at 0.2V lowest supply voltage},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {106--107},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870283},
  doi          = {10.1109/ISSCC.2017.7870283},
  timestamp    = {Wed, 19 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YangM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YaoLNHLGZKKHJLH17,
  author       = {Chih{-}Wei Yao and
                  Wing Fai Loke and
                  Ronghua Ni and
                  Yongping Han and
                  Haoyang Li and
                  Kunal Godbole and
                  Yongrong Zuo and
                  Sangsoo Ko and
                  Nam{-}Seog Kim and
                  Sangwook Han and
                  Ikkyun Jo and
                  Joonhee Lee and
                  Juyoung Han and
                  Daehyeon Kwon and
                  Chulho Kim and
                  Shinwoong Kim and
                  Sang Won Son and
                  Thomas Byunghak Cho},
  title        = {24.8 {A} 14nm fractional-N digital {PLL} with 0.14psrms jitter and
                  -78dBc fractional spur for cellular RFICs},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {422--423},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870441},
  doi          = {10.1109/ISSCC.2017.7870441},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YaoLNHLGZKKHJLH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YiCHBCJKSP17,
  author       = {Il{-}Min Yi and
                  Min{-}Kyun Chae and
                  Seok{-}Hun Hyun and
                  Seung{-}Jun Bae and
                  Jung{-}Hwan Choi and
                  Seong{-}Jin Jang and
                  Byungsub Kim and
                  Jae{-}Yoon Sim and
                  Hong{-}June Park},
  title        = {23.7 {A} time-based receiver with 2-tap {DFE} for a 12Gb/s/pin single-ended
                  transceiver of mobile {DRAM} interface in 0.8V 65nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {400--401},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870430},
  doi          = {10.1109/ISSCC.2017.7870430},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YiCHBCJKSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YooCKYLC17,
  author       = {Seyeon Yoo and
                  Seojin Choi and
                  Juyeop Kim and
                  Heein Yoon and
                  Yongsun Lee and
                  Jaehyouk Choi},
  title        = {19.2 {A} PVT-robust -39dBc 1kHz-to-100MHz integrated-phase-noise 29GHz
                  injection-locked frequency multiplier with a 600{\(\mathrm{\mu}\)}W
                  frequency-tracking loop using the averages of phase deviations for
                  mm-band 5G transceivers},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {324--325},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870392},
  doi          = {10.1109/ISSCC.2017.7870392},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/YooCKYLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YoshikawaBC17,
  author       = {Takefumi Yoshikawa and
                  Seung{-}Jun Bae and
                  Leland Chang},
  title        = {Session 23 overview: DRAM, {MRAM} {\&} {DRAM} interfaces},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {386--387},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870423},
  doi          = {10.1109/ISSCC.2017.7870423},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YoshikawaBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YoshiokaSWKKIFS17,
  author       = {Kentaro Yoshioka and
                  Tomohiko Sugimoto and
                  Naoya Waki and
                  Sinnyoung Kim and
                  Daisuke Kurose and
                  Hirotomo Ishii and
                  Masanori Furuta and
                  Akihide Sai and
                  Tetsuro Itakura},
  title        = {28.7 {A} 0.7V 12b 160MS/s 12.8fJ/conv-step pipelined-SAR {ADC} in
                  28nm {CMOS} with digital amplifier technique},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {478--479},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870469},
  doi          = {10.1109/ISSCC.2017.7870469},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/YoshiokaSWKKIFS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YousefzadehM17,
  author       = {Bahman Yousefzadeh and
                  Kofi A. A. Makinwa},
  title        = {9.3 {A} BJT-based temperature sensor with a packaging-robust inaccuracy
                  of {\(\pm\)}0.3{\textdegree}C (3{\(\sigma\)}) from -55{\textdegree}C
                  to +125{\textdegree}C after heater-assisted voltage calibration},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {162--163},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870311},
  doi          = {10.1109/ISSCC.2017.7870311},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YousefzadehM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YuYMYM17,
  author       = {Wei{-}Han Yu and
                  Haidong Yi and
                  Pui{-}In Mak and
                  Jun Yin and
                  Rui Paulo Martins},
  title        = {24.4 {A} 0.18V 382{\(\mathrm{\mu}\)}W bluetooth low-energy {(BLE)}
                  receiver with 1.33nW sleep power for energy-harvesting applications
                  in 28nm {CMOS}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {414--415},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870437},
  doi          = {10.1109/ISSCC.2017.7870437},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YuYMYM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ZhangK17,
  author       = {Linxiao Zhang and
                  Harish Krishnaswamy},
  title        = {24.2 {A} 0.1-to-3.1GHz 4-element {MIMO} receiver array supporting
                  analog/RF arbitrary spatial filtering},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {410--411},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870435},
  doi          = {10.1109/ISSCC.2017.7870435},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ZhangK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ZhangNSR17,
  author       = {Tong Zhang and
                  Ali Najafi and
                  Chenxin Su and
                  Jacques Christophe Rudell},
  title        = {18.1 {A} 1.7-to-2.2GHz full-duplex transceiver system with {\textgreater}50dB
                  self-interference cancellation over 42MHz bandwidth},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {314--315},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870387},
  doi          = {10.1109/ISSCC.2017.7870387},
  timestamp    = {Wed, 15 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ZhangNSR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ZhaoRSM17,
  author       = {Junlei Zhao and
                  Elham Rahimi and
                  Francesco Svelto and
                  Andrea Mazzanti},
  title        = {2.6 {A} SiGe BiCMOS E-band power amplifier with 22{\%} {PAE} at 18dBm
                  OP1dB and 8.5{\%} at 6dB back-off leveraging current clamping in a
                  common-base stage},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {42--43},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870251},
  doi          = {10.1109/ISSCC.2017.7870251},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ZhaoRSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isscc/2017,
  title        = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7866667/proceeding},
  isbn         = {978-1-5090-3758-2},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics