Search dblp for Publications

export results for "toc:db/conf/isocc/isocc2021.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isocc/AbbasS21,
  author       = {Waseem Abbas and
                  Munkyo Seo},
  title        = {A Gain Boosted Single-Ended 300 GHz InP {HBT} Oscillator for Terahertz
                  Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {407--408},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613895},
  doi          = {10.1109/ISOCC53507.2021.9613895},
  timestamp    = {Mon, 06 Dec 2021 17:33:24 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AbbasS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbuShawishM21,
  author       = {Israa Y. AbuShawish and
                  Soliman A. Mahmoud},
  title        = {Two Stage {CMOS} Bio-medical Amplifier Based on a highly Linear T{\(\Omega\)}
                  Pseudo-Resistor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {143--144},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613973},
  doi          = {10.1109/ISOCC53507.2021.9613973},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AbuShawishM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbuShawishM21a,
  author       = {Israa Y. AbuShawish and
                  Soliman A. Mahmoud},
  title        = {Digitally Programmable Gain and Tunable Band-Width {DPOTA} based Bio-medical
                  Amplifier},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {147--148},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613859},
  doi          = {10.1109/ISOCC53507.2021.9613859},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AbuShawishM21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AjayR21,
  author       = {B. S. Ajay and
                  Madhav Rao},
  title        = {Design of emotion recognition system using neuromorphic computing
                  technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {355--356},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614009},
  doi          = {10.1109/ISOCC53507.2021.9614009},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AjayR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AokiKUHA21,
  author       = {Satoshi Aoki and
                  Takuji Kousaka and
                  Shota Uchino and
                  Daiki Hozumi and
                  Hiroyuki Asahara},
  title        = {An Estimation Method for Controlling Unstable Periodic Orbit Without
                  Using Poincar{\'{e}} Map},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {177--178},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613850},
  doi          = {10.1109/ISOCC53507.2021.9613850},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AokiKUHA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AsgharJKAS21,
  author       = {Malik Summair Asghar and
                  Muhammad Junaid and
                  HyungWon Kim and
                  Saad Arslan and
                  Syed Asmat Ali Shah},
  title        = {A Digitally Controlled Analog kernel for Convolutional Neural Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {242--243},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613851},
  doi          = {10.1109/ISOCC53507.2021.9613851},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AsgharJKAS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AvitabileMF21,
  author       = {Gianfranco Avitabile and
                  Ka Lok Man and
                  Antonello Florio},
  title        = {Power Consumption Analysis of a Fractional Approach to BANs Time Synchronization},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {189--190},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613945},
  doi          = {10.1109/ISOCC53507.2021.9613945},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AvitabileMF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BhargavPR21,
  author       = {K. J. N. S. Bhargav and
                  Sairam Palisetti and
                  Madhav Rao},
  title        = {A newton raphson method based approximate divider design for color
                  quantization application},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613961},
  doi          = {10.1109/ISOCC53507.2021.9613961},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BhargavPR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChaeKCP21,
  author       = {Changseon Chae and
                  Subin Kim and
                  Jonghang Choi and
                  Jun{-}Eun Park},
  title        = {A Multi-Bit In-Memory-Computing {SRAM} Macro Using Column-Wise Charge
                  Redistribution for {DNN} Inference in Edge Computing Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {421--422},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613934},
  doi          = {10.1109/ISOCC53507.2021.9613934},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChaeKCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangLZZTLZ21,
  author       = {Liang Chang and
                  Chenglong Li and
                  Xin Zhao and
                  Zixuan Zhu and
                  Yi Tong and
                  Shuisheng Lin and
                  Jun Zhou},
  title        = {Trend of Emerging Non-Volatile Memory for {AI} Processor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {223--224},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613905},
  doi          = {10.1109/ISOCC53507.2021.9613905},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangLZZTLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangN21,
  author       = {Joseph Chang and
                  Truong Q. Nguyen},
  title        = {Enhanced Depth Map Estimation in Low Light Conditions for {RGB} Cameras},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613968},
  doi          = {10.1109/ISOCC53507.2021.9613968},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenC21,
  author       = {Kun{-}Chih Jimmy Chen and
                  Cheng{-}Ting Chen},
  title        = {High-accuracy and Low-latency Hybrid Stochastic Computing for Artificial
                  Neural Network},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {254--255},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613856},
  doi          = {10.1109/ISOCC53507.2021.9613856},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenCHHJ21,
  author       = {Yu{-}Guang Chen and
                  Hung{-}Yi Chiang and
                  Chi{-}Wei Hsu and
                  Tsung{-}Han Hsieh and
                  Jing{-}Yang Jou},
  title        = {A Reconfigurable Accelerator Design for Quantized Depthwise Separable
                  Convolutions},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {290--291},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613976},
  doi          = {10.1109/ISOCC53507.2021.9613976},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenCHHJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenHQLY21,
  author       = {Mingyi Chen and
                  Yuzhi Hao and
                  Liang Qi and
                  Yongfu Li and
                  Jun Yan},
  title        = {Implement Tunable Sub-T{\(\Omega\)} On-chip Resistor for Vital Signal
                  Acquisition: {A} Review},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {280--281},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613917},
  doi          = {10.1109/ISOCC53507.2021.9613917},
  timestamp    = {Fri, 19 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenHQLY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenNCCCCLLJ21,
  author       = {Hung{-}Ming Chen and
                  Cheng{-}En Ni and
                  Kang{-}Yu Chang and
                  Tzu{-}Chieh Chiang and
                  Shih{-}Han Chang and
                  Cheng{-}Yu Chiang and
                  Bo{-}Cheng Lai and
                  Chien{-}Nan Liu and
                  Shyh{-}Jye Jou},
  title        = {On Reconfiguring Memory-Centric {AI} Edge Devices for {CIM}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {262--263},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613893},
  doi          = {10.1109/ISOCC53507.2021.9613893},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenNCCCCLLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChengDLF21,
  author       = {Tong Cheng and
                  Haoyu Du and
                  Li Li and
                  Yuxiang Fu},
  title        = {LSTM-based Temperature Prediction and Hotspot Tracking for Thermal-aware
                  3D NoC System},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {286--287},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613862},
  doi          = {10.1109/ISOCC53507.2021.9613862},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChengDLF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Choi21,
  author       = {Woo{-}Young Choi},
  title        = {Digital Controller Implementation of Grid-Tied Zeta Inverter Using
                  16-bits Microcontroller},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {329--330},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613988},
  doi          = {10.1109/ISOCC53507.2021.9613988},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Choi21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiC21,
  author       = {Donghoon Choi and
                  Hyouk{-}Kyu Cha},
  title        = {A Low-Power Low-Noise Neural Signal Acquisition Amplifier with Tolerance
                  to Large Stimulation Artifacts},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {325--326},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613987},
  doi          = {10.1109/ISOCC53507.2021.9613987},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiCKK21,
  author       = {Minjeong Choi and
                  Youngchang Choi and
                  Sunmean Kim and
                  Seokhyeong Kang},
  title        = {Ternary Sense Amplifier Design for Ternary {SRAM}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {151--152},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613911},
  doi          = {10.1109/ISOCC53507.2021.9613911},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiCKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiIY21,
  author       = {Soyeon Choi and
                  Nari Im and
                  Hoyoung Yoo},
  title        = {{FPGA} Design Duplication based on the Bitstream Extraction},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {373--374},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613991},
  doi          = {10.1109/ISOCC53507.2021.9613991},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiIY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiK21,
  author       = {Dahun Choi and
                  Hyun Kim},
  title        = {Hardware-friendly Log-scale Quantization for CNNs with Activation
                  Functions Containing Negative Values},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {415--416},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613921},
  doi          = {10.1109/ISOCC53507.2021.9613921},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKHM21,
  author       = {Cheol{-}Ho Choi and
                  Younghyeon Kim and
                  Jiseok Ha and
                  Byungin Moon},
  title        = {Haar Filter Hardware Architecture for the Accuracy Improvement of
                  Stereo Vision Systems},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {401--402},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614032},
  doi          = {10.1109/ISOCC53507.2021.9614032},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKHM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKLPI21,
  author       = {Jungyun Choi and
                  Kyungsu Kang and
                  Byunghoon Lee and
                  Sangho Park and
                  Jae{-}Woo Im},
  title        = {Early {HW/SW} Co-Verification Using Virtual Platforms},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613958},
  doi          = {10.1109/ISOCC53507.2021.9613958},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKLPI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiRLPLHJ21,
  author       = {Hong{-}Seok Choi and
                  Seungha Roh and
                  Sanghee Lee and
                  Jung{-}Hoon Park and
                  Kwanghoon Lee and
                  Young{-}Ha Hwang and
                  Deog{-}Kyoon Jeong},
  title        = {A 6b 48-GS/s Asynchronous 2b/cycle Time-Interleaved {ADC} in 28-nm
                  {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613967},
  doi          = {10.1109/ISOCC53507.2021.9613967},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiRLPLHJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiYKS21,
  author       = {Wooyoung Choi and
                  Seung{-}Myeong Yu and
                  Yunha Kang and
                  Junyoung Song},
  title        = {Digital {LDO} with reference-less adaptive {CLK} generation and bit-shifting
                  Coarse-Fine-control},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614003},
  doi          = {10.1109/ISOCC53507.2021.9614003},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiYKS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungBC21,
  author       = {Keun{-}Yong Chung and
                  Kwang{-}Hyun Baek and
                  Bo{-}Kyong Choi},
  title        = {A {SAR} {ADC} with Segment Binary Weighted Attenuation Capacitor {DAC}
                  layout technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {389--390},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614025},
  doi          = {10.1109/ISOCC53507.2021.9614025},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungBC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungLC21,
  author       = {Ming{-}Jie Chung and
                  Chih{-}Lun Lo and
                  Po{-}Hung Chen},
  title        = {A Single-Inductor Triple-Source Energy Harvesting Interface for Batterty-Assisted
                  IoT Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {63--64},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613848},
  doi          = {10.1109/ISOCC53507.2021.9613848},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DangbaBKSCB21,
  author       = {Hanh Dangba and
                  Ngoc Thang Bui and
                  Hae{-}Jin Kim and
                  Jun{-}hee Song and
                  Chaiyoon Chung and
                  Gyung{-}Su Byun},
  title        = {A High-speed Wireless Data Transfer for Non - Destructive Testing},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {315--316},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613878},
  doi          = {10.1109/ISOCC53507.2021.9613878},
  timestamp    = {Thu, 06 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DangbaBKSCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Duong-NgocTL21,
  author       = {Phap Duong{-}Ngoc and
                  Tuy Nguyen Tan and
                  Hanho Lee},
  title        = {Configurable Butterfly Unit Architecture for {NTT/INTT} in Homomorphic
                  Encryption},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {345--346},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614034},
  doi          = {10.1109/ISOCC53507.2021.9614034},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Duong-NgocTL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FengBMVA21,
  author       = {Jun Feng and
                  Mohammadreza Beikmirza and
                  Mohammadreza Mehrpoo and
                  Leo C. N. de Vreede and
                  Morteza S. Alavi},
  title        = {A Versatile and Efficient 0.1-to-11 Gb/s {CML} Transmitter in 40-nm
                  {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613887},
  doi          = {10.1109/ISOCC53507.2021.9613887},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/FengBMVA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FlorioA21,
  author       = {Antonello Florio and
                  Gianfranco Avitabile},
  title        = {A Linear Array Mutual Coupling Compensation Technique for Angle of
                  Arrival Estimation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {193--194},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614022},
  doi          = {10.1109/ISOCC53507.2021.9614022},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FlorioA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuYYC21,
  author       = {Ming Gu and
                  Fang Yuan and
                  Jun Yan and
                  Mingyi Chen},
  title        = {High-speed EEG-Based Brain-Computer Interface with Wide Dynamic-range
                  {ADC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613936},
  doi          = {10.1109/ISOCC53507.2021.9613936},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GuYYC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuoCLF21,
  author       = {Menghao Guo and
                  Tong Cheng and
                  Li Li and
                  Yuxiang Fu},
  title        = {Optimized Method for Thermal Tracking in 3D NoC Systems by Using {ANN}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {111--112},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614010},
  doi          = {10.1109/ISOCC53507.2021.9614010},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/GuoCLF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuoSM21,
  author       = {Mingqiang Guo and
                  Sai{-}Weng Sin and
                  Rui Paulo Martins},
  title        = {Background Timing-Skew Mismatch Calibration for Time-Interleaved ADCs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {248--249},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613935},
  doi          = {10.1109/ISOCC53507.2021.9613935},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/GuoSM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanLLK21,
  author       = {Donghyun Han and
                  Youngkwang Lee and
                  Sooryeong Lee and
                  Sungho Kang},
  title        = {Hardware Efficient Built-in Self-test Architecture for Power and Ground
                  TSVs in 3D {IC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613959},
  doi          = {10.1109/ISOCC53507.2021.9613959},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanLLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeCKK21,
  author       = {Yixuan He and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {A Time-Domain Computing-In-Memory Micro using Ring Oscillator},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613954},
  doi          = {10.1109/ISOCC53507.2021.9613954},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeCKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HoWZNA21,
  author       = {Chi{-}Jui Ho and
                  Yiqian Wang and
                  Junkang Zhang and
                  Truong Q. Nguyen and
                  Cheolhong An},
  title        = {A Convolutional Neural Network Pipeline For Multi-Temporal Retinal
                  Image Registration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613906},
  doi          = {10.1109/ISOCC53507.2021.9613906},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HoWZNA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongKKJ21,
  author       = {Jiwoo Hong and
                  Sunghoon Kim and
                  Jaeha Kim and
                  Dongsuk Jeon},
  title        = {Fast Automatic Circuit Optimization Using Deep Learning},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {207--210},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613943},
  doi          = {10.1109/ISOCC53507.2021.9613943},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongKKJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongMMWW21,
  author       = {Dou Hong and
                  Jieming Ma and
                  Ka Lok Man and
                  Huiqing Wen and
                  Prudence W. H. Wong},
  title        = {Real-Time Characteristics Identification for Partial Shaded Photovoltaic
                  Strings},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {185--186},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613983},
  doi          = {10.1109/ISOCC53507.2021.9613983},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongMMWW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangCSBHDDW21,
  author       = {Yimin Huang and
                  Kai Chen and
                  Zhuang Shao and
                  Yichuan Bai and
                  Yafeng Huang and
                  Yuan Du and
                  Li Du and
                  Zhongfeng Wang},
  title        = {{LSMQ:} {A} Layer-Wise Sensitivity-Based Mixed-Precision Quantization
                  Method for Bit-Flexible {CNN} Accelerator},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {256--257},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613969},
  doi          = {10.1109/ISOCC53507.2021.9613969},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangCSBHDDW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangLLCZ21,
  author       = {Kun Huang and
                  Jingyuan Li and
                  Ye Liu and
                  Liang Chang and
                  Jun Zhou},
  title        = {A Survey on Feature Point Extraction Techniques},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {201--202},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613858},
  doi          = {10.1109/ISOCC53507.2021.9613858},
  timestamp    = {Tue, 30 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangLLCZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangLLLH21,
  author       = {Po{-}Tsang Huang and
                  Ting{-}Wei Liu and
                  Wei Lu and
                  Yu{-}Hsien Lin and
                  Wei Hwang},
  title        = {An Energy-Efficient Ring-Based {CIM} Accelerator using High-Linearity
                  eNVM for Deep Neural Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {260--261},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613978},
  doi          = {10.1109/ISOCC53507.2021.9613978},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangLLLH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HwangK21,
  author       = {Jin{-}Young Hwang and
                  Kee{-}Won Kwon},
  title        = {A Non-linear Input Converter Inversely Pre-distorted Against Nonlinear
                  Behavior of FG-based Neuromorphic Synaptic Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {409--410},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614035},
  doi          = {10.1109/ISOCC53507.2021.9614035},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HwangK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HyunML21,
  author       = {Jin Hyun and
                  Seungsik Moon and
                  Youngjoo Lee},
  title        = {Low-Complexity Voice Activity Detection Algorithm for Edge-Level Device},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614000},
  doi          = {10.1109/ISOCC53507.2021.9614000},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HyunML21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ImK21,
  author       = {Jaekyung Im and
                  Seokhyeong Kang},
  title        = {Comparative Analysis between Verilog and Chisel in {RISC-V} Core Design
                  and Verification},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {59--60},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614007},
  doi          = {10.1109/ISOCC53507.2021.9614007},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ImK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IsozakiUN21,
  author       = {Tsuyoshi Isozaki and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Information Transmission Focusing on Complex Networks Consisting of
                  Oscillators},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {181--182},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614020},
  doi          = {10.1109/ISOCC53507.2021.9614020},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/IsozakiUN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Jain21,
  author       = {Ankesh Jain},
  title        = {High speed Continuous-time Delta Sigma Modulators for Wide-band Applications:
                  {A} review paper},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {250--251},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613952},
  doi          = {10.1109/ISOCC53507.2021.9613952},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Jain21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangWKK21,
  author       = {Seokjun Jang and
                  Hyungil Woo and
                  Sunghoon Kim and
                  Sungho Kang},
  title        = {Secure Scan Design through Pseudo Fault Injection},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {425--426},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614012},
  doi          = {10.1109/ISOCC53507.2021.9614012},
  timestamp    = {Mon, 15 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JangWKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonMPRMK21,
  author       = {Inho Jeon and
                  Kyounghyun Min and
                  Jinwoo Park and
                  Jeongjin Roh and
                  Deok{-}Ju Moon and
                  Hyoung{-}Rae Kim},
  title        = {A Constant On-Time Buck Converter with Fully Integrated Average Current
                  Sensing Scheme},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {294--295},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613849},
  doi          = {10.1109/ISOCC53507.2021.9613849},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonMPRMK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongR21,
  author       = {Min{-}Wu Jeong and
                  Chae{-}Eun Rhee},
  title        = {Fusion for Tile-based Deconvolution Layers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {423--424},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613947},
  doi          = {10.1109/ISOCC53507.2021.9613947},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinDN21,
  author       = {Shiwei Jin and
                  Ji Dai and
                  Truong Q. Nguyen},
  title        = {Differential Gaze Estimation with Ocular Counter-Rolling Compensation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613915},
  doi          = {10.1109/ISOCC53507.2021.9613915},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinDN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinKCK21,
  author       = {Shiyi Jin and
                  Yeonjin Kim and
                  Jin{-}Gyun Chung and
                  Yongen Kim},
  title        = {{CAN} Data Compression Based on Sorting and Mapping Method},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {327--328},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613985},
  doi          = {10.1109/ISOCC53507.2021.9613985},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinKCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinKCLRCK21,
  author       = {Junghoon Jin and
                  Seungjun Kim and
                  Sunguk Choi and
                  Pil{-}Ho Lee and
                  Sang{-}jae Rhee and
                  Ki{-}hwan Choi and
                  Jongsun Kim},
  title        = {A 7.68 GHz Fast-Lock Low-Jitter Digital {MDLL}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {311--312},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613940},
  doi          = {10.1109/ISOCC53507.2021.9613940},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinKCLRCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JooK21,
  author       = {Hwan{-}Jin Joo and
                  Kee{-}Won Kwon},
  title        = {Binary/Ternary Vector Matrix Multiplier with 3T-2R {CBRAM} Cell},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {73--74},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613884},
  doi          = {10.1109/ISOCC53507.2021.9613884},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JooK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JooYCKLSYKK21,
  author       = {Jinwon Joo and
                  Minyong Yoon and
                  Jungwook Choi and
                  Mingu Kang and
                  Jong{-}Geon Lee and
                  Jinin So and
                  IlKwon Yun and
                  Yongsuk Kwon and
                  KyungSoo Kim},
  title        = {Understanding and Reducing Weight-Load Overhead of Systolic Deep Learning
                  Accelerators},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {413--414},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613929},
  doi          = {10.1109/ISOCC53507.2021.9613929},
  timestamp    = {Wed, 01 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JooYCKLSYKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungJJ21,
  author       = {Hoyong Jung and
                  Neungin Jeon and
                  Young{-}Chan Jang},
  title        = {Second-order Noise Shaping {SAR} {ADC} using 3-input Comparator with
                  Voltage Gain Calibration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {123--124},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614011},
  doi          = {10.1109/ISOCC53507.2021.9614011},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungJJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangS21,
  author       = {Yunha Kang and
                  Junyoung Song},
  title        = {A 20-Gb/s Digitally Adaptive Linear Equalizer with 25dB loss for Single-ended
                  Interfaces in 65nm {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {155--156},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613998},
  doi          = {10.1109/ISOCC53507.2021.9613998},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KangS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KawaminamiY21,
  author       = {Shoki Kawaminami and
                  Shigeru Yamashita},
  title        = {Triple-Rail Stochastic Number and Its Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {161--162},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613953},
  doi          = {10.1109/ISOCC53507.2021.9613953},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KawaminamiY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kim21,
  author       = {Hyun Kim},
  title        = {Implementation of Optimal {CNN} Accelerators for Mobile Devices: Algorithm,
                  Architecture, and Memory System Co-Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {237--238},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613926},
  doi          = {10.1109/ISOCC53507.2021.9613926},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kim21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK21,
  author       = {Aeri Kim and
                  Seokhyeong Kang},
  title        = {Data Protection Method for Flash Memory in Serial Peripheral Interface},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613871},
  doi          = {10.1109/ISOCC53507.2021.9613871},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKK21,
  author       = {Hyunju Kim and
                  Hyungtak Kim and
                  Youngmin Kim},
  title        = {Low Power High Performance Match Line Design of Content Addressable
                  Memory},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {347--348},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614014},
  doi          = {10.1109/ISOCC53507.2021.9614014},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL21,
  author       = {Ho Won Kim and
                  Kang{-}Yoon Lee},
  title        = {Design of Multiplying Delay Locked Loop that prevents Harmonic Lock
                  and is insensitive to {PVT} Variation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {33--34},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613946},
  doi          = {10.1109/ISOCC53507.2021.9613946},
  timestamp    = {Fri, 03 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL21a,
  author       = {David Kim and
                  Kang{-}Yoon Lee},
  title        = {A Design of High Power {SP7T} and {SP8T} {RF} Switches using {SOI}
                  {CMOS} Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {35--36},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613907},
  doi          = {10.1109/ISOCC53507.2021.9613907},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP21,
  author       = {Dongsu Kim and
                  Jongsun Park},
  title        = {Low Energy and Error Resilient {SOT-MRAM} based {FPGA} {LUT} Cell},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613950},
  doi          = {10.1109/ISOCC53507.2021.9613950},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP21a,
  author       = {Joonhyung Kim and
                  Jongsun Park},
  title        = {A Charge-domain 10T {SRAM} based In-Memory-Computing Macro for Low
                  Energy and Highly Accurate {DNN} inference},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {89--90},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613938},
  doi          = {10.1109/ISOCC53507.2021.9613938},
  timestamp    = {Mon, 15 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP21b,
  author       = {Minseo Kim and
                  Jongsun Park},
  title        = {Short Word-Line Pulse with Fast Bit-Line Boosting For High Throughput
                  6T SRAM-based Compute In-memory Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613869},
  doi          = {10.1109/ISOCC53507.2021.9613869},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP21b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPK21,
  author       = {Seyoung Kim and
                  Heechun Park and
                  Jaeha Kim},
  title        = {Safety Verification of {AMS} Circuits with Piecewise-Linear System
                  Reachability Analysis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {203--206},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614029},
  doi          = {10.1109/ISOCC53507.2021.9614029},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPLJ21,
  author       = {Jinyeon Kim and
                  Jonghee Park and
                  Sang{-}Seol Lee and
                  Sung{-}Joon Jang},
  title        = {Object Detection Network Robust to Local Illumination Variations},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {87--88},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613974},
  doi          = {10.1109/ISOCC53507.2021.9613974},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KoKK21,
  author       = {Kyeong{-}Min Ko and
                  Dohyeon Kwon and
                  Jin{-}Ku Kang},
  title        = {Design of 20Gb/s {PAM4} Transmitter with Maximum Transition Elimination
                  and Transition Compensation Techniques},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {405--406},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613901},
  doi          = {10.1109/ISOCC53507.2021.9613901},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KoKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KoLLJ21,
  author       = {Dong Han Ko and
                  Sehee Lim and
                  Young Kyu Lee and
                  Seong{-}Ook Jung},
  title        = {High Performance and Area Efficient Ferroelectric {FET} based Reconfigurable
                  Logic Circuit},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {321--322},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613886},
  doi          = {10.1109/ISOCC53507.2021.9613886},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KoLLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KomiyamaMZNS21,
  author       = {Yutaro Komiyama and
                  Shuya Matsuhashi and
                  Wenqi Zhu and
                  Kien Nguyen and
                  Hiroo Sekiya},
  title        = {Load-Independent Inverse Class-E Oscillator with Armstrong-Oscillator
                  Based Topology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {175--176},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613877},
  doi          = {10.1109/ISOCC53507.2021.9613877},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KomiyamaMZNS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KongCZJSH21,
  author       = {Chenjie Kong and
                  Tianming Chen and
                  Jun Zhang and
                  Guizhong Jiang and
                  Yuan Shen and
                  Pan He},
  title        = {Application on Demodulation of {FBG} Sensing Signals using Phase Detection
                  Algorithm of Intake and Exhaust},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {270--271},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613960},
  doi          = {10.1109/ISOCC53507.2021.9613960},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KongCZJSH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KumarMZCLKN21,
  author       = {Shubham Kumar and
                  Jonathan Mi and
                  Qingyuan Zhang and
                  Benjamin Chang and
                  Hao Le and
                  Ramsin Khoshabeh and
                  Truong Nguyen},
  title        = {Human-Inspired Camera: {A} Novel Camera System for Computer Vision},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {29--30},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613914},
  doi          = {10.1109/ISOCC53507.2021.9613914},
  timestamp    = {Wed, 08 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KumarMZCLKN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kuo21,
  author       = {Ko{-}Chi Kuo},
  title        = {A Fast Locking All Digital Delay Locked Loop with wide operating frequency
                  ranged from 0.5 GHz to 1.8 GHz in 40nm Process},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {113--114},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613874},
  doi          = {10.1109/ISOCC53507.2021.9613874},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kuo21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwakSK21,
  author       = {Myeongjin Kwak and
                  Hyoju Seo and
                  Yongtae Kim},
  title        = {Precision Exploration of Floating-Point Arithmetic for Spiking Neural
                  Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614005},
  doi          = {10.1109/ISOCC53507.2021.9614005},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwakSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonKJC21,
  author       = {Hanbyeol Kwon and
                  Kwangrae Kim and
                  Dongsuk Jeon and
                  Ki{-}Seok Chung},
  title        = {Reducing Refresh Overhead with In-DRAM Error Correction Codes},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {211--214},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613990},
  doi          = {10.1109/ISOCC53507.2021.9613990},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonKJC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LanZWCYR21,
  author       = {Jingchao Lan and
                  Yan Zheng and
                  Yimin Wu and
                  Min Chen and
                  Fan Ye and
                  Junyan Ren},
  title        = {A High Linearity Bootstrapped Switch with Leakage Current Suppressed
                  for GS/s Sampling Rate {ADC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {129--130},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613941},
  doi          = {10.1109/ISOCC53507.2021.9613941},
  timestamp    = {Tue, 29 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LanZWCYR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeT21,
  author       = {Minh Le and
                  Son Ngoc Truong},
  title        = {Memristor Crossbar Circuits for Neuromorphic pattern Recognition},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {221--222},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613948},
  doi          = {10.1109/ISOCC53507.2021.9613948},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Lee21,
  author       = {Kyuho Lee},
  title        = {Trends of Modern Processors for {AI} Acceleration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {227},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613902},
  doi          = {10.1109/ISOCC53507.2021.9613902},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Lee21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeH21,
  author       = {Dongjun Lee and
                  Jaeduk Han},
  title        = {Design Techniques for Area-efficient Two-Stacked Current Sources in
                  Nanometer {CMOS} Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {292--293},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613881},
  doi          = {10.1109/ISOCC53507.2021.9613881},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeHLK21,
  author       = {Youngkwang Lee and
                  Donghyun Han and
                  Sooryeong Lee and
                  Sungho Kang},
  title        = {A Circular-based {TSV} Repair Architecture},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613904},
  doi          = {10.1109/ISOCC53507.2021.9613904},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeHLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeHOB21,
  author       = {Dohyeon Lee and
                  Heecheol Hwang and
                  Hyunteck Oh and
                  Yongchan James Ban},
  title        = {Mitigating IR-Drop with Design Technology Co-Optimization for Sub-Nanometer
                  Node Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614021},
  doi          = {10.1109/ISOCC53507.2021.9614021},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeHOB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK21,
  author       = {Dongchan Lee and
                  Youngmin Kim},
  title        = {A simplified, high-speed, Error-tolerant Adder using Zero Padding
                  Method},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {343--344},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613912},
  doi          = {10.1109/ISOCC53507.2021.9613912},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKK21,
  author       = {Hyung{-}Wook Lee and
                  Kyeong{-}Min Ko and
                  Jin{-}Ku Kang},
  title        = {An 8 - 26 Gb/s Single Loop Reference-less {CDR} with Unrestricted
                  Frequency Acquisition},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {45--46},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613875},
  doi          = {10.1109/ISOCC53507.2021.9613875},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKKC21,
  author       = {Youngwook Lee and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {Stochastic Edge Detection for Fine-Grained Progressive Precision},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614036},
  doi          = {10.1109/ISOCC53507.2021.9614036},
  timestamp    = {Tue, 01 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL21,
  author       = {Jung{-}Hyun Lee and
                  Kang{-}Yoon Lee},
  title        = {A Design of Low-Power Bootstrapped {CMOS} Switch for 20MS/s 12-bit
                  Charge Sharing {SAR} ADCs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {5--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613861},
  doi          = {10.1109/ISOCC53507.2021.9613861},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL21a,
  author       = {Sang{-}Hoon Lee and
                  Won{-}Young Lee},
  title        = {A 0.6-V 400-KS/s Low Noise Asynchronous {SAR} {ADC} With Dual-Domain
                  Comparison},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613979},
  doi          = {10.1109/ISOCC53507.2021.9613979},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL21b,
  author       = {Tzung{-}Je Lee and
                  Yu{-}Wei Liu},
  title        = {12 {V} {PZE} Harvesting Circuit For {AUV} Using Boost Converter with
                  Resistor Matching Controller},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {133--134},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613927},
  doi          = {10.1109/ISOCC53507.2021.9613927},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL21b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLJAJJ21,
  author       = {Ki Beom Lee and
                  Sumin Lee and
                  Sunghwan Joo and
                  Hong Keun Ahn and
                  Young Seok Jung and
                  Seong{-}Ook Jung},
  title        = {{CNN} encryption using {XOR} Gate for Hardware Optimization},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {359--360},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614013},
  doi          = {10.1109/ISOCC53507.2021.9614013},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLJAJJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLK21,
  author       = {Jeong Jun Lee and
                  Seung Il Lee and
                  Hyun Kim},
  title        = {Continual Learning for Instance Segmentation to Mitigate Catastrophic
                  Forgetting},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613885},
  doi          = {10.1109/ISOCC53507.2021.9613885},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLKH21,
  author       = {Kiho Lee and
                  Dong{-}Ho Lee and
                  Jusung Kim and
                  Songcheol Hong},
  title        = {Wideband {LC} {VCO} with 39.3 {\%} Frequency Tuning Range for Dielectric
                  Spectroscopy System},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {39--40},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613982},
  doi          = {10.1109/ISOCC53507.2021.9613982},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLKH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLP21,
  author       = {Dongkyu Lee and
                  Seungmin Lee and
                  Daejin Park},
  title        = {Efficient Signal Processing Acceleration using OpenCL-based {FPGA-GPU}
                  Hybrid Cooperation for Reconfigurable {ECG} Diagnosis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {349--350},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613894},
  doi          = {10.1109/ISOCC53507.2021.9613894},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLSJ21,
  author       = {Yunhee Lee and
                  Woonghee Lee and
                  Minkyo Shim and
                  Deog{-}Kyoon Jeong},
  title        = {A Sequential Two-step Algorithm For {DC} Offset Cancellation of {PAM-4}
                  Receiver},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {379--380},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613870},
  doi          = {10.1109/ISOCC53507.2021.9613870},
  timestamp    = {Mon, 30 Oct 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLSJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeM21,
  author       = {SangHyun Lee and
                  Byungin Moon},
  title        = {A Haar Classifier Accelerator with Reduced Multiplexer Usage},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {399--400},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613971},
  doi          = {10.1109/ISOCC53507.2021.9613971},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeP21,
  author       = {Sanghoon Lee and
                  Daejin Park},
  title        = {Efficient Power Control Using Variable Resolution Algorithm for LiDAR
                  Sensor-based Autonomous Vehicle},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {341--342},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613857},
  doi          = {10.1109/ISOCC53507.2021.9613857},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeePBCLLBH21,
  author       = {Wooyoung Lee and
                  Jina Park and
                  Changjun Byun and
                  Eunjin Choi and
                  Jae{-}Hyoung Lee and
                  Woojoo Lee and
                  Kyung Jin Byun and
                  Kyuseung Han},
  title        = {K-means Clustering-specific Lightweight {RISC-V} processor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {391--392},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613863},
  doi          = {10.1109/ISOCC53507.2021.9613863},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeePBCLLBH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeePLLK21,
  author       = {Sangjun Lee and
                  Jongho Park and
                  Inhwan Lee and
                  Kwonhyoung Lee and
                  Sungho Kang},
  title        = {Hybrid Test Access Mechanism for Multiple Identical Cores},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {365--366},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613908},
  doi          = {10.1109/ISOCC53507.2021.9613908},
  timestamp    = {Mon, 15 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeePLLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeSJPL21,
  author       = {Eunchong Lee and
                  Minyong Sung and
                  Sung{-}Joon Jang and
                  Jonghee Park and
                  Sang{-}Seol Lee},
  title        = {Memory-Centric Architecture of Neural Processing Unit for Edge Device},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {240--241},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613977},
  doi          = {10.1109/ISOCC53507.2021.9613977},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeSJPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYCJ21,
  author       = {Young Kyu Lee and
                  Minjune Yeo and
                  Seokhee Cho and
                  Seong{-}Ook Jung},
  title        = {Intrinsic Capacitance based Multi bit Computing in Memory},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {361--362},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613882},
  doi          = {10.1109/ISOCC53507.2021.9613882},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYIJLZ21,
  author       = {Sanghyuk Lee and
                  Youpeng Yang and
                  Mohamed AbdelAzim Ibrahim and
                  Changhyun Jun and
                  Eng Gee Lim and
                  Yujia Zhai},
  title        = {Design on Smart Grid and Irrigation Management: based on Information
                  Sharing},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {191--192},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613898},
  doi          = {10.1109/ISOCC53507.2021.9613898},
  timestamp    = {Mon, 16 Sep 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYIJLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYK21,
  author       = {Chanhee Lee and
                  Sangho Yoon and
                  Seokhyeong Kang},
  title        = {Components Analysis on Audio Signal Mixtures},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {363--364},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613899},
  doi          = {10.1109/ISOCC53507.2021.9613899},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYLK21,
  author       = {Seunggyu Lee and
                  Jongho Yoon and
                  Jakang Lee and
                  Seokhyeong Kang},
  title        = {Giga-sample Data Acquisition Method for High-speed {DDR5} {SDRAM}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614030},
  doi          = {10.1109/ISOCC53507.2021.9614030},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeynesHLR21,
  author       = {Arcel G. Leynes and
                  John Richard E. Hizon and
                  Maria Theresa G. de Leon and
                  Marc D. Rosales},
  title        = {Asymmetric Charge Transfer Scheme Model in {ML-SSHC} with Consistent
                  Power Extraction Improvement for Piezoelectric Energy Harvesters},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {65--66},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614018},
  doi          = {10.1109/ISOCC53507.2021.9614018},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeynesHLR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiWZYR21,
  author       = {Ziwei Li and
                  Guoyao Wu and
                  Yutong Zhao and
                  Fan Ye and
                  Junyan Ren},
  title        = {Resistive Degeneration Linearization Dynamic Residue Amplifiers for
                  Pipelined ADCs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {9--10},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613883},
  doi          = {10.1109/ISOCC53507.2021.9613883},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LiWZYR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinH21,
  author       = {Qiuyang Lin and
                  Nick Van Helleptte},
  title        = {{PPG} Sensors for The New Normal: {A} Review},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {276--277},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613962},
  doi          = {10.1109/ISOCC53507.2021.9613962},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinKH21,
  author       = {Wei{-}Hung Lin and
                  Hsu{-}Yu Kao and
                  Shih{-}Hsu Huang},
  title        = {Hybrid Dynamic Fixed Point Quantization Methodology for {AI} Accelerators},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {282--283},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614019},
  doi          = {10.1109/ISOCC53507.2021.9614019},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinKH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LouCW21,
  author       = {Pang{-}Yen Lou and
                  Ying{-}Xuan Chen and
                  Chua{-}Chin Wang},
  title        = {On-chip {CMOS} Corner Detector Design for Panel Drivers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613965},
  doi          = {10.1109/ISOCC53507.2021.9613965},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LouCW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LouHW21,
  author       = {Pang{-}Yen Lou and
                  Yung{-}Yuan Ho and
                  Chua{-}Chin Wang},
  title        = {Analysis of Layout Arrangment for {CMOS} Oscillators to Reduce Overall
                  Variation on Wafer},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613955},
  doi          = {10.1109/ISOCC53507.2021.9613955},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LouHW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LuOLHY21,
  author       = {Jincheng Lu and
                  Zixuan Ou and
                  Ziyu Liu and
                  Cheng Han and
                  Wenbin Ye},
  title        = {Radar Based Real-Time Fall Detection System with Low Power Consumption},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {266--267},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613989},
  doi          = {10.1109/ISOCC53507.2021.9613989},
  timestamp    = {Wed, 08 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LuOLHY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MauludinLK21,
  author       = {Muhammad Fakhri Mauludin and
                  Dong{-}Ho Lee and
                  Jusung Kim},
  title        = {Wideband Operational Trans-Conductance Amplifier with Feed-Forward
                  Compensation Technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {298--299},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613868},
  doi          = {10.1109/ISOCC53507.2021.9613868},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MauludinLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MehmoodAS21,
  author       = {Zubair Mehmood and
                  Waseem Abbas and
                  Munkyo Seo},
  title        = {Design of 100 GHz {OOK} Transceiver in 28nm {CMOS} Process for High
                  Speed Communication},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {99--100},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613889},
  doi          = {10.1109/ISOCC53507.2021.9613889},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MehmoodAS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MehmoodS21,
  author       = {Zubair Mehmood and
                  Munkyo Seo},
  title        = {A High Speed {OOK} Modulator at 300 GHz using {LO} Cancellation Technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613853},
  doi          = {10.1109/ISOCC53507.2021.9613853},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MehmoodS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MinKK21,
  author       = {Jiyoung Min and
                  Sunmean Kim and
                  Seokhyeong Kang},
  title        = {Memcapacitor based Minimum and Maximum Gate Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613984},
  doi          = {10.1109/ISOCC53507.2021.9613984},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MinKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MindoroCLRSHRL21,
  author       = {Steven Lorenzo Mindoro and
                  John Owen Cabuyadao and
                  Arcel G. Leynes and
                  Maria Sophia Ralota and
                  Zyrel Renzo Sanchez and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Maria Theresa G. de Leon},
  title        = {A {CMOS} Power Management Unit with Undervoltage Lockout Circuit as
                  Startup for Piezoelectric Energy Harvesting Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613995},
  doi          = {10.1109/ISOCC53507.2021.9613995},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MindoroCLRSHRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MizunoZNKT21,
  author       = {Takumi Mizuno and
                  Qidi Zhang and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Impacts of {HLS} Optimizations on Side-Channel Leakage for {AES} Circuits},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {53--54},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613900},
  doi          = {10.1109/ISOCC53507.2021.9613900},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MizunoZNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MoonYHK21,
  author       = {Youngki Moon and
                  Hyunho Yoo and
                  Donghyun Han and
                  Sungho Kang},
  title        = {Area Efficient Built-In Redundancy Analysis using Pre-Solutions with
                  Various Spare Structure},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {431--432},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613937},
  doi          = {10.1109/ISOCC53507.2021.9613937},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MoonYHK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhataSNKT21,
  author       = {Koyu Ohata and
                  Kenta Shirane and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Scheduling with Variable-Cycle Approximate Functional Units in High-Level
                  Synthesis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {57--58},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613852},
  doi          = {10.1109/ISOCC53507.2021.9613852},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhataSNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkCJACK21,
  author       = {Heechun Park and
                  Kyungjoon Chang and
                  Jooyeon Jeong and
                  Jaehoon Ahn and
                  Ki{-}Seok Chung and
                  Taewhan Kim},
  title        = {Challenges on {DTCO} Methodology Towards Deep Submicron Interconnect
                  Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {215--218},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614026},
  doi          = {10.1109/ISOCC53507.2021.9614026},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkCJACK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkK21,
  author       = {Geuntae Park and
                  Youngmin Kim},
  title        = {Low Power Gate Diffusion Input Full Adder using Floating Body},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {337--338},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613966},
  doi          = {10.1109/ISOCC53507.2021.9613966},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKKC21,
  author       = {Sang{-}Soo Park and
                  Dong{-}Hee Kim and
                  Jun{-}Gu Kang and
                  Ki{-}Seok Chung},
  title        = {EdgeRL: {A} Light-Weight {C/C++} Framework for On-Device Reinforcement
                  Learning},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {235--236},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613916},
  doi          = {10.1109/ISOCC53507.2021.9613916},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKKC21a,
  author       = {Cheolhyeong Park and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {FPGA-based Scalable Road Image Stochastic Denosing Approach},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {351--352},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613963},
  doi          = {10.1109/ISOCC53507.2021.9613963},
  timestamp    = {Tue, 01 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKKC21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKLS21,
  author       = {Joon Hyeon Park and
                  Min Cheol Kim and
                  Byeong Dae Lee and
                  Myung Hoon Sunwoo},
  title        = {Implementation of {CNN} based Demosaicking on {FPGA}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {417--418},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614015},
  doi          = {10.1109/ISOCC53507.2021.9614015},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKPC21,
  author       = {Sanghyeon Park and
                  Jae{-}Nam Kim and
                  Seung{-}Ah Park and
                  Jung{-}Hoon Chun},
  title        = {A 30-Gb/s {PAM-8} Transmitter with a 2-Tap Feed-Forward Equalizer
                  and Background Clock Calibration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {43--44},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614002},
  doi          = {10.1109/ISOCC53507.2021.9614002},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKPC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkL21,
  author       = {Jieun Park and
                  Kang{-}Yoon Lee},
  title        = {Low Noise Analog Front End for IoT Sensor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {149--150},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613932},
  doi          = {10.1109/ISOCC53507.2021.9613932},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkP21,
  author       = {Hyunchul Park and
                  Jongsun Park},
  title        = {Local Bit-line Charge-sharing based Pre-charging {SRAM} for Near Threshold
                  Voltage Operation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613896},
  doi          = {10.1109/ISOCC53507.2021.9613896},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkS21,
  author       = {Eun{-}Bin Park and
                  Taigon Song},
  title        = {An Optimized Standard Cell Design Methodology Targeting Low Parasitics
                  and Small Area for Complementary FETs (CFETs)},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {395--396},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613922},
  doi          = {10.1109/ISOCC53507.2021.9613922},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/QiNQCLW21,
  author       = {Liang Qi and
                  Tianming Ni and
                  Xinyu Qin and
                  Mingyi Chen and
                  Yongfu Li and
                  Guoxing Wang},
  title        = {Continuous-time Delta-Sigma Modulators: Single-loop versus {MASH}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {252--253},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613910},
  doi          = {10.1109/ISOCC53507.2021.9613910},
  timestamp    = {Fri, 19 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/QiNQCLW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/QuizonASRHS21,
  author       = {Lawrence Roman A. Quizon and
                  Anastacia B. Alvarez and
                  Christoper G. Santos and
                  Marc D. Rosales and
                  John Richard E. Hizon and
                  Maria Patricia Rouelli G. Sabino},
  title        = {A Voltage-Controlled Magnetic Anisotropy based True Random Number
                  Generator},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {159--160},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613854},
  doi          = {10.1109/ISOCC53507.2021.9613854},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/QuizonASRHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RadCKRL21,
  author       = {Reza E. Rad and
                  Soon Ho Choi and
                  SungJin Kim and
                  Behnam Samadpoor Rikan and
                  Kang{-}Yoon Lee},
  title        = {A 2-GHz Reconfigurable Transmitter Using {A} Class-D {PA} and {A}
                  Multi-Tapped Transformer},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {31--32},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613956},
  doi          = {10.1109/ISOCC53507.2021.9613956},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RadCKRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RadRL21,
  author       = {Reza E. Rad and
                  Behnam Samadpoor Rikan and
                  Kang{-}Yoon Lee},
  title        = {A 5.8 GHz {RF-DC} Based Energy-Harvesting Front-End with a Load-Lighting
                  LC-Oscillator Based Voltage Booster for a {SWIPT} {IC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {307--308},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613923},
  doi          = {10.1109/ISOCC53507.2021.9613923},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RadRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RamamurthyPS21,
  author       = {Chinmaye Ramamurthy and
                  Chetan D. Parikh and
                  Subhajit Sen},
  title        = {Digital Calibration of 1.5 bits/stage Algorithmic {ADC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614017},
  doi          = {10.1109/ISOCC53507.2021.9614017},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RamamurthyPS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Reza21,
  author       = {Md Farhadur Reza},
  title        = {Reinforcement Learning for Runtime Optimization for High Performance
                  and Energy Efficient NoC},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {284--285},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613994},
  doi          = {10.1109/ISOCC53507.2021.9613994},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Reza21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RhoKL21,
  author       = {Chang han Rho and
                  Jin{-}Ku Kang and
                  Jin Liu},
  title        = {Two-step Time-to-Digital Converter using pulse-shifting time-difference
                  repetition circuit},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {333--334},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613975},
  doi          = {10.1109/ISOCC53507.2021.9613975},
  timestamp    = {Thu, 02 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RhoKL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RikanHCRPL21,
  author       = {Behnam Samadpoor Rikan and
                  Arash Hejazi and
                  DaeYoung Choi and
                  Reza E. Rad and
                  YoungGun Pu and
                  Kang{-}Yoon Lee},
  title        = {12-Bit 5 MS/s {SAR} {ADC} with Split Type {DAC} for {BLE}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {125--126},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613993},
  doi          = {10.1109/ISOCC53507.2021.9613993},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RikanHCRPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RohCJ21,
  author       = {Seungha Roh and
                  Moon{-}Chul Choi and
                  Deog{-}Kyoon Jeong},
  title        = {A Maximum Eye Tracking Clock-and-Data Recovery Scheme with Golden
                  Section Search(GSS) Algorithm in 28-nm {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {47--48},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613919},
  doi          = {10.1109/ISOCC53507.2021.9613919},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RohCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RohLLS21,
  author       = {Jae Hong Roh and
                  Useok Lee and
                  Yongje Lee and
                  Myung Hoon Sunwoo},
  title        = {Efficient Partial Sum Architecture and Memory Reduction Method for
                  SC-Flip Polar Decoder},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {19--20},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613860},
  doi          = {10.1109/ISOCC53507.2021.9613860},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RohLLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SST21,
  author       = {Sunita M. S and
                  Tejas Somashekhar and
                  Shashidhar Tantry},
  title        = {Adaptive {ON} - Time Boost Converter in 45nm for Solar Cell Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {135--136},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613879},
  doi          = {10.1109/ISOCC53507.2021.9613879},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SST21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SaitoJ21,
  author       = {Tsukasa Saito and
                  Kenya Jin'no},
  title        = {Ability to generate output series for Hysteresis Reservoir Computing},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {179--180},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614006},
  doi          = {10.1109/ISOCC53507.2021.9614006},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SaitoJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SanoSNKTYU21,
  author       = {Masaki Sano and
                  Kenta Shirane and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama and
                  Tongxin Yang and
                  Tomoaki Ukezono},
  title        = {Design of a 32-bit Accuracy-Controllable Approximate Multiplier for
                  FPGAs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {55--56},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613872},
  doi          = {10.1109/ISOCC53507.2021.9613872},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SanoSNKTYU21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoLSK21,
  author       = {Hyoju Seo and
                  Jungwon Lee and
                  Hyelin Seok and
                  Yongtae Kim},
  title        = {Design of an Accuracy Enhanced Imprecise Adder with Half Adder-based
                  Approximation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {153--154},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613888},
  doi          = {10.1109/ISOCC53507.2021.9613888},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoLSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SerranoDHSTSP21,
  author       = {Ronaldo Serrano and
                  Ckristian Duran and
                  Trong{-}Thuc Hoang and
                  Marco Sarmiento and
                  Akira Tsukamoto and
                  Kuniyasu Suzaki and
                  Cong{-}Kha Pham},
  title        = {ChaCha20-Poly1305 Crypto Core Compatible with Transport Layer Security
                  1.3},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {17--18},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614016},
  doi          = {10.1109/ISOCC53507.2021.9614016},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SerranoDHSTSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SerranoSDNHIP21,
  author       = {Ronaldo Serrano and
                  Marco Sarmiento and
                  Ckristian Duran and
                  Khai{-}Duy Nguyen and
                  Trong{-}Thuc Hoang and
                  Koichiro Ishibashi and
                  Cong{-}Kha Pham},
  title        = {A Low-Power Low-Area SoC based in {RISC-V} Processor for IoT Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {375--376},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613880},
  doi          = {10.1109/ISOCC53507.2021.9613880},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SerranoSDNHIP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SharmaKK21,
  author       = {Akshay Kumar Sharma and
                  Byungho Kang and
                  Kyung Ki Kim},
  title        = {LightNet: {A} Lightweight Neural Network for Image Classification},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {419--420},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613865},
  doi          = {10.1109/ISOCC53507.2021.9613865},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SharmaKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShenWL21,
  author       = {Yukai Shen and
                  Shiwei Wang and
                  Carolina Mora Lopez},
  title        = {RRAM-Based {STDP} Network for Edge Computing in Wearable/Implantable
                  Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {274--275},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613939},
  doi          = {10.1109/ISOCC53507.2021.9613939},
  timestamp    = {Tue, 05 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShenWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShenZLYZ21,
  author       = {Zong Jie Shen and
                  Chun Zhao and
                  Yina Liu and
                  Li Yang and
                  Cezhou Zhao},
  title        = {Artificial synaptic behavior and its improvement of {RRAM} device
                  with stacked solution-processed MXene layers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {187--188},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613944},
  doi          = {10.1109/ISOCC53507.2021.9613944},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShenZLYZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimCSC21,
  author       = {Kyuhong Shim and
                  Iksoo Choi and
                  Wonyong Sung and
                  Jungwook Choi},
  title        = {Layer-wise Pruning of Transformer Attention Heads for Efficient Language
                  Modeling},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {357--358},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613933},
  doi          = {10.1109/ISOCC53507.2021.9613933},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimCSC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimLLJ21,
  author       = {Minkyo Shim and
                  Woonghee Lee and
                  Yunhee Lee and
                  Deog{-}Kyoon Jeong},
  title        = {A Stochastic Variable Gain Amplifier Adaptation for {PAM-4} signaling},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {49--50},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613986},
  doi          = {10.1109/ISOCC53507.2021.9613986},
  timestamp    = {Mon, 30 Oct 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimLLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimodaHIN21,
  author       = {Yukinaga Shimoda and
                  Kota Hayashi and
                  Daisuke Ito and
                  Makoto Nakamura},
  title        = {Feed-Forward Control of {PAM4} {CTLE} for Optical Receivers Based
                  on a Step Response Analysis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614028},
  doi          = {10.1109/ISOCC53507.2021.9614028},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimodaHIN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinH21,
  author       = {Tae{-}ho Shin and
                  Jaeduk Han},
  title        = {A {SCAN} Chain Generator for Verification of Full-Custom Integrated
                  Circuits},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {335--336},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613981},
  doi          = {10.1109/ISOCC53507.2021.9613981},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinLYK21,
  author       = {Seung Ho Shin and
                  Hayoung Lee and
                  Younwoo Yoo and
                  Sungho Kang},
  title        = {An Effective Spare Allocation Methodology for 3D Memory Repair with
                  {BIRA}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {429--430},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613980},
  doi          = {10.1109/ISOCC53507.2021.9613980},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinLYK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShiraneNKT21,
  author       = {Kenta Shirane and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {High-Level Synthesis of Approximate Computing Circuits with Dual Accuracy
                  Modes},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {369--370},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614001},
  doi          = {10.1109/ISOCC53507.2021.9614001},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShiraneNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SoLKM21,
  author       = {Jaehyuk So and
                  Dong{-}Hyun Lee and
                  Min{-}Joon Kim and
                  Yeon{-}Kug Moon},
  title        = {{ASIC} Implementation of Magnetic Induction based Wireless Communication
                  System},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {371--372},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614024},
  doi          = {10.1109/ISOCC53507.2021.9614024},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SoLKM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SonNKAK21,
  author       = {Hyun{-}Wook Son and
                  YongSeok Na and
                  TaeHyun Kim and
                  Ali A. Al{-}Hamid and
                  HyungWon Kim},
  title        = {{CNN} Accelerator with Minimal On-Chip Memory Based on Hierarchical
                  Array},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {411--412},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613997},
  doi          = {10.1109/ISOCC53507.2021.9613997},
  timestamp    = {Tue, 07 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SonNKAK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongCJ21,
  author       = {Changmin Song and
                  Se{-}Hyeon Cho and
                  Young{-}Chan Jang},
  title        = {A 0.2 {\unicode{8210}} 1.2GHz Adaptive Bandwidth {PLL} with Controllable
                  {KVCO}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {300--301},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613999},
  doi          = {10.1109/ISOCC53507.2021.9613999},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongK21,
  author       = {Soonbum Song and
                  Youngmin Kim},
  title        = {Novel In-memory Computing Circuit using Muller C-element},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613964},
  doi          = {10.1109/ISOCC53507.2021.9613964},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongL21,
  author       = {Ji Hoon Song and
                  Kang{-}Yoon Lee},
  title        = {Design of 66.5dB {IRR} Baseband Analog with Filter Tuning},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {37--38},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613855},
  doi          = {10.1109/ISOCC53507.2021.9613855},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongZLZ21,
  author       = {Shuang Song and
                  Yizhao Zhou and
                  Mengyu Li and
                  Menglian Zhao},
  title        = {A Review on Recent Development of Input Impedance Boosting for Bio-Potential
                  Amplifiers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {272--273},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613951},
  doi          = {10.1109/ISOCC53507.2021.9613951},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongZLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SungH21,
  author       = {Gaeryun Sung and
                  Jaeduk Han},
  title        = {High-speed StrongARM-latch-based Bang-bang Phase Detector in 40-nm
                  {CMOS} Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {377--378},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613931},
  doi          = {10.1109/ISOCC53507.2021.9613931},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SungH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TanDPL21,
  author       = {Tuy Nguyen Tan and
                  Phap Duong{-}Ngoc and
                  Thang Xuan Pham and
                  Hanho Lee},
  title        = {Novel Performance Evaluation Approach of {AMBA} AXI-Based SoC Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {403--404},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613920},
  doi          = {10.1109/ISOCC53507.2021.9613920},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TanDPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TanTC21,
  author       = {Fern Nee Tan and
                  Mohamad Shahrir Tamrin and
                  Jia Yun Chuah},
  title        = {Power Integrity Specification Definition for an Integrated Clock Circuit
                  Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {171--172},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613996},
  doi          = {10.1109/ISOCC53507.2021.9613996},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TanTC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateNOF21,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio and
                  Marie Engelene J. Obien and
                  Urs Frey},
  title        = {Local and Global Activities of Izhikevich Neuron Model in Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {219--220},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613867},
  doi          = {10.1109/ISOCC53507.2021.9613867},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateNOF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangWWZWZ21,
  author       = {Bi Wang and
                  Zhaohao Wang and
                  Min Wang and
                  Weisheng Zhao and
                  Liang Wang and
                  Yuanfu Zhao},
  title        = {Soft Error Sensitivity of Magnetic Random Access Memory and Its Radiation
                  Hardening Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {199--200},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613876},
  doi          = {10.1109/ISOCC53507.2021.9613876},
  timestamp    = {Mon, 19 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/WangWWZWZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangY21,
  author       = {Yong{-}Zheng Wang and
                  Ching{-}Yuan Yang},
  title        = {A Self Synchronized-Switch Rectifier for Piezoelectric-Vibration Energy-Harvesting
                  Systems},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {61--62},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613847},
  doi          = {10.1109/ISOCC53507.2021.9613847},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XiaoFDDC21,
  author       = {Yang Xiao and
                  Wuyu Fan and
                  Yuan Du and
                  Li Du and
                  Mau{-}Chung Frank Chang},
  title        = {CTT-based Non-Volatile Deep Neural Network Accelerator Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {258--259},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613930},
  doi          = {10.1109/ISOCC53507.2021.9613930},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XiaoFDDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XingSLZG21,
  author       = {Xinpeng Xing and
                  Xueqian Shang and
                  Senji Liu and
                  Xinfa Zheng and
                  Georges G. E. Gielen},
  title        = {Power-efficient VCO-based ADCs for Wireless Communication Systems},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {244--245},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613925},
  doi          = {10.1109/ISOCC53507.2021.9613925},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/XingSLZG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XiongZKWGWXHSY21,
  author       = {Tianzhu Xiong and
                  Yongliang Zhou and
                  Yuyao Kong and
                  Bo Wang and
                  An Guo and
                  Yufei Wang and
                  Chen Xue and
                  Haiming Hsu and
                  Xin Si and
                  Jun Yang},
  title        = {Design Methodology towards High-Precision {SRAM} based Computation-in-Memory
                  for {AI} Edge Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {195--196},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613913},
  doi          = {10.1109/ISOCC53507.2021.9613913},
  timestamp    = {Thu, 01 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/XiongZKWGWXHSY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XuJCFL21,
  author       = {Jin Xu and
                  Lin Jiang and
                  Hui Chen and
                  Yuxiang Fu and
                  Li Li},
  title        = {A Low-Complexity Architecture for Implementing Square to Tenth Root
                  of Complex Numbers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {15--16},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613873},
  doi          = {10.1109/ISOCC53507.2021.9613873},
  timestamp    = {Wed, 28 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XuJCFL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangC21,
  author       = {Chih{-}Chyau Yang and
                  Tian{-}Sheuan Chang},
  title        = {Pre-RTL {DNN} Hardware Evaluator With Fused Layer Support},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {83--84},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614027},
  doi          = {10.1109/ISOCC53507.2021.9614027},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YangC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangCFL21,
  author       = {Heping Yang and
                  Hui Chen and
                  Yuxiang Fu and
                  Li Li},
  title        = {Low-Latency Architecture for Implementing Floating-Point Multiplier
                  and Divider Based on Symmetric-Mapping {LUT}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {13--14},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613909},
  doi          = {10.1109/ISOCC53507.2021.9613909},
  timestamp    = {Wed, 28 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangCFL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangOKJL21,
  author       = {Kee Hoon Yang and
                  Tae Seob Oh and
                  Jae Bin Kim and
                  JongWan Jo and
                  Kang{-}Yoon Lee},
  title        = {{RF-DC} Converter Using Loss Compensation and Adaptive Matching Network},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {93--94},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613891},
  doi          = {10.1109/ISOCC53507.2021.9613891},
  timestamp    = {Fri, 04 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YangOKJL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YonemotoUN21,
  author       = {Naoto Yonemoto and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Suppression of Chaos Propagation in Ladder Chaotic Circuits by Local
                  Switching of Coupling Strength},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {183--184},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614004},
  doi          = {10.1109/ISOCC53507.2021.9614004},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YonemotoUN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Yoo21,
  author       = {Jerald Yoo},
  title        = {Body-coupled wireless power transfer and energy harvesting for wearables},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {225},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614033},
  doi          = {10.1109/ISOCC53507.2021.9614033},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Yoo21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooHL21,
  author       = {Sunwoo Yoo and
                  Seungwoo Hong and
                  Youngjoo Lee},
  title        = {Low-Complexity On-Device {ECG} Classifier using Binarized Neural Network},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {393--394},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613942},
  doi          = {10.1109/ISOCC53507.2021.9613942},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooHL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooLSK21,
  author       = {Younwoo Yoo and
                  Hayoung Lee and
                  Seung Ho Shin and
                  Sungho Kang},
  title        = {Post-bond Repair of Line Faults with Double-bit {ECC} for 3D Memory},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {427--428},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613892},
  doi          = {10.1109/ISOCC53507.2021.9613892},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooLSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YoonCK21,
  author       = {Jaejoon Yoon and
                  Sehyeon Chung and
                  Taewhan Kim},
  title        = {Analyses of Power Staple Inserting Methodologies for Mitigating IR-Drops},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {169--170},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613897},
  doi          = {10.1109/ISOCC53507.2021.9613897},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YoonCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YueSYL21,
  author       = {Jinshan Yue and
                  Wenyu Sun and
                  Huazhong Yang and
                  Yongpan Liu},
  title        = {Challenges and Opportunities of Energy-Efficient {CIM} SoC Design
                  for Edge {AI} Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {197--198},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613846},
  doi          = {10.1109/ISOCC53507.2021.9613846},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YueSYL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YunJ21,
  author       = {Daeho Yun and
                  Deog{-}Kyoon Jeong},
  title        = {Auto-tracking Method with Optimal Reference Voltage for {PAM-4} Receiver},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {381--382},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613866},
  doi          = {10.1109/ISOCC53507.2021.9613866},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YunJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaiCQYR21,
  author       = {Danfeng Zhai and
                  Chixiao Chen and
                  Liang Qi and
                  Fan Ye and
                  Junyan Ren},
  title        = {Machine Learning based Prior-Knowledge-Free Nyquist {ADC} Characterization
                  and Calibration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {246--247},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613864},
  doi          = {10.1109/ISOCC53507.2021.9613864},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaiCQYR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangCFL21,
  author       = {Yonggang Zhang and
                  Hui Chen and
                  Yuxiang Fu and
                  Li Li},
  title        = {\({}^{\mbox{2}}\){\(\beta\)}-softmax: {A} Hardware-Friendly Activation
                  Function with Low Complexity and High Performance},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {353--354},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613928},
  doi          = {10.1109/ISOCC53507.2021.9613928},
  timestamp    = {Wed, 28 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangCFL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangGCYYLP21,
  author       = {Zhiwen Zhang and
                  Qian Gong and
                  Yuan Cao and
                  Cheng Yin and
                  Enyi Yao and
                  Yanhua Liu and
                  Yongqing Pan},
  title        = {Detecting {LED} Chip Surface Defects with Modified Faster {R-CNN}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {268--269},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613949},
  doi          = {10.1109/ISOCC53507.2021.9613949},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangGCYYLP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangSLLL21,
  author       = {Xiangyu Zhang and
                  Wenyan Su and
                  Juan Li and
                  Jingwei Li and
                  Xin Lou},
  title        = {Spatial Non-Maximum Suppression for Object Detection using Correlation
                  and Dynamic Thresholds},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {264--265},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614023},
  doi          = {10.1109/ISOCC53507.2021.9614023},
  timestamp    = {Thu, 09 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangSLLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangTH21,
  author       = {Tingting Zhang and
                  Qichao Tao and
                  Jie Han},
  title        = {Solving Traveling Salesman Problems Using Ising Models with Simulated
                  Bifurcation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {288--289},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613918},
  doi          = {10.1109/ISOCC53507.2021.9613918},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangTH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangZCCYQ21,
  author       = {Jingying Zhang and
                  Yang Zhao and
                  Mingyi Chen and
                  Chixiao Chen and
                  Fan Ye and
                  Liang Qi},
  title        = {Self-coupled {MASH} Delta-Sigma Modulator with Zero Optimization},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613903},
  doi          = {10.1109/ISOCC53507.2021.9613903},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangZCCYQ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaoZNKT21,
  author       = {Yilin Zhao and
                  Qidi Zhang and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Power Side-Channel Analysis for Different Adders on {FPGA}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {367--368},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613957},
  doi          = {10.1109/ISOCC53507.2021.9613957},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaoZNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhuKNS21,
  author       = {Wenqi Zhu and
                  Yutaro Komiyama and
                  Kien Nguyan and
                  Hiroo Sekiya},
  title        = {PSO-based Design Procedure for Class-DE Inverter},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {173--174},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613924},
  doi          = {10.1109/ISOCC53507.2021.9613924},
  timestamp    = {Wed, 17 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhuKNS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2021,
  title        = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021},
  doi          = {10.1109/ISOCC53507.2021},
  isbn         = {978-1-6654-0174-6},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}