default search action
Search dblp for Publications
export results for "toc:db/conf/islped/islped2014.bht:"
@inproceedings{DBLP:conf/islped/AlexandrovAM14, author = {Borislav Alexandrov and Khondker Z. Ahmed and Saibal Mukhopadhyay}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {An on-chip autonomous thermoelectric energy management system for energy-efficient active cooling}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {51--56}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627634}, doi = {10.1145/2627369.2627634}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AlexandrovAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Arabi14, author = {Karim Arabi}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Low power design techniques in mobile processes}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {1--2}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631634}, doi = {10.1145/2627369.2631634}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Arabi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AzimiZR14, author = {Reza Azimi and Xin Zhan and Sherief Reda}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Thermal-aware layout planning for heterogeneous datacenters}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {245--250}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627639}, doi = {10.1145/2627369.2627639}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AzimiZR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeigiM14, author = {Majed Valad Beigi and Gokhan Memik}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{MIN:} a power efficient mechanism to mitigate the impact of process variations on nanophotonic networks}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {299--302}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627660}, doi = {10.1145/2627369.2627660}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BeigiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeshayCAC14, author = {Peter Beshay and Vikas Chandra and Rob Aitken and Benton H. Calhoun}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A digital dynamic write margin sensor for low power read/write operations in 28nm {SRAM}}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {307--310}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627662}, doi = {10.1145/2627369.2627662}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BeshayCAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BortolottiMBASAVB14, author = {Daniele Bortolotti and Hossein Mamaghanian and Andrea Bartolini and Maryam Ashouei and Jan Stuijt and David Atienza and Pierre Vandergheynst and Luca Benini}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {45--50}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627629}, doi = {10.1145/2627369.2627629}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BortolottiMBASAVB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChangCKN14, author = {Sou{-}Chi Chang and Ahmet Ceyhan and Vachan Kumar and Azad Naeemi}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Performance modeling for emerging interconnect technologies in {CMOS} and beyond-CMOS circuits}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {63--68}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631638}, doi = {10.1145/2627369.2631638}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChangCKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChenFHSC14, author = {Yin{-}Nien Chen and Ming{-}Long Fan and Vita Pi{-}Ho Hu and Pin Su and Ching{-}Te Chuang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Ultra-low voltage mixed {TFET-MOSFET} 8T {SRAM} cell}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {255--258}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627649}, doi = {10.1145/2627369.2627649}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChenFHSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChengPSSIKSX14, author = {Hsiang{-}Yun Cheng and Matthew Poremba and Narges Shahidi and Ivan Stalev and Mary Jane Irwin and Mahmut T. Kandemir and Jack Sampson and Yuan Xie}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {303--306}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627661}, doi = {10.1145/2627369.2627661}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChengPSSIKSX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChiLX14, author = {Ping Chi and Wang{-}Chien Lee and Yuan Xie}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Making B\({}^{\mbox{+}}\)-tree efficient in PCM-based main memory}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {69--74}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627630}, doi = {10.1145/2627369.2627630}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChiLX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChippaVRR14, author = {Vinay K. Chippa and Swagath Venkataramani and Kaushik Roy and Anand Raghunathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {StoRM: a stochastic recognition and mining processor}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {39--44}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627645}, doi = {10.1145/2627369.2627645}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChippaVRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChoLOHL14, author = {Kyungsang Cho and Yongjun Lee and Young H. Oh and Gyoo{-}Cheol Hwang and Jae W. Lee}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {eDRAM-based tiered-reliability memory with applications to low-power frame buffers}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {333--338}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627626}, doi = {10.1145/2627369.2627626}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChoLOHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChoiGRMXBFP14, author = {Pilsoon Choi and Jason H. Gao and Nadesh Ramanathan and Mengda Mao and Shipeng Xu and Chirn Chye Boon and Suhaib A. Fahmy and Li{-}Shiuan Peh}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A case for leveraging 802.11p for direct phone-to-phone communications}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {207--212}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627644}, doi = {10.1145/2627369.2627644}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChoiGRMXBFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Cong14, author = {Jason Cong}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Accelerator-rich architectures: from single-chip to datacenters}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {139--140}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631636}, doi = {10.1145/2627369.2631636}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Cong14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DangCK14, author = {Trang Le Dinh Dang and Ik Joon Chang and Jinsang Kim}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {a-SAD: power efficient {SAD} calculator for real time {H.264} video encoder using MSB-approximation technique}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {259--262}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627650}, doi = {10.1145/2627369.2627650}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/DangCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DemirH14, author = {Yigit Demir and Nikos Hardavellas}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {EcoLaser: an adaptive laser control for energy-efficient on-chip photonic interconnects}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {3--8}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627620}, doi = {10.1145/2627369.2627620}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/DemirH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DesaiRC14, author = {Nachiket V. Desai and Yogesh K. Ramadass and Anantha P. Chandrakasan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A bipolar {\(\pm\)}40 {MV} self-starting boost converter with transformer reuse for thermoelectric energy harvesting}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {221--226}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627609}, doi = {10.1145/2627369.2627609}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/DesaiRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/EilersMHN14, author = {Reef Eilers and Malte Metzdorf and Domenik Helms and Wolfgang Nebel}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Efficient {NBTI} modeling technique considering recovery effects}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {177--182}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627618}, doi = {10.1145/2627369.2627618}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/EilersMHN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Fahim14, author = {Amr Fahim}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Challenges in low-power analog circuit design for sub-28nm {CMOS} technologies}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {123--126}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631639}, doi = {10.1145/2627369.2631639}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Fahim14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Flatresse14, author = {Philippe Flatresse}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Process and design solutions for exploiting {FD-SOI} technology towards energy efficient SOCs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {127--130}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631640}, doi = {10.1145/2627369.2631640}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Flatresse14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/FraternaliBCTB14, author = {Francesco Fraternali and Andrea Bartolini and Carlo Cavazzoni and Giampietro Tecchiolli and Luca Benini}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Quantifying the impact of variability on the energy efficiency for a next-generation ultra-green supercomputer}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {295--298}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627659}, doi = {10.1145/2627369.2627659}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/FraternaliBCTB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/FuZXO14, author = {Chenchen Fu and Mengying Zhao and Chun Jason Xue and Alex Orailoglu}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Sleep-aware variable partitioning for energy-efficient hybrid {PRAM} and {DRAM} main memory}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {75--80}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627616}, doi = {10.1145/2627369.2627616}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/FuZXO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HeYH14, author = {Hao He and Gongming Yang and Jiang Hu}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Algorithms for power-efficient QoS in application specific NoCs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {165--170}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627607}, doi = {10.1145/2627369.2627607}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/HeYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HuangC0XZW14, author = {Yongbing Huang and Mingyu Chen and Lixin Zhang and Shihai Xiao and Junfeng Zhao and Zhulin Wei}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Intelligent frame refresh for energy-aware display subsystems in mobile devices}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {369--374}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627612}, doi = {10.1145/2627369.2627612}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/HuangC0XZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/JacobsonJPBB14, author = {Hans M. Jacobson and Arun Joseph and Dharmesh Parikh and Pradip Bose and Alper Buyuktosunoglu}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Empirically derived abstractions in uncore power modeling for a server-class processor chip}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {147--152}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627619}, doi = {10.1145/2627369.2627619}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/JacobsonJPBB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/JayakumarLLRKR14, author = {Hrishikesh Jayakumar and Kangwoo Lee and Woo Suk Lee and Arnab Raha and Younghyun Kim and Vijay Raghunathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Powering the internet of things}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {375--380}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631644}, doi = {10.1145/2627369.2631644}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/JayakumarLLRKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KarCKM14, author = {Monodeep Kar and Sergio Carlo and Harish Kumar Krishnamurthy and Saibal Mukhopadhyay}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Impact of process variation in inductive integrated voltage regulator on delay and power of digital circuits}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {227--232}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627637}, doi = {10.1145/2627369.2627637}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KarCKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KhouzaniXYP14, author = {Hoda Aghaei Khouzani and Yuan Xue and Chengmo Yang and Archana Pandurangi}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Prolonging {PCM} lifetime through energy-efficient, segment-aware, and wear-resistant page allocation}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {327--330}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627667}, doi = {10.1145/2627369.2627667}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KhouzaniXYP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimLC14, author = {Sehwan Kim and Minseok Lee and Pai H. Chou}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Energy harvesting from anti-corrosion power sources}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {363--368}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627624}, doi = {10.1145/2627369.2627624}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KimLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimPCC14, author = {Jaemin Kim and Alma Pr{\"{o}}bstl and Samarjit Chakraborty and Naehyuck Chang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Aging mitigation of power supply-connected batteries}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {233--238}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627622}, doi = {10.1145/2627369.2627622}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KimPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimS14, author = {Seongjong Kim and Mingoo Seok}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Reconfigurable regenerator-based interconnect design for ultra-dynamic-voltage-scaling systems}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {99--104}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627632}, doi = {10.1145/2627369.2627632}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KimS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimS14a, author = {Seongjong Kim and Mingoo Seok}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Analysis and optimization of in-situ error detection techniques in ultra-low-voltage pipeline}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {291--294}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627658}, doi = {10.1145/2627369.2627658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KimS14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimWPC14, author = {Jaemin Kim and Yanzhi Wang and Massoud Pedram and Naehyuck Chang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Fast photovoltaic array reconfiguration for partial solar powered vehicles}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {357--362}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627623}, doi = {10.1145/2627369.2627623}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KimWPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KosterPR14, author = {Rick Koster and Sushma Honnavara Prasad and Shreedhar Ramachandra}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Failing to fail: achieving success in advanced low power design using {UPF}}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {137--138}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631637}, doi = {10.1145/2627369.2631637}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KosterPR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KozhikkottuVDR14, author = {Vivek Joy Kozhikkottu and Swagath Venkataramani and Sujit Dey and Anand Raghunathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Variation tolerant design of a vector processor for recognition, mining and synthesis}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {239--244}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627636}, doi = {10.1145/2627369.2627636}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/KozhikkottuVDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LeeKK14, author = {Kangho Lee and Jimmy J. Kan and Seung{-}Hyuk Kang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Unified embedded non-volatile memory for emerging mobile markets}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {131--136}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631641}, doi = {10.1145/2627369.2631641}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LeeKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LeeKSL14, author = {Wonjun Lee and Channoh Kim and Houp Song and Jae W. Lee}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {QPR.js: a runtime framework for QoS-aware power optimization for parallel JavaScript programs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {251--254}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627648}, doi = {10.1145/2627369.2627648}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LeeKSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LeeWCNP14, author = {Woojoo Lee and Yanzhi Wang and Tiansong Cui and Shahin Nazarian and Massoud Pedram}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {105--110}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627608}, doi = {10.1145/2627369.2627608}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LeeWCNP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LengZRGR14, author = {Jingwen Leng and Yazhou Zu and Minsoo Rhu and Meeta Sharma Gupta and Vijay Janapa Reddi}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {GPUVolt: modeling and characterizing voltage noise in {GPU} architectures}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {141--146}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627605}, doi = {10.1145/2627369.2627605}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LengZRGR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LinHSC14, author = {Chung{-}Wei Lin and Tzu{-}Hsuan Hsu and Xin{-}Wei Shih and Yao{-}Wen Chang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Buffered clock tree synthesis considering self-heating effects}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {111--116}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627640}, doi = {10.1145/2627369.2627640}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LinHSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiuSLDSN14, author = {Huichu Liu and Mahsa Shoaran and Xueqing Li and Suman Datta and Alexandre Schmid and Vijaykrishnan Narayanan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Tunnel FET-based ultra-low power, low-noise amplifier design for bio-signal acquisition}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {57--62}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627631}, doi = {10.1145/2627369.2627631}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiuSLDSN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiuTTZ14, author = {Gai Liu and Ye Tao and Mingxing Tan and Zhiru Zhang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{CASA:} correlation-aware speculative adders}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {189--194}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627635}, doi = {10.1145/2627369.2627635}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LiuTTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LuS14, author = {Tiantao Lu and Ankur Srivastava}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Gated low-power clock tree synthesis for 3D-ICs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {319--322}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627665}, doi = {10.1145/2627369.2627665}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LuS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MattiaKB14, author = {Oscar E. Mattia and Hamilton Klimach and Sergio Bampi}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {2.3 ppm/{\textdegree}c 40 nW MOSFET-only voltage reference}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {215--220}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627621}, doi = {10.1145/2627369.2627621}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/MattiaKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MotamanIG14, author = {Seyedhamidreza Motaman and Anirudh Iyengar and Swaroop Ghosh}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Synergistic circuit and system design for energy-efficient and robust domain wall caches}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {195--200}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627643}, doi = {10.1145/2627369.2627643}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MotamanIG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/NahlusKSB14, author = {Ihab Nahlus and Eric P. Kim and Naresh R. Shanbhag and David T. Blaauw}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Energy-efficient dot product computation using a switched analog circuit architecture}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {315--318}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627664}, doi = {10.1145/2627369.2627664}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/NahlusKSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PalominoSSH14, author = {Daniel Palomino and Muhammad Shafique and Altamiro Amadeu Susin and J{\"{o}}rg Henkel}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{TONE:} adaptive temperature optimization for the next generation video encoders}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {33--38}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627628}, doi = {10.1145/2627369.2627628}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/PalominoSSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PanthSDL14, author = {Shreepad A. Panth and Kambiz Samadi and Yang Du and Sung Kyu Lim}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Design and {CAD} methodologies for low power gate-level monolithic 3D ICs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {171--176}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627642}, doi = {10.1145/2627369.2627642}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/PanthSDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PuriCQZ14, author = {Ruchir Puri and Mihir R. Choudhury and Haifeng Qian and Matthew M. Ziegler}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Bridging high performance and low power in processor design}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {183--188}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631642}, doi = {10.1145/2627369.2631642}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/PuriCQZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PusdesrisVM14, author = {Joseph Pusdesris and Benjamin VanderSloot and Trevor N. Mudge}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A memory rename table to reduce energy and improve performance}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {279--282}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627655}, doi = {10.1145/2627369.2627655}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/PusdesrisVM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RamasubramanianVSRR14, author = {Shankar Ganesh Ramasubramanian and Rangharajan Venkatesan and Mrigank Sharad and Kaushik Roy and Anand Raghunathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{SPINDLE:} SPINtronic deep learning engine for large-scale neuromorphic computing}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {15--20}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627625}, doi = {10.1145/2627369.2627625}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/RamasubramanianVSRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Ranganathan14, author = {Parthasarathy Ranganathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {The new (system) balance of power and opportunities for optimizations}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {331--332}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631635}, doi = {10.1145/2627369.2631635}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Ranganathan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Redmond14, author = {John Redmond}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Leakage mitigation techniques in smartphone SoCs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {213--214}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2631643}, doi = {10.1145/2627369.2631643}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Redmond14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SampaioSZBH14, author = {Felipe Martin Sampaio and Muhammad Shafique and Bruno Zatt and Sergio Bampi and J{\"{o}}rg Henkel}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Content-driven memory pressure balancing and video memory power management for parallel high efficiency video coding}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {153--158}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627615}, doi = {10.1145/2627369.2627615}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/SampaioSZBH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SankaragomathiSOS14, author = {Kannan A. Sankaragomathi and William Anthony Smith and Brian P. Otis and Visvesh S. Sathe}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A deterministic-dither-based, all-digital system for on-chippower supply noise measurement}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {283--286}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627656}, doi = {10.1145/2627369.2627656}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SankaragomathiSOS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Sartori014, author = {John Sartori and Rakesh Kumar}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Software canaries: software-based path delay fault testing for variation-aware energy-efficient design}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {159--164}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627646}, doi = {10.1145/2627369.2627646}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Sartori014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Sathe14, author = {Visvesh S. Sathe}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Quasi-resonant clocking: a run-time control approach for true voltage-frequency-scalability}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {87--92}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627627}, doi = {10.1145/2627369.2627627}, timestamp = {Wed, 08 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/Sathe14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SedighiAS14, author = {Behnam Sedighi and N. Prasanth Anthapadmanabhan and Dusan Suvakovic}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Timing errors in {LDPC} decoding computations with overscaled supply voltage}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {201--206}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627638}, doi = {10.1145/2627369.2627638}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SedighiAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SerafySY14, author = {Caleb Serafy and Ankur Srivastava and Donald Yeung}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Unlocking the true potential of 3D CPUs with micro-fluidic cooling}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {323--326}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627666}, doi = {10.1145/2627369.2627666}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SerafySY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ShaoL14, author = {Botang Shao and Peng Li}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A model for array-based approximate arithmetic computing with application to multiplier and squarer design}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {9--14}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627617}, doi = {10.1145/2627369.2627617}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ShaoL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ShinSBMMP14, author = {Donghwa Shin and Alessandro Sassone and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A compact macromodel for the charge phase of a battery with typical charging protocol}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {267--270}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627652}, doi = {10.1145/2627369.2627652}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ShinSBMMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SinghK14, author = {Digvijay Singh and William J. Kaiser}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Energy efficient task scheduling on a multi-core platform using real-time energy measurements}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {271--274}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627653}, doi = {10.1145/2627369.2627653}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SinghK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SunBJL14, author = {Zhenyu Sun and Xiuyuan Bi and Alex K. Jones and Hai Li}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Design exploration of racetrack lower-level caches}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {263--266}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627651}, doi = {10.1145/2627369.2627651}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SunBJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/TavanaKRMH14, author = {Mohammad Khavari Tavana and Amey M. Kulkarni and Abbas Rahimi and Tinoosh Mohsenin and Houman Homayoun}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Energy-efficient mapping of biomedical applications on domain-specific accelerator under process variation}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {275--278}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627654}, doi = {10.1145/2627369.2627654}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/TavanaKRMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VenkataramaniRRR14, author = {Swagath Venkataramani and Ashish Ranjan and Kaushik Roy and Anand Raghunathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {AxNN: energy-efficient neuromorphic systems using approximate computing}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {27--32}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627613}, doi = {10.1145/2627369.2627613}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/VenkataramaniRRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VincoSFMP14, author = {Sara Vinco and Alessandro Sassone and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {An open-source framework for formal specification and simulation of electrical energy systems}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {287--290}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627657}, doi = {10.1145/2627369.2627657}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/VincoSFMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WangDX14, author = {Jue Wang and Xiangyu Dong and Yuan Xie}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Enabling high-performance LPDDRx-compatible {MRAM}}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {339--344}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627610}, doi = {10.1145/2627369.2627610}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/WangDX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/XieDP14, author = {Qing Xie and Mohammad Javad Dousti and Massoud Pedram}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Therminator: a thermal simulator for smartphones producing accurate chip and skin temperature maps}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {117--122}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627641}, doi = {10.1145/2627369.2627641}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/XieDP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/XuDHYY14, author = {Dongjun Xu and Sai Manoj Pudukotai Dinakarrao and Hantao Huang and Ningmei Yu and Hao Yu}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {An energy-efficient 2.5D through-silicon interposer {I/O} with self-adaptive adjustment of output-voltage swing}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {93--98}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627614}, doi = {10.1145/2627369.2627614}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/XuDHYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/YueCZPP14, author = {Siyu Yue and Lizhong Chen and Di Zhu and Timothy Mark Pinkston and Massoud Pedram}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Smart butterfly: reducing static power dissipation of network-on-chip with core-state-awareness}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {311--314}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627663}, doi = {10.1145/2627369.2627663}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/YueCZPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhangSLWNC14, author = {Chao Zhang and Guangyu Sun and Peng Li and Tao Wang and Dimin Niu and Yiran Chen}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{SBAC:} a statistics based cache bypassing method for asymmetric-access caches}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {345--350}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627611}, doi = {10.1145/2627369.2627611}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ZhangSLWNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhangZL14, author = {Wei Zhang and Hang Zhang and John C. Lach}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Adaptive front-end throttling for superscalar processors}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {21--26}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627633}, doi = {10.1145/2627369.2627633}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ZhangZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhengWL14, author = {Zhong Zheng and Zhiying Wang and Mikko H. Lipasti}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Tag check elision}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {351--356}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627606}, doi = {10.1145/2627369.2627606}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ZhengWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhongZWZLLLS14, author = {Kan Zhong and Xiao Zhu and Tianzheng Wang and Dan Zhang and Xianlu Luo and Duo Liu and Weichen Liu and Edwin Hsing{-}Mean Sha}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{DR.} Swap: energy-efficient paging for smartphones}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {81--86}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627647}, doi = {10.1145/2627369.2627647}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ZhongZWZLLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2014, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2627369}, isbn = {978-1-4503-2975-0}, timestamp = {Tue, 05 Aug 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.