Search dblp for Publications

export results for "toc:db/conf/islped/islped2006.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/AmelifardFP06,
  author       = {Behnam Amelifard and
                  Farzan Fallah and
                  Massoud Pedram},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Low-power fanout optimization using {MTCMOS} and multi-Vt techniques},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {334--337},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165652},
  doi          = {10.1145/1165573.1165652},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AmelifardFP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BalkanSPG06,
  author       = {Deniz Balkan and
                  Joseph J. Sharkey and
                  Dmitry Ponomarev and
                  Kanad Ghose},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Selective writeback: exploiting transient values for energy-efficiency
                  and performance},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165584},
  doi          = {10.1145/1165573.1165584},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BalkanSPG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BircherJ06,
  author       = {William Lloyd Bircher and
                  Lizy K. John},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power phase variation in a commercial server workload},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {350--353},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165656},
  doi          = {10.1145/1165573.1165656},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BircherJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BowmanTKGID06,
  author       = {Keith A. Bowman and
                  James W. Tschanz and
                  Muhammad M. Khellah and
                  Maged Ghoneima and
                  Yehea I. Ismail and
                  Vivek De},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Time-borrowing multi-cycle on-chip interconnects for delay variation
                  tolerance},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165592},
  doi          = {10.1145/1165573.1165592},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BowmanTKGID06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CaiL06,
  author       = {Le Cai and
                  Yung{-}Hsiang Lu},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power reduction of multiple disks using dynamic cache resizing and
                  speed control},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {186--190},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165617},
  doi          = {10.1145/1165573.1165617},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CaiL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CalhounWVC06,
  author       = {Benton H. Calhoun and
                  Alice Wang and
                  Naveen Verma and
                  Anantha P. Chandrakasan},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Sub-threshold design: the challenges of minimizing circuit energy},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {366--368},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165661},
  doi          = {10.1145/1165573.1165661},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/CalhounWVC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChakrabortyDSSBMMP06,
  author       = {Ashutosh Chakraborty and
                  Karthik Duraisami and
                  Ashoka Visweswara Sathanur and
                  Prassanna Sithambaram and
                  Luca Benini and
                  Alberto Macii and
                  Enrico Macii and
                  Massimo Poncino},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Dynamic thermal clock skew compensation using tunable delay buffers},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {162--167},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165612},
  doi          = {10.1145/1165573.1165612},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChakrabortyDSSBMMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChandraLRD06,
  author       = {Saumya Chandra and
                  Kanishka Lahiri and
                  Anand Raghunathan and
                  Sujit Dey},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Considering process variations during system-level power analysis},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {342--345},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165654},
  doi          = {10.1145/1165573.1165654},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChandraLRD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangKR06,
  author       = {Ik Joon Chang and
                  Jae{-}Joon Kim and
                  Kaushik Roy},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Robust level converter design for sub-threshold logic},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {14--19},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165579},
  doi          = {10.1145/1165573.1165579},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChangKR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenJZ06,
  author       = {Feng Chen and
                  Song Jiang and
                  Xiaodong Zhang},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {SmartSaver: turning flash drive into a disk energy saver for mobile
                  computers},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {412--417},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165674},
  doi          = {10.1145/1165573.1165674},
  timestamp    = {Wed, 24 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenJZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChengHC06,
  author       = {Wei{-}Chung Cheng and
                  Chih{-}Fu Hsu and
                  Chain{-}Fu Chao},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Temporal vision-guided energy minimization for portable displays},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {89--94},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165595},
  doi          = {10.1145/1165573.1165595},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChengHC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CheungW06,
  author       = {W. T. Cheung and
                  N. Wong},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power optimization in a repeater-inserted interconnect via geometric
                  programming},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {226--231},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165629},
  doi          = {10.1145/1165573.1165629},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CheungW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Dennington06,
  author       = {Barry Dennington},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Low power design from technology challenge to great products},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {213},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165625},
  doi          = {10.1145/1165573.1165625},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Dennington06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DeogunSSBN06,
  author       = {Harmander Deogun and
                  Robert M. Senger and
                  Dennis Sylvester and
                  Richard B. Brown and
                  Kevin J. Nowka},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A dual-V\({}_{\mbox{DD}}\) boosted pulsed bus technique for low power
                  and low leakage operation},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165591},
  doi          = {10.1145/1165573.1165591},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DeogunSSBN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DonaldM06,
  author       = {James Donald and
                  Margaret Martonosi},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power efficiency for variation-tolerant multicore processors},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {304--309},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165645},
  doi          = {10.1145/1165573.1165645},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DonaldM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FacenB06,
  author       = {Alessio Facen and
                  Andrea Boni},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A {CMOS} analog frontend for a passive {UHF} {RFID} tag},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {280--285},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165640},
  doi          = {10.1145/1165573.1165640},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/FacenB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FujiwaraNMMMKY06,
  author       = {Hidehiro Fujiwara and
                  Koji Nii and
                  Junichi Miyakoshi and
                  Yuichiro Murachi and
                  Yasuhiro Morita and
                  Hiroshi Kawaguchi and
                  Masahiko Yoshimoto},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A two-port {SRAM} for real-time video processor saving 53{\%} of bitline
                  power with majority logic and data-bit reordering},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165589},
  doi          = {10.1145/1165573.1165589},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/FujiwaraNMMMKY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GargCHCPP06,
  author       = {Alok Garg and
                  Fernando Castro and
                  Michael C. Huang and
                  Daniel Chaver and
                  Luis Pi{\~{n}}uel and
                  Manuel Prieto},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Substituting associative load queue with simple hash tables in out-of-order
                  microprocessors},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {268--273},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165637},
  doi          = {10.1145/1165573.1165637},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GargCHCPP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuKK06,
  author       = {Jie Gu and
                  John Keane and
                  Chris H. Kim},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Modeling and analysis of leakage induced damping effect in low voltage
                  LSIs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {382--387},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165668},
  doi          = {10.1145/1165573.1165668},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuKK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuilarCKA06,
  author       = {Nathaniel J. Guilar and
                  Albert Chen and
                  Travis Kleeburg and
                  Rajeevan Amirtharajah},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Integrated solar energy harvesting and storage},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {20--24},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165580},
  doi          = {10.1145/1165573.1165580},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuilarCKA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuoL06,
  author       = {Song Guo and
                  Hoi Lee},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A low-power active substrate-noise decoupling circuit with feedforward
                  compensation for mixed-signal SoCs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {322--325},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165649},
  doi          = {10.1145/1165573.1165649},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuoL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HamouiAT06,
  author       = {Anas A. Hamoui and
                  T. Alhajj and
                  Mohammad Taherzadeh{-}Sani},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Behavioral modeling of Opamp gain and dynamic effectsfor power optimization
                  of Delta-Sigma modulators and pipelined ADCs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {330--333},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165651},
  doi          = {10.1145/1165573.1165651},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HamouiAT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HansonSB06,
  author       = {Scott Hanson and
                  Dennis Sylvester and
                  David T. Blaauw},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A new technique for jointly optimizing gate sizing and supply voltage
                  in ultra-low energy circuits},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {338--341},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165653},
  doi          = {10.1145/1165573.1165653},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HansonSB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HansonZBSBW06,
  author       = {Scott Hanson and
                  Bo Zhai and
                  David T. Blaauw and
                  Dennis Sylvester and
                  Andres Bryant and
                  Xinlin Wang},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Energy optimality and variability in subthreshold design},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {363--365},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165660},
  doi          = {10.1145/1165573.1165660},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HansonZBSBW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HelmsEN06,
  author       = {Domenik Helms and
                  G{\"{u}}nter Ehmen and
                  Wolfgang Nebel},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Analysis and modeling of subthreshold leakage of RT-components under
                  {PTV} and state variation},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {220--225},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165628},
  doi          = {10.1145/1165573.1165628},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HelmsEN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HenzlerK06,
  author       = {Stephan Henzler and
                  Siegmar Koeppe},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {High-speed low-power frequency divider with intrinsic phase rotator},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {286--291},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165641},
  doi          = {10.1145/1165573.1165641},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HenzlerK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HillL06,
  author       = {Eric L. Hill and
                  Mikko H. Lipasti},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Stall cycle redistribution in a transparent fetch pipeline},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {31--36},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165583},
  doi          = {10.1145/1165573.1165583},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HillL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HsuZKSR06,
  author       = {Jason Hsu and
                  Sadaf Zahedi and
                  Aman Kansal and
                  Mani B. Srivastava and
                  Vijay Raghunathan},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Adaptive duty cycling for energy harvesting systems},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {180--185},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165616},
  doi          = {10.1145/1165573.1165616},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HsuZKSR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuA06,
  author       = {Fei Hu and
                  Vishwani D. Agrawal},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Input-specific dynamic power optimization for {VLSI} circuits},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {232--237},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165630},
  doi          = {10.1145/1165573.1165630},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuangHCK06,
  author       = {Hong{-}Wei Huang and
                  Hsin{-}Hsin Ho and
                  Ke{-}Horng Chen and
                  Sy{-}Yen Kuo},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Dithering skip modulator with a novel load sensor for ultra-wide-load
                  high-efficiency {DC-DC} converters},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {388--393},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165669},
  doi          = {10.1145/1165573.1165669},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/HuangHCK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JaffariA06,
  author       = {Javid Jaffari and
                  Mohab Anis},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Variability-aware device optimization under I\({}_{\mbox{ON}}\) and
                  leakage current constraints},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {119--122},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165601},
  doi          = {10.1145/1165573.1165601},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JaffariA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JinC06,
  author       = {Lei Jin and
                  Sangyeun Cho},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Reducing cache traffic and energy with macro data load},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {147--150},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165608},
  doi          = {10.1145/1165573.1165608},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JinC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JinT06,
  author       = {Jie Jin and
                  Chi{-}Ying Tsui},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A low power Viterbi decoder implementation using scarce state transition
                  and path pruning scheme for high throughput wireless applications},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {406--411},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165673},
  doi          = {10.1145/1165573.1165673},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JinT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KandemirS06,
  author       = {Mahmut T. Kandemir and
                  Seung Woo Son},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Reducing power through compiler-directed barrier synchronization elimination},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {354--357},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165657},
  doi          = {10.1145/1165573.1165657},
  timestamp    = {Wed, 20 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KandemirS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KilGK06,
  author       = {Jonggab Kil and
                  Jie Gu and
                  Chris H. Kim},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A high-speed variation-tolerant interconnect technique for sub threshold
                  circuits using capacitive boosting},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165590},
  doi          = {10.1145/1165573.1165590},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KilGK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimEKK06,
  author       = {Tony Tae{-}Hyoung Kim and
                  Hanyong Eom and
                  John Keane and
                  Chris H. Kim},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Utilizing reverse short channel effect for optimal subthreshold circuit
                  design},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {127--130},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165603},
  doi          = {10.1145/1165573.1165603},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimEKK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimPCP06,
  author       = {Yoonjin Kim and
                  Ilhyun Park and
                  Kiyoung Choi and
                  Yunheung Paek},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power-conscious configuration cache structure and code mapping for
                  coarse-grained reconfigurable architecture},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {310--315},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165646},
  doi          = {10.1145/1165573.1165646},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimPCP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KocOKNE06,
  author       = {Hakduran Koc and
                  Ozcan Ozturk and
                  Mahmut T. Kandemir and
                  Sri Hari Krishna Narayanan and
                  Ehat Ercanli},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Minimizing energy consumption of banked memories using data recomputation},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {358--362},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165658},
  doi          = {10.1145/1165573.1165658},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KocOKNE06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KoziriSK06,
  author       = {Maria G. Koziri and
                  Georgios I. Stamoulis and
                  Ioannis Katsavounidis},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power reduction in an {H.264} encoder through algorithmic and logic
                  transformations},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {107--112},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165598},
  doi          = {10.1145/1165573.1165598},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KoziriSK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Kutter06,
  author       = {Christoph Kutter},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Design challenges for mobile communication devices},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165575},
  doi          = {10.1145/1165573.1165575},
  timestamp    = {Fri, 30 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Kutter06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KwongC06,
  author       = {Joyce Kwong and
                  Anantha P. Chandrakasan},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Variation-driven device sizing for minimum energy sub-threshold circuits},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {8--13},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165578},
  doi          = {10.1145/1165573.1165578},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KwongC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeMC06,
  author       = {Hyunseok Lee and
                  Trevor N. Mudge and
                  Chaitali Chakrabarti},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Reducing idle mode power in software defined radio terminals},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {101--106},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165597},
  doi          = {10.1145/1165573.1165597},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeMC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeePP06,
  author       = {Wonbok Lee and
                  Kimish Patel and
                  Massoud Pedram},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Dynamic thermal management for {MPEG-2} decoding},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {316--321},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165647},
  doi          = {10.1145/1165573.1165647},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeePP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinHHR06,
  author       = {Yan Lin and
                  Yu Hu and
                  Lei He and
                  Vijay Raghunat},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {An efficient chip-level time slack allocation algorithm for Dual-Vdd
                  {FPGA} power reduction},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {168--173},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165613},
  doi          = {10.1145/1165573.1165613},
  timestamp    = {Wed, 30 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LinHHR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinYK06,
  author       = {Chung{-}Hsiang Lin and
                  Chia{-}Lin Yang and
                  Ku{-}Jei King},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Hierarchical value cache encoding for off-chip data bus},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {143--146},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165607},
  doi          = {10.1145/1165573.1165607},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LinYK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LoghiPB06,
  author       = {Mirko Loghi and
                  Massimo Poncino and
                  Luca Benini},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Synchronization-driven dynamic speed scaling for MPSoCs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {346--349},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165655},
  doi          = {10.1145/1165573.1165655},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LoghiPB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LongRPHK06,
  author       = {Changbo Long and
                  Sasank Reddy and
                  Sudhakar Pamarti and
                  Lei He and
                  Tanay Karnik},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Power-efficient pulse width modulation {DC/DC} converters with zero
                  voltage switching control},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {326--329},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165650},
  doi          = {10.1145/1165573.1165650},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LongRPHK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LuCSWRH06,
  author       = {Pong{-}Fei Lu and
                  Nianzheng Cao and
                  Leon J. Sigal and
                  Pieter Woltgens and
                  Raphael Robertazzi and
                  David F. Heidel},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A pulsed low-voltage swing latch for reduced power dissipation in
                  high-frequency microprocessors},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {85--88},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165593},
  doi          = {10.1145/1165573.1165593},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LuCSWRH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Ma06,
  author       = {Dongsheng Ma},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Robust multiple-phase switched-capacitor {DC-DC} converter with digital
                  interleaving regulation scheme},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {400--405},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165671},
  doi          = {10.1145/1165573.1165671},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Ma06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MaWV06,
  author       = {Dongsheng Ma and
                  Janet Meiling Wang and
                  Pablo Vazquas},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Adaptive on-chip power supply with robust one-cycle control technique},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {394--399},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165670},
  doi          = {10.1145/1165573.1165670},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MaWV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MagklisCGG06,
  author       = {Grigorios Magklis and
                  Pedro Chaparro and
                  Jos{\'{e}} Gonz{\'{a}}lez and
                  Antonio Gonz{\'{a}}lez},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Independent front-end and back-end dynamic voltage scaling for a {GALS}
                  microarchitecture},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {49--54},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165586},
  doi          = {10.1145/1165573.1165586},
  timestamp    = {Sat, 29 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MagklisCGG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MahalingamRH06,
  author       = {Venkataraman Mahalingam and
                  N. Ranganathan and
                  Justin E. Harlow III},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A novel approach for variation aware power minimization during gate
                  sizing},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {174--179},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165614},
  doi          = {10.1145/1165573.1165614},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MahalingamRH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MengJ06,
  author       = {Ke Meng and
                  Russ Joseph},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Process variation aware cache leakage management},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {262--267},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165636},
  doi          = {10.1145/1165573.1165636},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MengJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Nassif06,
  author       = {Sani R. Nassif},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Model to hardware matching: for nano-meter scale technologies},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {203--206},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165621},
  doi          = {10.1145/1165573.1165621},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Nassif06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NookalaLS06,
  author       = {Vidyasagar Nookala and
                  David J. Lilja and
                  Sachin S. Sapatnekar},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Temperature-aware floorplanning of microarchitecture blocks with IPC-power
                  dependence modeling and transient analysis},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {298--303},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165644},
  doi          = {10.1145/1165573.1165644},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NookalaLS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NyathiB06,
  author       = {Jabulani Nyathi and
                  Brent Bero},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Logic circuits operating in subthreshold voltages},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {131--134},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165604},
  doi          = {10.1145/1165573.1165604},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NyathiB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/QiuWBH06,
  author       = {Qinru Qiu and
                  Qing Wu and
                  Daniel J. Burns and
                  Douglas Holzhauer},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Lifetime aware resource management for sensor network using distributed
                  genetic algorithm},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {191--196},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165618},
  doi          = {10.1145/1165573.1165618},
  timestamp    = {Tue, 28 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/QiuWBH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaghunathanC06,
  author       = {Vijay Raghunathan and
                  Pai H. Chou},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Design and power management of energy harvesting embedded systems},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {369--374},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165663},
  doi          = {10.1145/1165573.1165663},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaghunathanC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaoVCC06,
  author       = {Ravishankar Rao and
                  Sarma B. K. Vrudhula and
                  Chaitali Chakrabarti and
                  Naehyuck Chang},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {An optimal analytical solution for processor speed control with thermal
                  constraints},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {292--297},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165643},
  doi          = {10.1145/1165573.1165643},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaoVCC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaychowdhuryFCR06,
  author       = {Arijit Raychowdhury and
                  Xuanyao Fong and
                  Qikai Chen and
                  Kaushik Roy},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Analysis of super cut-off transistors for ultralow power digital logic
                  circuits},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {2--7},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165577},
  doi          = {10.1145/1165573.1165577},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaychowdhuryFCR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ReimerSN06,
  author       = {Axel Reimer and
                  Arne Schulz and
                  Wolfgang Nebel},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Modelling macromodules for high-level dynamic power estimation of
                  FPGA-based digital designs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {151--154},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165609},
  doi          = {10.1145/1165573.1165609},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ReimerSN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Rizo-MorenteCB06,
  author       = {Jose Rizo{-}Morente and
                  Miguel Casas{-}Sanchez and
                  Chris J. Bleakley},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Dynamic current modeling at the instruction level},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {95--100},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165596},
  doi          = {10.1145/1165573.1165596},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Rizo-MorenteCB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RodriguezJ06,
  author       = {Samuel Rodr{\'{\i}}guez and
                  Bruce L. Jacob},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm)},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {25--30},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165581},
  doi          = {10.1145/1165573.1165581},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RodriguezJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SafiMV06,
  author       = {Elham Safi and
                  Andreas Moshovos and
                  Andreas G. Veneris},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {{L-CBF:} a low-power, fast counting bloom filter architecture},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {250--255},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165634},
  doi          = {10.1145/1165573.1165634},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SafiMV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SarrafzadehDJMN06,
  author       = {Majid Sarrafzadeh and
                  Foad Dabiri and
                  Roozbeh Jafari and
                  Tammara Massey and
                  Ani Nahapetian},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Low power light-weight embedded systems},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {207--212},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165623},
  doi          = {10.1145/1165573.1165623},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SarrafzadehDJMN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SasakiKN06,
  author       = {Hiroshi Sasaki and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Energy-efficient dynamic instruction scheduling logic through instruction
                  grouping},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165585},
  doi          = {10.1145/1165573.1165585},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SasakiKN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Shah06,
  author       = {Malav Shah},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Efficient scan-based {BIST} scheme for low power testing of {VLSI}
                  chips},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {376--381},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165667},
  doi          = {10.1145/1165573.1165667},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Shah06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShameliH06,
  author       = {Amin Shameli and
                  Payam Heydari},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A novel power optimization technique for ultra-low power RFICs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {274--279},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165639},
  doi          = {10.1145/1165573.1165639},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShameliH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SharifkhaniS06,
  author       = {Mohammad Sharifkhani and
                  Manoj Sachdev},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A low power {SRAM} architecture based on segmented virtual grounding},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {256--261},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165635},
  doi          = {10.1145/1165573.1165635},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SharifkhaniS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SimjeeC06,
  author       = {Farhan Simjee and
                  Pai H. Chou},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Everlast: long-life, supercapacitor-operated wireless sensor node},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {197--202},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165619},
  doi          = {10.1145/1165573.1165619},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SimjeeC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TakemuraIS06,
  author       = {Riichiro Takemura and
                  Kiyoo Itoh and
                  Tomonori Sekiguchi},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A 0.5-V {FD-SOI} twin-cell {DRAM} with offset-free dynamic-V\({}_{\mbox{\emph{T}}}\)
                  sense amplifiers},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {123--126},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165602},
  doi          = {10.1145/1165573.1165602},
  timestamp    = {Thu, 07 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TakemuraIS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TsengLY06,
  author       = {Hung{-}Wei Tseng and
                  Han{-}Lin Li and
                  Chia{-}Lin Yang},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {An energy-efficient virtual memory system with flash memory as the
                  secondary storage},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {418--423},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165675},
  doi          = {10.1145/1165573.1165675},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TsengLY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VaratkarS06,
  author       = {Girish Varatkar and
                  Naresh R. Shanbhag},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Energy-efficient motion estimation using error-tolerance},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {113--118},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165599},
  doi          = {10.1145/1165573.1165599},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VaratkarS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangLLYW06,
  author       = {Yu Wang and
                  Yongpan Liu and
                  Rong Luo and
                  Huazhong Yang and
                  Hui Wang},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Two-phase fine-grain sleep transistor insertion technique in leakage
                  critical circuits},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {238--243},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165631},
  doi          = {10.1145/1165573.1165631},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangLLYW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangW06,
  author       = {Shuo Wang and
                  Lei Wang},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Thread-associative memory for multicore and multithreaded computing},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {139--142},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165606},
  doi          = {10.1145/1165573.1165606},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WintermayrHML06,
  author       = {Peter Wintermayr and
                  Reiner W. Hartenstein and
                  Heinrich Meyr and
                  Steve Leibson},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Flexibility and low power: a contradiction in terms?},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {375},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165665},
  doi          = {10.1145/1165573.1165665},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WintermayrHML06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YuB06,
  author       = {Baozhen Yu and
                  Michael L. Bushnell},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A novel dynamic power cutoff technique {(DPCT)} for active leakage
                  reduction in deep submicron {CMOS} circuits},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {214--219},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165627},
  doi          = {10.1145/1165573.1165627},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YuB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YuSHK06,
  author       = {Hao Yu and
                  Yiyu Shi and
                  Lei He and
                  Tanay Karnik},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Thermal via allocation for 3D ICs considering temporally and spatially
                  variant thermal power},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {156--161},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165611},
  doi          = {10.1145/1165573.1165611},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YuSHK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZengG06,
  author       = {Hui Zeng and
                  Kanad Ghose},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Register file caching for energy efficiency},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {244--249},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165633},
  doi          = {10.1145/1165573.1165633},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZengG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangYL06,
  author       = {Jianwei Zhang and
                  Yizheng Ye and
                  Bin{-}Da Liu},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {A new mismatch-dependent low power technique with shadow match-line
                  voltage-detecting scheme for CAMs},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {135--138},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165605},
  doi          = {10.1145/1165573.1165605},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangYL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhuA06,
  author       = {Yongkang Zhu and
                  David H. Albonesi},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Synergistic temperature and energy management in {GALS} processor
                  architectures},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165587},
  doi          = {10.1145/1165573.1165587},
  timestamp    = {Tue, 24 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhuA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhuoCCV06,
  author       = {Jianli Zhuo and
                  Chaitali Chakrabarti and
                  Naehyuck Chang and
                  Sarma B. K. Vrudhula},
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Maximizing the lifetime of embedded systems powered by fuel cell-battery
                  hybrids},
  booktitle    = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  pages        = {424--429},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1165573.1165676},
  doi          = {10.1145/1165573.1165676},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhuoCCV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2006,
  editor       = {Wolfgang Nebel and
                  Mircea R. Stan and
                  Anand Raghunathan and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {Proceedings of the 2006 International Symposium on Low Power Electronics
                  and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006},
  publisher    = {{ACM}},
  year         = {2006},
  isbn         = {1-59593-462-6},
  timestamp    = {Tue, 23 Jan 2007 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics