Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2005-2.bht:"
@inproceedings{DBLP:conf/iscas/AbeysekeraW05, author = {Saman S. Abeysekera and Zhi Wang}, title = {Performance of the pulse pair method with an optimal lag value for frequency estimation in fading channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1734--1737}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464942}, doi = {10.1109/ISCAS.2005.1464942}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbeysekeraW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdalevKH05, author = {Alexei S. Adalev and Nikolai V. Korovkin and Masashi Hayakawa}, title = {Identification of electric circuits: problems and methods of solution accuracy enhancement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {980--983}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464754}, doi = {10.1109/ISCAS.2005.1464754}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdalevKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AddabboAFRV05, author = {Tommaso Addabbo and Massimo Alioto and Ada Fort and Santina Rocchi and Valerio Vignoli}, title = {Long period pseudo random bit generators derived from a discretized chaotic map}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {892--895}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464732}, doi = {10.1109/ISCAS.2005.1464732}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AddabboAFRV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgazziG05, author = {Oscar E. Agazzi and Venu Gopinathan}, title = {Background calibration of interleaved analog to digital converters for high-speed communications using interleaved timing recovery techniques}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1390--1393}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464856}, doi = {10.1109/ISCAS.2005.1464856}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AgazziG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhoVKH05, author = {Eero Aho and Jarno Vanne and Kimmo Kuusilinna and Timo H{\"{a}}m{\"{a}}l{\"{a}}inen}, title = {Block-level parallel processing for scaling evenly divisible frames}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1134--1137}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464793}, doi = {10.1109/ISCAS.2005.1464793}, timestamp = {Sat, 11 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AhoVKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AignerMMOST05, author = {Manfred Josef Aigner and Stefan Mangard and Renato Menicocci and Mauro Olivieri and Giuseppe Scotti and Alessandro Trifiletti}, title = {A novel {CMOS} logic style with data independent power consumption}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1066--1069}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464776}, doi = {10.1109/ISCAS.2005.1464776}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AignerMMOST05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlvesA05, author = {Luis Nero Alves and Rui L. Aguiar}, title = {On the effect of time delays in negative feedback amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {984--987}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464755}, doi = {10.1109/ISCAS.2005.1464755}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlvesA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlyEB05, author = {Ramy E. Aly and Mohamed A. Elgamel and Magdy A. Bayoumi}, title = {Dual sense amplified bit lines {(DSABL)} architecture for low-power {SRAM} design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1650--1653}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464921}, doi = {10.1109/ISCAS.2005.1464921}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlyEB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmelifardAK05, author = {Behnam Amelifard and Ali Afzali{-}Kusha and Ahmad Khademzadeh}, title = {Enhancing the efficiency of cluster voltage scaling technique for low-power application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1666--1669}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464925}, doi = {10.1109/ISCAS.2005.1464925}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmelifardAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmirabadiMMAN05, author = {Amir Amirabadi and Y. Mortazavi and Nariman Moezzi Madani and Ali Afzali{-}Kusha and Mehrdad Nourani}, title = {Domino logic with an efficient variable threshold voltage keeper}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1674--1677}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464927}, doi = {10.1109/ISCAS.2005.1464927}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmirabadiMMAN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnguloB05, author = {Fabiola Angulo and Mario di Bernardo}, title = {On two-parameter non-smooth bifurcations in power converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1485--1488}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464880}, doi = {10.1109/ISCAS.2005.1464880}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnguloB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AronaBMT05, author = {R. Arona and Edoardo Bonizzoni and Franco Maloberti and Guido Torelli}, title = {Heap charge pump optimisation by a tapered architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1903--1906}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464984}, doi = {10.1109/ISCAS.2005.1464984}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AronaBMT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AroraA05, author = {Deepali Arora and Panajotis Agathoklis}, title = {Multiuser scheduling for downlink in multi-antenna wireless systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1718--1721}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464938}, doi = {10.1109/ISCAS.2005.1464938}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AroraA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AxelrodBI05, author = {Boris Axelrod and Yefim Berkovich and Adrian Ioinovici}, title = {Hybrid switched-capacitor-Cuk/Zeta/Sepic converters in step-up mode}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1310--1313}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464836}, doi = {10.1109/ISCAS.2005.1464836}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AxelrodBI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzzoliniBFPV05, author = {Cristiano Azzolini and Andrea Boni and Alessio Facen and Matteo Parenti and Davide Vecchi}, title = {Design of a 2-GS/s 8-b self-calibrating {ADC} in 0.18{\(\mathrm{\mu}\)}m {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1386--1389}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464855}, doi = {10.1109/ISCAS.2005.1464855}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzzoliniBFPV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BadernaCSSPRT05, author = {Davide Baderna and Alessandro Cabrini and Guido De Sandre and Francesco De Santis and Marco Pasotti and Andrea Rossini and Guido Torelli}, title = {A 1.2 {V} sense amplifier for high-performance embeddable {NOR} flash memories}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1266--1269}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464825}, doi = {10.1109/ISCAS.2005.1464825}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BadernaCSSPRT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BadernaCTP05, author = {Davide Baderna and Alessandro Cabrini and Guido Torelli and Marco Pasotti}, title = {Efficiency comparison between doubler and Dickson charge pumps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1891--1894}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464981}, doi = {10.1109/ISCAS.2005.1464981}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BadernaCTP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalestraLSR05, author = {Michele Balestra and Marco Lazzarini and Gianluca Setti and Riccardo Rovatti}, title = {Experimental performance evaluation of a low-EMI chaos-based current-programmed {DC/DC} boost converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1489--1492}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464881}, doi = {10.1109/ISCAS.2005.1464881}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BalestraLSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BedeschiBCGRTZ05, author = {Ferdinando Bedeschi and Edoardo Bonizzoni and Giulio Casagrande and Roberto Gastaldi and Claudio Resta and Guido Torelli and Daniele Zella}, title = {{SET} and {RESET} pulse characterization in BJT-selected phase-change memories}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1270--1273}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464826}, doi = {10.1109/ISCAS.2005.1464826}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BedeschiBCGRTZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernardinisNTS05, author = {Fernando De Bernardinis and Pierluigi Nuzzo and Pierangelo Terreni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Enriching an analog platform for analog-to-digital converter design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1286--1289}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464830}, doi = {10.1109/ISCAS.2005.1464830}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernardinisNTS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoonchuS05, author = {Boonchai Boonchu and Wanlop Surakampontorn}, title = {A new {NMOS} four-quadrant analog multiplier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1004--1007}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464760}, doi = {10.1109/ISCAS.2005.1464760}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoonchuS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BregovicS05, author = {Robert Bregovic and Tapio Saram{\"{a}}ki}, title = {Design of two-channels {FIR} filterbanks with rational sampling factors using the {FRM} technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1098--1101}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464784}, doi = {10.1109/ISCAS.2005.1464784}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BregovicS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiLZH05, author = {Yici Cai and Bin Liu and Qiang Zhou and Xianlong Hong}, title = {Integrated routing resource assignment for {RLC} crosstalk minimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464976}, doi = {10.1109/ISCAS.2005.1464976}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CaiLZH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CannasFM05, author = {Barbara Cannas and Alessandra Fanni and Augusto Montisci}, title = {Testability evaluation for analog linear circuits via transfer function analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {992--995}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464757}, doi = {10.1109/ISCAS.2005.1464757}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CannasFM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CardarilliRNR05, author = {Gian Carlo Cardarilli and Andrea Del Re and Alberto Nannarelli and Marco Re}, title = {Programmable power-of-two {RNS} scaler and its application to a {QRNS} polyphase filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1102--1105}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464785}, doi = {10.1109/ISCAS.2005.1464785}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CardarilliRNR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarrilloDTC05, author = {Juan M. Carrillo and J. Francisco Duque{-}Carrillo and Antonio Jes{\'{u}}s Torralba Silgado and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Class-AB rail-to-rail {CMOS} analog buffer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1008--1011}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464761}, doi = {10.1109/ISCAS.2005.1464761}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarrilloDTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Carusone05, author = {Anthony Chan Carusone}, title = {Jitter equalization for binary baseband communication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {936--939}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464743}, doi = {10.1109/ISCAS.2005.1464743}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Carusone05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanY05, author = {S. C. Chan and S. S. Yin}, title = {On the theory and design of a class of {PR} causal-stable {IIR} non-uniform recombination cosine modulated filter banks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1094--1097}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464783}, doi = {10.1109/ISCAS.2005.1464783}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChanY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangC05, author = {Nelson Yen{-}Chung Chang and Tian{-}Sheuan Chang}, title = {Combined frame memory architecture for motion compensation in video decoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1806--1809}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464960}, doi = {10.1109/ISCAS.2005.1464960}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangL05, author = {Chen{-}Po Chang and Chia{-}Wen Lin}, title = {{R-D} optimized quantization of {H.264} SP-frames for bitstream switching under storage constraints}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1242--1245}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464819}, doi = {10.1109/ISCAS.2005.1464819}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangMCS05, author = {Chip{-}Hong Chang and Shibu Menon and Bin Cao and Thambipillai Srikanthan}, title = {A configurable dual moduli multi-operand modulo adder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1630--1633}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464916}, doi = {10.1109/ISCAS.2005.1464916}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangMCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangY05, author = {Yu{-}Hao Chang and Xiaoli Yu}, title = {Reduced-rank antenna selection for {MIMO} {DS-CDMA} channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1730--1733}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464941}, doi = {10.1109/ISCAS.2005.1464941}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCC05, author = {Yi{-}Hau Chen and Ching{-}Yeh Chen and Liang{-}Gee Chen}, title = {Architecture of global motion compensation for {MPEG-4} advanced simple profile}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1798--1801}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464958}, doi = {10.1109/ISCAS.2005.1464958}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHK05, author = {Liang Chen and Qiang Hua and Hon Keung Kwan}, title = {An improved algorithm for maximum-likelihood based approach for a multitarget tracking problem}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1421--1424}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464864}, doi = {10.1109/ISCAS.2005.1464864}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHTHC05, author = {Tung{-}Chien Chen and Yu{-}Wen Huang and Chuan{-}Yung Tsai and Chao{-}Tsung Huang and Liang{-}Gee Chen}, title = {Single reference frame multiple current macroblocks scheme for multi-frame motion estimation in {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1790--1793}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464956}, doi = {10.1109/ISCAS.2005.1464956}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenHTHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLK05, author = {Jing Chen and Miao Li and Tad A. Kwasniewski}, title = {Decision feedback equalization for high-speed backplane data communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1274--1277}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464827}, doi = {10.1109/ISCAS.2005.1464827}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLL05, author = {Rong{-}Jian Chen and Wen{-}Kai Lu and Jui{-}Lin Lai}, title = {Image encryption using progressive cellular automata substitution and {SCAN}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1690--1693}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464931}, doi = {10.1109/ISCAS.2005.1464931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenPSS05, author = {Jianfeng Chen and Koksoon Phua and Louis Shue and Hanwu Sun}, title = {A robust adaptive cross microphone array}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1682--1685}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464929}, doi = {10.1109/ISCAS.2005.1464929}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenPSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWC05, author = {Chen Chen and Ping{-}Hao Wu and Homer H. Chen}, title = {Transform-domain intra prediction for {H.264}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1497--1500}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464883}, doi = {10.1109/ISCAS.2005.1464883}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZKS05, author = {Jianfeng Chen and Jianmin Zhang and Alvin Harvey Kam and Louis Shue}, title = {An automatic acoustic bathroom monitoring system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1750--1753}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464946}, doi = {10.1109/ISCAS.2005.1464946}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengC05, author = {Chao{-}Chung Cheng and Tian{-}Sheuan Chang}, title = {Fast three step intra prediction algorithm for 4{\texttimes}4 blocks in {H.264}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1509--1512}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464886}, doi = {10.1109/ISCAS.2005.1464886}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengCJY05, author = {Kuo{-}Hsing Cheng and Shu{-}Ming Chang and Shu{-}Yu Jiang and Wei{-}Bin Yang}, title = {A 2GHz fully differential DLL-based frequency multiplier for high speed serial link circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1174--1177}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464803}, doi = {10.1109/ISCAS.2005.1464803}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengCJY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengP05, author = {Chao Cheng and Keshab K. Parhi}, title = {Further complexity reduction of parallel {FIR} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1835--1838}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464967}, doi = {10.1109/ISCAS.2005.1464967}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengWLS05, author = {Kuo{-}Hsing Cheng and Chen{-}Lung Wu and Yu{-}Lung Lo and Chia{-}Wei Su}, title = {A phase-detect synchronous mirror delay for clock skew-compensation circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1070--1073}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464777}, doi = {10.1109/ISCAS.2005.1464777}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengWLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiarulliBML05, author = {Donald M. Chiarulli and Jason D. Bakos and Joel R. Martin and Steven P. Levitan}, title = {Area, power, and pin efficient bus transceiver using multi-bit-differential signaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1662--1665}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464924}, doi = {10.1109/ISCAS.2005.1464924}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiarulliBML05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChongP05, author = {Euhan Chong and Khoman Phang}, title = {A 400Mbps {CMOS} spatially-modulated photoreceiver for optical storage}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1537--1540}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464893}, doi = {10.1109/ISCAS.2005.1464893}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChongP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLFL05, author = {Chia{-}Chi Chu and Herng{-}Jer Lee and Wu{-}Shiung Feng and Ming{-}Hong Lai}, title = {Interconnect model reductions by using the {AORA} algorithm with considering the adjoint network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1278--1281}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464828}, doi = {10.1109/ISCAS.2005.1464828}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuLFL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorbishleyR05, author = {Phil Corbishley and Esther Rodr{\'{\i}}guez{-}Villegas}, title = {Programmable switched-current floating-gate cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1398--1401}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464858}, doi = {10.1109/ISCAS.2005.1464858}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorbishleyR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoulibalyK05, author = {Lacina M. Coulibaly and H. J. Kadim}, title = {Analytical crosstalk noise and its induced-delay estimation for distributed {RLC} interconnects under ramp excitation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1254--1257}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464822}, doi = {10.1109/ISCAS.2005.1464822}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CoulibalyK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaiMT05, author = {Dong Dai and Yue Ma and Chi K. Michael Tse}, title = {Horseshoes, homoclinic connections and global chaos in current-mode controlled {DC/DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1469--1472}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464876}, doi = {10.1109/ISCAS.2005.1464876}, timestamp = {Thu, 01 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaiMT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DamNDL05, author = {Hai Quang Dam and Sven Nordholm and Hai Huyen Dam and Siow Yong Low}, title = {Adaptive beamformer for hands-free communication system in noisy environments}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {856--859}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464723}, doi = {10.1109/ISCAS.2005.1464723}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DamNDL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DempsterM05, author = {Andrew G. Dempster and Malcolm D. Macleod}, title = {Multiplication by two integers using the minimum number of adders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1814--1817}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464962}, doi = {10.1109/ISCAS.2005.1464962}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DempsterM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoutreloigneVSC05, author = {Jan Doutreloigne and Miguel Vermandel and Herbert De Smet and Andr{\'{e}} Van Calster}, title = {A multifunctional high-voltage driver chip for low-power mobile display systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1855--1858}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464972}, doi = {10.1109/ISCAS.2005.1464972}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoutreloigneVSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EkpanyapongBLL05, author = {Mongkol Ekpanyapong and Chinnakrishnan S. Ballapuram and Sung Kyu Lim and Hsien{-}Hsin S. Lee}, title = {Wire-driven microarchitectural design space exploration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1867--1870}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464975}, doi = {10.1109/ISCAS.2005.1464975}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EkpanyapongBLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EnomotoK05, author = {Tadayoshi Enomoto and Nobuaki Kobayashi}, title = {A low dynamic power and low leakage power {CMOS} square-root circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1678--1681}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464928}, doi = {10.1109/ISCAS.2005.1464928}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EnomotoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalconiSD05, author = {Christian Falconi and Giancarlo Savone and Arnaldo D'Amico}, title = {High light-load efficiency charge pumps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1887--1890}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464980}, doi = {10.1109/ISCAS.2005.1464980}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalconiSD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanW05, author = {Jen{-}Lin Fan and Jieh{-}Tsorng Wu}, title = {A robust background calibration technique for switched-capacitor pipelined ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1374--1377}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464852}, doi = {10.1109/ISCAS.2005.1464852}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FanW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangSLC05, author = {Bo Fang and Guobin Shen and Shipeng Li and Huifang Chen}, title = {Techniques for efficient {DCT/IDCT} implementation on generic {GPU}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1126--1129}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464791}, doi = {10.1109/ISCAS.2005.1464791}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FangSLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FaragGS05, author = {Fathi A. Farag and Carlos Galup{-}Montoro and M{\'{a}}rcio C. Schneider}, title = {Inverter-based switched current circuit for very low-voltage and low-power applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1413--1416}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464862}, doi = {10.1109/ISCAS.2005.1464862}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FaragGS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujimotoTW05, author = {Makoto Fujimoto and Daisuke Takafuji and Toshimasa Watanabe}, title = {Approximation algorithms for the rectilinear Steiner tree problem with obstacles}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1362--1365}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464849}, doi = {10.1109/ISCAS.2005.1464849}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujimotoTW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujisakiK05, author = {Hiroshi Fujisaki and Gerhard Keller}, title = {Approximations for bit error probabilities in {SSMA} communication systems using spreading sequences of Markov chains}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {872--875}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464727}, doi = {10.1109/ISCAS.2005.1464727}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujisakiK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FungS05, author = {Kai{-}Tat Fung and Wan{-}Chi Siu}, title = {Low complexity {H.263} to {H.264} video transcoding using motion vector decomposition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {908--911}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464736}, doi = {10.1109/ISCAS.2005.1464736}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FungS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalanisMTSG05, author = {Michalis D. Galanis and Athanasios Milidonis and George Theodoridis and Dimitrios Soudris and Constantinos E. Goutis}, title = {A methodology for partitioning {DSP} applications in hybrid reconfigurable systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1206--1209}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464810}, doi = {10.1109/ISCAS.2005.1464810}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalanisMTSG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhallabB05, author = {Yehya H. Ghallab and Wael M. Badawy}, title = {A novel {CMOS} lab-on-a-chip for biomedical applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1346--1349}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464845}, doi = {10.1109/ISCAS.2005.1464845}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhallabB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrassoP05, author = {Alfio Dario Grasso and Salvatore Pennisi}, title = {High-performance {CMOS} pseudo-differential amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1569--1572}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464901}, doi = {10.1109/ISCAS.2005.1464901}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GrassoP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GurkanGY05, author = {Hakan G{\"{u}}rkan and {\"{U}}mit G{\"{u}}z and B. Siddik Yarman}, title = {An efficient {ECG} data compression technique based on predefined signature and envelope vector banks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1334--1337}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464842}, doi = {10.1109/ISCAS.2005.1464842}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GurkanGY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GustafssonO05, author = {Oscar Gustafsson and Henrik Ohlsson}, title = {A low power decimation filter architecture for high-speed single-bit sigma-delta modulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1453--1456}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464872}, doi = {10.1109/ISCAS.2005.1464872}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GustafssonO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HalvorsrodBE05, author = {T. Halvorsrod and {\O}ystein Birkenes and C. Eichrodt}, title = {A low-power method adding continuous variable gain to amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1593--1596}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464907}, doi = {10.1109/ISCAS.2005.1464907}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HalvorsrodBE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanH05, author = {Jun{-}Hua Han and De{-}Shuang Huang}, title = {A novel BP-based image retrieval system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1557--1560}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464898}, doi = {10.1109/ISCAS.2005.1464898}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HansenJW05, author = {Lisa E. Hansen and Matthew M. W. Johnston and Denise M. Wilson}, title = {Pulse-based interface circuits for {SPR} sensing systems [analyte concentration measurement]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1762--1765}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464949}, doi = {10.1109/ISCAS.2005.1464949}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HansenJW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarmanciELI05, author = {Mehmet Derin Harmanci and Nuria Pazos Escudero and Yusuf Leblebici and Paolo Ienne}, title = {Quantitative modelling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1782--1785}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464954}, doi = {10.1109/ISCAS.2005.1464954}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarmanciELI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HartingsveldtVW05, author = {Koen van Hartingsveldt and Chris J. M. Verhoeven and J. Willms}, title = {Influence of frequency compensation on the linearity of negative feedback amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1610--1613}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464911}, doi = {10.1109/ISCAS.2005.1464911}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HartingsveldtVW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasanLK05, author = {T. Hasan and Torsten Lehmann and Chee Yee Kwok}, title = {A 5V charge pump in a standard 1.8-V 0.18-{\(\mathrm{\mu}\)}m {CMOS} process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1899--1902}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464983}, doi = {10.1109/ISCAS.2005.1464983}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasanLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeikkinenCTC05, author = {Jari Heikkinen and Andrea G. M. Cilio and Jarmo Takala and Henk Corporaal}, title = {Dictionary-based program compression on transport triggered architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1122--1125}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464790}, doi = {10.1109/ISCAS.2005.1464790}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeikkinenCTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HellaP05, author = {Mona Mostafa Hella and Richard Panock}, title = {Dual-loop control of laser drivers for 3.125GHz optical transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1170--1173}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464802}, doi = {10.1109/ISCAS.2005.1464802}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HellaP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HommaKFT05, author = {Yuya Homma and Michitaka Kameyama and Yoshichika Fujioka and Nobuhiro Tomabechi}, title = {{VLSI} architecture based on packet data transfer scheme and its application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1786--1789}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464955}, doi = {10.1109/ISCAS.2005.1464955}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HommaKFT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehW05, author = {Hao{-}Yueh Hsieh and Ting{-}Chi Wang}, title = {Simple yet effective algorithms for block and {I/O} buffer placement in flip-chip design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1879--1882}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464978}, doi = {10.1109/ISCAS.2005.1464978}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuHC05, author = {Shiyan Hu and Han Huang and Dariusz Czarkowski}, title = {Hybrid trigonometric differential evolution for optimizing harmonic distribution}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1306--1309}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464835}, doi = {10.1109/ISCAS.2005.1464835}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC05, author = {Xinping Huang and Mario Caron}, title = {Performance of a type-based digital predistorter for solid-state power amplifier linearization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1710--1713}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464936}, doi = {10.1109/ISCAS.2005.1464936}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLOLJ05, author = {Wei{-}Sheng Huang and Tay{-}Jyi Lin and Shih{-}Hao Ou and Chih{-}Wei Liu and Chein{-}Wei Jen}, title = {Pipelining technique for energy-aware datapaths}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1218--1221}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464813}, doi = {10.1109/ISCAS.2005.1464813}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLOLJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InanO05, author = {Aziz S. Inan and Peter M. Osterberg}, title = {Special singularity integrals encountered in electric circuits {[RLC} circuit examples]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {976--979}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464753}, doi = {10.1109/ISCAS.2005.1464753}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InanO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IniewskiASBMDD05, author = {Krzysztof Iniewski and Valery Axelrad and Andrei Shibkov and Artur Balasinski and Sebastian Magierowski and Rafal Dlugosz and Adam Dabrowski}, title = {3.125 Gb/s power efficient line driver with 2-level pre-emphasis and 2 kV {HBM} {ESD} protection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1154--1157}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464798}, doi = {10.1109/ISCAS.2005.1464798}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IniewskiASBMDD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IvanovF05, author = {Vadim Ivanov and Igor M. Filanovsky}, title = {A 110 dB CMRR/PSRR/gain {CMOS} operational amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1561--1564}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464899}, doi = {10.1109/ISCAS.2005.1464899}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IvanovF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JantschLV05, author = {Axel Jantsch and Robert Lauter and Arseni Vitkovski}, title = {Power analysis of link level and end-to-end data protection in networks on chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1770--1773}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464951}, doi = {10.1109/ISCAS.2005.1464951}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JantschLV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangAO05, author = {Hongtu Jiang and H{\aa}kan Ard{\"{o}} and Viktor {\"{O}}wall}, title = {Hardware accelerator design for video segmentation with multi-modal background modelling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1142--1145}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464795}, doi = {10.1109/ISCAS.2005.1464795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangAO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangL05, author = {Minqiang Jiang and Nam Ling}, title = {An improved frame and macroblock layer bit allocation scheme for {H.264} rate control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1501--1504}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464884}, doi = {10.1109/ISCAS.2005.1464884}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinCG05, author = {Le Jin and Degang Chen and Randall L. Geiger}, title = {A digital self-calibration algorithm for ADCs based on histogram test using low-linearity input signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1378--1381}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464853}, doi = {10.1109/ISCAS.2005.1464853}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinCG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JitsumatsuK05, author = {Yutaka Jitsumatsu and Tohru Kohda}, title = {Design of code-matched receiver for {DS/CDMA} communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {884--887}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464730}, doi = {10.1109/ISCAS.2005.1464730}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JitsumatsuK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohanssonGW05, author = {Kenny Johansson and Oscar Gustafsson and Lars Wanhammar}, title = {Implementation of low-complexity {FIR} filters using serial arithmetic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1449--1452}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464871}, doi = {10.1109/ISCAS.2005.1464871}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JohanssonGW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JouLW05, author = {Shyh{-}Jye Jou and Chih{-}Hsien Lin and Yen{-}I Wang}, title = {A 12.5 Gbps {CMOS} input sampler for serial link receiver front end}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1055--1058}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464773}, doi = {10.1109/ISCAS.2005.1464773}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JouLW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaabiMA05, author = {Hooman Kaabi and Mohammad Reza Jahed{-}Motlagh and Ahmad Ayatollahi}, title = {A novel current-conveyor-based switched-capacitor integrator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1406--1408}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464860}, doi = {10.1109/ISCAS.2005.1464860}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaabiMA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaewdangSF05, author = {Khanittha Kaewdang and Wanlop Surakampontorn and Nobuo Fujii}, title = {A design of controllable}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1577--1580}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464903}, doi = {10.1109/ISCAS.2005.1464903}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaewdangSF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KakaniDJ05, author = {Vasanth Kakani and Foster F. Dai and Richard C. Jaeger}, title = {An high speed integrated equalizer for dispersion compensation in 10Gb/s fiber networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1178--1181}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464804}, doi = {10.1109/ISCAS.2005.1464804}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KakaniDJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KallakuriTDD05, author = {Sankalp Kallakuri and Nattawut Thepayasuwan and Alex Doboli and Simona Doboli}, title = {Communication subsystem synthesis and analysis tool using bus architecture generation and stochastic arbitration policies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1044--1047}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464770}, doi = {10.1109/ISCAS.2005.1464770}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KallakuriTDD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangS05, author = {Moonseok Kang and Wonyong Sung}, title = {Memory access overhead reduction for a digital color copier implementation using a {VLIW} digital signal processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1465--1468}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464875}, doi = {10.1109/ISCAS.2005.1464875}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KasemsuwanAA05, author = {Varakorn Kasemsuwan and Teerawat Arthansiri and Hyung Keun Ahn}, title = {A {\(\pm\)} 1.5 {V} high frequency four quadrant current multiplier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1016--1019}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464763}, doi = {10.1109/ISCAS.2005.1464763}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KasemsuwanAA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KatoK05, author = {Aya Kato and Tohru Kohda}, title = {Solvable 2-dimensional rational chaotic map defined by Jacobian elliptic functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1477--1480}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464878}, doi = {10.1109/ISCAS.2005.1464878}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KatoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KerCT05, author = {Ming{-}Dou Ker and Shih{-}Lun Chen and Chia{-}Sheng Tsai}, title = {Design on mixed-voltage {I/O} buffer with blocking {NMOS} and dynamic gate-controlled circuit for high-voltage-tolerant applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1859--1862}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464973}, doi = {10.1109/ISCAS.2005.1464973}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KerCT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhabiriS05, author = {Shahnam Khabiri and Maitham Shams}, title = {An {MCML} four-bit ripple-carry adder design in 1 GHz range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1634--1637}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464917}, doi = {10.1109/ISCAS.2005.1464917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhabiriS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhasawnehMSH05, author = {Mohammed A. Khasawneh and Khaled A. Mayyas and R. M. Shalabi and Monther I. Haddad}, title = {A combined {TDA/FDA} adaptive schema for stereophonic acoustic echo cancellation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1686--1689}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464930}, doi = {10.1109/ISCAS.2005.1464930}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhasawnehMSH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KiST05, author = {Wing{-}Hung Ki and Feng Su and Chi{-}Ying Tsui}, title = {Charge redistribution loss consideration in optimal charge pump design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1895--1898}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464982}, doi = {10.1109/ISCAS.2005.1464982}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KiST05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimB05, author = {Daeik D. Kim and Martin A. Brooke}, title = {Time-interleaved switched-capacitor filter for reconfigurable triple-band delta-sigma converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1402--1405}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464859}, doi = {10.1109/ISCAS.2005.1464859}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimCB05a, author = {Tae{-}Hyoung Kim and Uk{-}Rae Cho and Hyun{-}Geun Byun}, title = {A 1.2V multi Gb/s/pin memory interface circuits with high linearity and low mismatch}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1847--1850}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464970}, doi = {10.1109/ISCAS.2005.1464970}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimCB05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimHR05, author = {Jung{-}Ho Kim and Dong Sam Ha and Jeffrey H. Reed}, title = {A new reconfigurable modem architecture for 3G multi-standard wireless communication systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1051--1054}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464772}, doi = {10.1109/ISCAS.2005.1464772}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimHR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKS05, author = {Daewook Kim and Manho Kim and Gerald E. Sobelman}, title = {Parallel {FFT} computation with a CDMA-based network-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1138--1141}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464794}, doi = {10.1109/ISCAS.2005.1464794}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimTLDCBJRH05, author = {Dae{-}Ik Kim and Mikkel A. Thomas and Jeffrey J. Lillie and Karla S. Dennis and Benita M. Comeau and Martin A. Brooke and Nan M. Jokerst and Stephen E. Ralph and Clifford L. Henderson}, title = {Integrated mixed-signal optoelectronic system-on-a-chip sensor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1738--1741}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464943}, doi = {10.1109/ISCAS.2005.1464943}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimTLDCBJRH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KodamaS05, author = {Mei Kodama and Shunya Suzuki}, title = {Consideration of transcoding using updatable scalability for selective quality video content delivery method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {912--915}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464737}, doi = {10.1109/ISCAS.2005.1464737}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KodamaS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoizumiKM05, author = {Hirotaka Koizumi and Kosuke Kurokawa and Shinsaku Mori}, title = {Thinned-out controlled class {D} inverter with delta-sigma modulated 1-bit driving pulses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1322--1325}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464839}, doi = {10.1109/ISCAS.2005.1464839}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoizumiKM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KongVHK05, author = {Hao{-}Song Kong and Anthony Vetro and Toshihiko Hata and Naoki Kuwahara}, title = {Fast region-of-interest transcoding for {JPEG} 2000 images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {952--955}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464747}, doi = {10.1109/ISCAS.2005.1464747}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KongVHK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KooSKK05, author = {Kyoung{-}Hoi Koo and Jin{-}Ho Seo and Myeong{-}Lyong Ko and Jae{-}Whui Kim}, title = {A new level-up shifter for high speed and wide range interface in ultra deep sub-micron}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1063--1065}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464775}, doi = {10.1109/ISCAS.2005.1464775}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KooSKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoshitaAK05, author = {Shunsuke Koshita and Masahide Abe and Masayuki Kawamata}, title = {The upper bound of the second-order modes of linear state-space systems [digital filter example]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {968--971}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464751}, doi = {10.1109/ISCAS.2005.1464751}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoshitaAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoshitaAK05a, author = {Shunsuke Koshita and Masahide Abe and Masayuki Kawamata}, title = {A novel property of the second-order modes of discrete-time systems under variable transformation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {972--975}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464752}, doi = {10.1109/ISCAS.2005.1464752}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoshitaAK05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KozicS05, author = {Slobodan Kozic and Thomas Schimming}, title = {Coded modulation based on higher dimensional chaotic maps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {888--891}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464731}, doi = {10.1109/ISCAS.2005.1464731}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KozicS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KutilaV05, author = {Matti Kutila and Jouko O. Viitanen}, title = {Sensor array for multiple emission gas measurements}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1758--1761}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464948}, doi = {10.1109/ISCAS.2005.1464948}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KutilaV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiLY05, author = {Yen{-}Tai Lai and Hsin{-}Ya Lai and Chia{-}Nan Yeh}, title = {Placement for the reconfigurable datapath architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1875--1878}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464977}, doi = {10.1109/ISCAS.2005.1464977}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LamP05, author = {Chi{-}Wai Lam and Lai{-}Man Po}, title = {Fast block motion estimation with early acceptance technique in {H.264/JVT}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1513--1516}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464887}, doi = {10.1109/ISCAS.2005.1464887}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LamP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee05, author = {Hanho Lee}, title = {An ultra high-speed Reed-Solomon decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1036--1039}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464768}, doi = {10.1109/ISCAS.2005.1464768}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLTLH05, author = {Wen{-}Ta Lee and San{-}Ho Lin and Chia{-}Chun Tsai and Trong{-}Yen Lee and Yuh{-}Shyan Hwang}, title = {A new low-power turbo decoder using {HDA-DHDD} stopping iteration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1040--1043}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464769}, doi = {10.1109/ISCAS.2005.1464769}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLTLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSWYO05, author = {Jian{-}Hsing Lee and Jiaw{-}Ren Shih and Yi{-}Hsun Wu and Kuo{-}Feng Yu and Tong{-}Chern Ong}, title = {A new pre-driver design for improving the {ESD} performance of the high voltage tolerant {I/O}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1198--1201}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464808}, doi = {10.1109/ISCAS.2005.1464808}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSWYO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungK05, author = {Alfred Tze{-}Mun Leung and Roni Khazaka}, title = {Parametric model order reduction technique for design optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1290--1293}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464831}, doi = {10.1109/ISCAS.2005.1464831}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiHKW05, author = {Miao Li and Wenjie Huang and Tad A. Kwasniewski and Shoujun Wang}, title = {A 0.18{\(\mathrm{\mu}\)}m {CMOS} transceiver design for high-speed backplane data communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1158--1161}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464799}, doi = {10.1109/ISCAS.2005.1464799}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiHKW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLLC05, author = {Chengqing Li and Xinxiao Li and Shujun Li and Guanrong Chen}, title = {Cryptanalysis of a multistage encryption system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {880--883}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464729}, doi = {10.1109/ISCAS.2005.1464729}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiM05, author = {Yushan Li and Dragan Maksimovic}, title = {High efficiency wide bandwidth power supplies for {GSM} and {EDGE} {RF} power amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1314--1317}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464837}, doi = {10.1109/ISCAS.2005.1464837}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangAH05, author = {Xiaoyao Liang and Akshay Athalye and Sangjin Hong}, title = {Equalizing data-path for processing speed determination in block level pipelining}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1646--1649}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464920}, doi = {10.1109/ISCAS.2005.1464920}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangAH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangLXT05, author = {Liuhong Liang and Hong Lu and Xiangyang Xue and Yap{-}Peng Tan}, title = {Program segmentation for {TV} videos}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1549--1552}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464896}, doi = {10.1109/ISCAS.2005.1464896}, timestamp = {Mon, 07 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiangLXT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangW05, author = {Hau{-}Jie Liang and Shuenn{-}Shyang Wang}, title = {Architectural design of fractal image coder based on kick-out condition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1118--1121}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464789}, doi = {10.1109/ISCAS.2005.1464789}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiberatiPMP05, author = {Nicola Bruti Liberati and Eckhard Platen and Filippo Martini and Massimo Piccardi}, title = {A hardware generator for multi-point distributed random variables}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1702--1705}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464934}, doi = {10.1109/ISCAS.2005.1464934}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiberatiPMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCCLY05, author = {Heng{-}Yao Lin and Yi{-}Chih Chao and Che{-}Hong Chen and Bin{-}Da Liu and Jar{-}Ferr Yang}, title = {Combined 2-D transform and quantization architectures for {H.264} video coders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1802--1805}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464959}, doi = {10.1109/ISCAS.2005.1464959}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCCLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinK05, author = {Kun{-}Hsien Lin and Ming{-}Dou Ker}, title = {{ESD} protection design for {I/O} cells in sub-130-nm {CMOS} technology with embedded {SCR} structure}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1182--1185}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464805}, doi = {10.1109/ISCAS.2005.1464805}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinKG05, author = {Yu Lin and Vipul Katyal and Randall L. Geiger}, title = {Power dependence of feedback amplifiers on opamp architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1618--1621}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464913}, doi = {10.1109/ISCAS.2005.1464913}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinKG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinL05, author = {Ting{-}An Lin and Chen{-}Yi Lee}, title = {Predictive equalizer design for {DVB-T} system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {940--943}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464744}, doi = {10.1109/ISCAS.2005.1464744}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinL05a, author = {Chen{-}Fu Lin and Jin{-}Jang Leou}, title = {An adaptive fast full search motion estimation algorithm for {H.264}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1493--1496}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464882}, doi = {10.1109/ISCAS.2005.1464882}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinL05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCP05, author = {Shu{-}Fa Lin and Meng{-}Ting Lu and Homer H. Chen and Chia{-}Ho Pan}, title = {Fast multi-frame motion estimation for {H.264} and its applications to complexity-aware streaming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1505--1508}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464885}, doi = {10.1109/ISCAS.2005.1464885}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinT05, author = {Ching{-}Yung Lin and Belle L. Tseng}, title = {Optimizing user expectations for video semantic filtering and abstraction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1250--1253}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464821}, doi = {10.1109/ISCAS.2005.1464821}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWLL05, author = {Ting{-}An Lin and Sheng{-}Zen Wang and Tsu{-}Ming Liu and Chen{-}Yi Lee}, title = {An {H.264/AVC} decoder with 4{\texttimes}4-block level pipeline}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1810--1813}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464961}, doi = {10.1109/ISCAS.2005.1464961}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDHK05, author = {Rong Liu and Sheqin Dong and Xianlong Hong and Yoji Kajitani}, title = {Fixed-outline floorplanning with constraints through instance augmentation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1883--1886}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464979}, doi = {10.1109/ISCAS.2005.1464979}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDHK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuGS05, author = {Hai Qi Liu and Wang Ling Goh and Liter Siek}, title = {A 0.18-{\(\mathrm{\mu}\)}m 10-GHz {CMOS} ring oscillator for optical transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1525--1528}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464890}, doi = {10.1109/ISCAS.2005.1464890}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuGS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lu05, author = {Wu{-}Sheng Lu}, title = {Design of {FIR} digital filters with discrete coefficients via convex relaxation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1831--1834}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464966}, doi = {10.1109/ISCAS.2005.1464966}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lu05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuF05, author = {Hoang{-}Yang Lu and Wen{-}Hsien Fang}, title = {Joint frequency offset estimation and multiuser detection using genetic algorithm in {MC-CDMA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1726--1729}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464940}, doi = {10.1109/ISCAS.2005.1464940}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuTYB05, author = {Xiaoan Lu and Alexis Michael Tourapis and Peng Yin and Jill M. Boyce}, title = {Fast mode decision and motion estimation for {H.264} with a focus on {MPEG-2/H.264} transcoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1246--1249}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464820}, doi = {10.1109/ISCAS.2005.1464820}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuTYB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaHDCC05, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Chung{-}Kuan Cheng}, title = {Performance constrained floorplanning based on partial clustering {[IC} layout]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1863--1866}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464974}, doi = {10.1109/ISCAS.2005.1464974}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaHDCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeB05, author = {Arjuna Madanayake and Leonard T. Bruton}, title = {A high performance distributed-parallel-processor architecture for 3D {IIR} digital filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1457--1460}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464873}, doi = {10.1109/ISCAS.2005.1464873}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadureiraMAV05, author = {Miguel {\^{A}}ngelo M. Madureira and Paulo M. P. Monteiro and Rui L. Aguiar and Manuel Violas}, title = {An electrically adjustable distributed pulse shaping filter for 40 Gbit/s optical links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1166--1169}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464801}, doi = {10.1109/ISCAS.2005.1464801}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MadureiraMAV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Mahmoud05, author = {Soliman A. Mahmoud}, title = {Low voltage high current gain {CMOS} digitally controlled fully differential {CCII} [variable gain amplifier application example]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1000--1003}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464759}, doi = {10.1109/ISCAS.2005.1464759}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Mahmoud05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MahmoudHS05, author = {Soliman A. Mahmoud and Mohammed A. Hashiesh and Ahmed M. Soliman}, title = {Digitally controlled fully differential current conveyor: {CMOS} realization and applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1622--1625}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464914}, doi = {10.1109/ISCAS.2005.1464914}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MahmoudHS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Martin-MartinCS05, author = {Pilar Mart{\'{\i}}n{-}Mart{\'{\i}}n and Fernando Cruz{-}Rold{\'{a}}n and Tapio Saram{\"{a}}ki}, title = {: Optimized transmultiplexers for multirate systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1106--1109}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464786}, doi = {10.1109/ISCAS.2005.1464786}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Martin-MartinCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Matsubayashi05, author = {Akira Matsubayashi}, title = {Small congestion embedding of separable graphs into grids of the same size}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1354--1357}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464847}, doi = {10.1109/ISCAS.2005.1464847}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Matsubayashi05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/McConaghyG05, author = {Trent McConaghy and Georges G. E. Gielen}, title = {Analysis of simulation-driven numerical performance modeling techniques for application to analog circuit optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1298--1301}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464833}, doi = {10.1109/ISCAS.2005.1464833}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/McConaghyG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeijerPG05a, author = {Maurice Meijer and Francesco Pessolano and Jos{\'{e}} Pineda de Gyvez}, title = {Glitch-free discretely programmable clock generation on chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1839--1842}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464968}, doi = {10.1109/ISCAS.2005.1464968}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeijerPG05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MelgarejoOL05, author = {Miguel A. Melgarejo and Fredy Olarte and Pedro Ladino}, title = {Hardware realization of fuzzy adaptive filters for non linear channel equalization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {932--935}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464742}, doi = {10.1109/ISCAS.2005.1464742}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MelgarejoOL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MergensWKCRVAJR05, author = {Markus P. J. Mergens and Geert Wybo and Bart Keppens and Benjamin Van Camp and Frederic De Ranter and Koen G. Verhaege and John Armer and Phillip Jozwiak and Christian C. Russ}, title = {{ESD} protection circuit design for ultra-sensitive {IO} applications in advanced sub-90nm {CMOS} technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1194--1197}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464807}, doi = {10.1109/ISCAS.2005.1464807}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MergensWKCRVAJR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MezaBMG05, author = {Carlos Meza and Domingo Biel and Luis Mart{\'{\i}}nez{-}Salamero and Francisco Guinjoan}, title = {Boost-buck inverter variable structure control for grid-connected photovoltaic systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1318--1321}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464838}, doi = {10.1109/ISCAS.2005.1464838}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MezaBMG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MondalM05, author = {Somsubhra Mondal and Seda Ogrenci Memik}, title = {A low power {FPGA} routing architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1222--1225}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464814}, doi = {10.1109/ISCAS.2005.1464814}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MondalM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MunPN05, author = {Ju{-}Hyoung Mun and Sung Min Park and Myung{-}Ryong Nam}, title = {Four-channel {CMOS} photoreceiver array for parallel optical interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1529--1532}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464891}, doi = {10.1109/ISCAS.2005.1464891}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MunPN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakanishiSIF05, author = {Isao Nakanishi and Hiroyuki Sakamoto and Yoshio Itoh and Yutaka Fukui}, title = {Optimal user weighting fusion in {DWT} domain on-line signature verification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1698--1701}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464933}, doi = {10.1109/ISCAS.2005.1464933}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakanishiSIF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NayakMD05, author = {Deepak P. Nayak and Dipan B. Mehta and Uday B. Desai}, title = {A novel algorithm for reducing computational complexity of {MC-DCT} in frequency-domain video transcoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {900--903}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464734}, doi = {10.1109/ISCAS.2005.1464734}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NayakMD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeebTW05, author = {Christian Neeb and Michael J. Thul and Norbert Wehn}, title = {Network-on-chip-centric approach to interleaving in high throughput channel decoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1766--1769}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464950}, doi = {10.1109/ISCAS.2005.1464950}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeebTW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeurohrSPS05, author = {Norbert Neurohr and Matthias Schoebinger and Edoardo Prete and Anthony Sanders}, title = {Adaptive decision-feedback equalization for band-limited high-speed serial links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {924--927}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464740}, doi = {10.1109/ISCAS.2005.1464740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeurohrSPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenO05, author = {Truong T. Nguyen and Soontorn Oraintara}, title = {Multidimensional filter banks design by direct optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1090--1093}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464782}, doi = {10.1109/ISCAS.2005.1464782}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenO05a, author = {Truong T. Nguyen and Soontorn Oraintara}, title = {A class of directional filter banks [image processing applications]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1110--1113}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464787}, doi = {10.1109/ISCAS.2005.1464787}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenO05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenT05, author = {Viet Anh Nguyen and Yap{-}Peng Tan}, title = {Efficient video transcoding between {H.263} and {H.264/AVC} standards}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {904--907}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464735}, doi = {10.1109/ISCAS.2005.1464735}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrinoKK05, author = {Yuichiro Orino and Minoru Kuribayashi Kurosawa and Takashi Katagiri}, title = {Direct-digital synthesis using delta-sigma modulated signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1706--1709}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464935}, doi = {10.1109/ISCAS.2005.1464935}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OrinoKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrtizMPG05, author = {Alberto Garc{\'{\i}}a Ortiz and Tudor Murgan and Mihail Petrov and Manfred Glesner}, title = {A linear model for high-level delay estimation in {VDSM} on-chip interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1078--1081}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464779}, doi = {10.1109/ISCAS.2005.1464779}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OrtizMPG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PandeGJIS05, author = {Partha Pratim Pande and Cristian Grecu and Michael Jones and Andr{\'{e}} Ivanov and Res Saleh}, title = {Effect of traffic localization on energy dissipation in NoC-based interconnect}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1774--1777}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464952}, doi = {10.1109/ISCAS.2005.1464952}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PandeGJIS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkSCY05, author = {Jaejin Park and Ruifeng Sun and L. Rick Carley and C. Patrick Yue}, title = {A 10-Gbps, 8-PAM parallel interface with crosstalk cancellation for future hard disk drive channel ICs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1162--1165}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464800}, doi = {10.1109/ISCAS.2005.1464800}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkSCY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiK05, author = {Soo{-}Chang Pei and Meng{-}Ping Kao}, title = {Two dimensional nonuniform perfect reconstruction filter bank with irrational down-sampling matrices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1086--1089}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464781}, doi = {10.1109/ISCAS.2005.1464781}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengYK05, author = {Jingliang Peng and Sheng Yang and C.{-}C. Jay Kuo}, title = {Progressive lossless 3D mesh encoder with octree-based space partitioning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {948--951}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464746}, doi = {10.1109/ISCAS.2005.1464746}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengYK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pennisi05, author = {Salvatore Pennisi}, title = {High-performance {CMOS} current feedback operational amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1573--1576}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464902}, doi = {10.1109/ISCAS.2005.1464902}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Pennisi05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PereiraOC05, author = {F{\'{a}}bio A. Pereira and M{\'{a}}rio C. G. de Oliveira and Ana Isabela Ara{\'{u}}jo Cunha}, title = {{CMOS} analog current-mode multiplier based on the advanced compact {MOSFET} model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1020--1023}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464764}, doi = {10.1109/ISCAS.2005.1464764}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PereiraOC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetragliaB05, author = {Mariane R. Petraglia and Paulo Bulkool Batalheiro}, title = {Filter bank design for an adaptive subband structure with critical sampling using a new adaptation scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1114--1117}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464788}, doi = {10.1109/ISCAS.2005.1464788}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetragliaB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pirinen05, author = {Tuomo W. Pirinen}, title = {Normalized confidence factors for robust direction of arrival estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1429--1432}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464866}, doi = {10.1109/ISCAS.2005.1464866}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pirinen05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PongpalitKA05, author = {Wacharapol Pongpalit and Varakorn Kasemsuwan and Hyung Keun Ahn}, title = {A 3 Gb/s 80 dB {CMOS} differential transimpedance amplifier for optical communication systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1614--1617}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464912}, doi = {10.1109/ISCAS.2005.1464912}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PongpalitKA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RajaeeB05, author = {Hold Omid Rajaee and Mehrdad Sharif Bakhtiar}, title = {A high speed, high resolution, low voltage current mode sample and hold}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1417--1420}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464863}, doi = {10.1109/ISCAS.2005.1464863}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RajaeeB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RapoportBP05, author = {Eduardo Rapoport and Fernando Antonio Pinto Bar{\'{u}}qui and Antonio Petraglia}, title = {{IC} design of an analog tunable crossover network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1012--1015}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464762}, doi = {10.1109/ISCAS.2005.1464762}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RapoportBP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RavindranA05, author = {Sourabh Ravindran and David V. Anderson}, title = {Audio classification and scene recognition and for hearing aids}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {860--863}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464724}, doi = {10.1109/ISCAS.2005.1464724}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RavindranA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguesOSO05, author = {Joachim Neves Rodrigues and Thomas Olsson and Leif S{\"{o}}rnmo and Viktor {\"{O}}wall}, title = {A dual-mode wavelet based R-wave detector using single-V\({}_{\mbox{t}}\) for leakage reduction [cardiac pacemaker applications]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1330--1333}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464841}, doi = {10.1109/ISCAS.2005.1464841}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RodriguesOSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RosenbaumH05, author = {Elyse Rosenbaum and Sami Hyvonen}, title = {On-chip {ESD} protection for {RF} I/Os: devices, circuits and models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1202--1205}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464809}, doi = {10.1109/ISCAS.2005.1464809}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RosenbaumH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Roy05, author = {Subhadeep Roy}, title = {A sub-word-parallel Galois field multiply-accumulate unit for digital signal processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1626--1629}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464915}, doi = {10.1109/ISCAS.2005.1464915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Roy05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RydbergND05, author = {Ray Robert Rydberg III and Jabulani Nyathi and Jos{\'{e}} G. Delgado{-}Frias}, title = {A distributed {FIFO} scheme for on chip communication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1851--1854}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464971}, doi = {10.1109/ISCAS.2005.1464971}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RydbergND05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Salazar-LazaroT05, author = {Carlos Salazar{-}Lazaro and Trac D. Tran}, title = {Flexible resizing algorithms for video transcoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {916--919}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464738}, doi = {10.1109/ISCAS.2005.1464738}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Salazar-LazaroT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SallbergDAC05, author = {Benny Sallberg and Mattias Dahl and Henrik {\AA}kesson and Ingvar Claesson}, title = {A mixed analog-digital hybrid for speech enhancement purposes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {852--855}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464722}, doi = {10.1109/ISCAS.2005.1464722}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SallbergDAC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SamadiAS05, author = {Saed Samadi and M. Omair Ahmad and M. N. S. Swamy}, title = {Multiplier-free structures for exact generation of natural powers of integers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1146--1149}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464796}, doi = {10.1109/ISCAS.2005.1464796}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SamadiAS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SangwanZA05, author = {Abhijeet Sangwan and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {Improved voice activity detection via contextual information and noise suppression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {868--871}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464726}, doi = {10.1109/ISCAS.2005.1464726}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SangwanZA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Serra-GraellsMCMT05, author = {Francisco Serra{-}Graells and Bertrand Misischi and Eduardo Casanueva and C{\'{e}}sar M{\'{e}}ndez and Llu{\'{\i}}s Ter{\'{e}}s}, title = {A 60 ns 500{\texttimes}12 0.35{\(\mathrm{\mu}\)}m {CMOS} low-power scanning read-out {IC} for cryogenic infra-red sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1742--1745}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464944}, doi = {10.1109/ISCAS.2005.1464944}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Serra-GraellsMCMT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SewterC05, author = {Jonathan Sewter and Anthony Chan Carusone}, title = {A comparison of equalizers for compensating polarization-mode dispersion in 40-Gb/s optical systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1521--1524}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464889}, doi = {10.1109/ISCAS.2005.1464889}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SewterC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShaoC05, author = {Yu Shao and Chip{-}Hong Chang}, title = {A versatile speech enhancement system based on perceptual wavelet denoising}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {864--867}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464725}, doi = {10.1109/ISCAS.2005.1464725}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShaoC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenL05, author = {Ding{-}Lan Shen and Tai{-}Cheng Lee}, title = {A linear-approximation technique for digitally-calibrated pipelined {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1382--1385}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464854}, doi = {10.1109/ISCAS.2005.1464854}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShihH05, author = {Huang{-}Chia Shih and Chung{-}Lin Huang}, title = {Content-based scalable sports video retrieval system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1553--1556}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464897}, doi = {10.1109/ISCAS.2005.1464897}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShihH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShuC05, author = {Haiyan Shu and Lap{-}Pui Chau}, title = {Frame size selection in video downsizing transcoding application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {896--899}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464733}, doi = {10.1109/ISCAS.2005.1464733}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShuC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Signell05, author = {Svante Signell}, title = {Jittered uniform sampling - examples}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {988--991}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464756}, doi = {10.1109/ISCAS.2005.1464756}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Signell05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SimLK05, author = {Jae{-}Young Sim and Sang{-}Uk Lee and Chang{-}Su Kim}, title = {Construction of regular 3D point clouds using octree partitioning and resampling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {956--959}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464748}, doi = {10.1109/ISCAS.2005.1464748}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SimLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SinUM05, author = {Sai{-}Weng Sin and Seng{-}Pan U. and Rui Paulo Martins}, title = {A novel low-voltage cross-coupled passive sampling branch for reset- and switched-opamp circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1585--1588}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464905}, doi = {10.1109/ISCAS.2005.1464905}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SinUM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SinUM05a, author = {Sai{-}Weng Sin and Seng{-}Pan U. and Rui Paulo Martins}, title = {A novel very low-voltage {SC-CMFB} technique for fully-differential reset-opamp circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1581--1584}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464904}, doi = {10.1109/ISCAS.2005.1464904}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SinUM05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SjalanderDLE05, author = {Magnus Sj{\"{a}}lander and Mindaugas Drazdziulis and Per Larsson{-}Edefors and Henrik Eriksson}, title = {A low-leakage twin-precision multiplier using reconfigurable power gating}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1654--1657}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464922}, doi = {10.1109/ISCAS.2005.1464922}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SjalanderDLE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SpaniasA05, author = {Andreas Spanias and Venkatraman Atti}, title = {Rate determination based on perceptual loudness}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {848--851}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464721}, doi = {10.1109/ISCAS.2005.1464721}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SpaniasA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuKT05, author = {Feng Su and Wing{-}Hung Ki and Chi{-}Ying Tsui}, title = {Gate control strategies for high efficiency charge pumps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1907--1910}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464985}, doi = {10.1109/ISCAS.2005.1464985}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuKT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuXVS05, author = {Yeping Su and Jun Xin and Anthony Vetro and Huifang Sun}, title = {Efficient {MPEG-2} to {H.264/AVC} intra transcoding in transform-domain}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1234--1237}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464817}, doi = {10.1109/ISCAS.2005.1464817}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuXVS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SubramanianAHM05, author = {Shyam Subramanian and David V. Anderson and Paul E. Hasler and Bradley A. Minch}, title = {Synthesis of {MITE} log-domain filters with unique operating points}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {996--999}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464758}, doi = {10.1109/ISCAS.2005.1464758}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SubramanianAHM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunPOY05, author = {Ruifeng Sun and Jaejin Park and Frank O'Mahony and C. Patrick Yue}, title = {A low-power, 20-Gb/s continuous-time adaptive passive equalizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {920--923}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464739}, doi = {10.1109/ISCAS.2005.1464739}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunPOY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakahashiY05, author = {Yasuhiro Takahashi and Michio Yokoyama}, title = {New cost-effective {VLSI} implementation of multiplierless {FIR} filter using common subexpression elimination}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1445--1448}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464870}, doi = {10.1109/ISCAS.2005.1464870}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TakahashiY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangD05, author = {Hua Tang and Alex Doboli}, title = {Parameter domain pruning for improving convergence of synthesis algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1282--1285}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464829}, doi = {10.1109/ISCAS.2005.1464829}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TarczynskiQ05, author = {Andrzej Tarczynski and Dongdong Qu}, title = {Optimal periodic sampling sequences for nearly-alias-free digital signal processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1425--1428}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464865}, doi = {10.1109/ISCAS.2005.1464865}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TarczynskiQ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThomsonSSO05, author = {Kyle E. Thomson and Theo Shlien and Yasir Suhail and Karim G. Oweiss}, title = {Scalable architecture for streaming neural information from implantable multichannel neuroprosthetic devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1342--1345}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464844}, doi = {10.1109/ISCAS.2005.1464844}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThomsonSSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TianSLSZ05, author = {Junhua Tian and Bo Shen and Zheng Li and Jianing Su and Qianling Zhang}, title = {Joint carrier recovery and adaptive equalization for high-order {QAM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {928--931}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464741}, doi = {10.1109/ISCAS.2005.1464741}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TianSLSZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TongXCHL05, author = {Paul C. F. Tong and Ping{-}Ping Xu and Wensong Chen and John Hui and Patty Z. Q. Liu}, title = {A novel substrate-triggered {ESD} protection structure for a bus switch {IC} with on-chip substrate-pump}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1190--1193}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464806}, doi = {10.1109/ISCAS.2005.1464806}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TongXCHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ToprakL05, author = {Zeynep Toprak Deniz and Yusuf Leblebici}, title = {Low-power current mode logic for improved DPA-resistance in embedded systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1059--1062}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464774}, doi = {10.1109/ISCAS.2005.1464774}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ToprakL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TotevV05, author = {E. D. Totev and Chris J. M. Verhoeven}, title = {Design consideration for lowering sensitivity to out of band interference of negative feedback amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1597--1600}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464908}, doi = {10.1109/ISCAS.2005.1464908}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TotevV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiLW05, author = {Tsung{-}Han Tsai and Cheng{-}Hung Lin and An{-}Yeu Wu}, title = {A memory-reduced log-MAP kernel for turbo decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1032--1035}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464767}, doi = {10.1109/ISCAS.2005.1464767}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiLW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsukutaniSHF05, author = {Takao Tsukutani and Yasuaki Sumi and Masami Higashimura and Yutaka Fukui}, title = {Current-mode universal biquad circuit using MO-OTAs and {DO-CCII}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1589--1592}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464906}, doi = {10.1109/ISCAS.2005.1464906}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsukutaniSHF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TulunayB05, author = {G{\"{u}}lin Tulunay and Sina Balkir}, title = {Design automation of single-ended LNAs using symbolic analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1294--1297}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464832}, doi = {10.1109/ISCAS.2005.1464832}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TulunayB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UwateN05, author = {Yoko Uwate and Yoshifumi Nishio}, title = {Back propagation learning of neural networks with chaotically-selected affordable neurons}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1481--1484}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464879}, doi = {10.1109/ISCAS.2005.1464879}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UwateN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VogelDK05, author = {Christian Vogel and Dieter Draxelmayr and Gernot Kubin}, title = {Spectral shaping of timing mismatches in time-interleaved analog-to-digital converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1394--1397}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464857}, doi = {10.1109/ISCAS.2005.1464857}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VogelDK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wang05, author = {Lei Wang}, title = {An energy-efficient skew compensation technique for high-speed skew-sensitive signaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1658--1661}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464923}, doi = {10.1109/ISCAS.2005.1464923}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wang05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangAA05, author = {Nanyan Y. Wang and Panajotis Agathoklis and Andreas Antoniou}, title = {Pilot-aided {DOA} estimation for {CDMA} communication systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1441--1444}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464869}, doi = {10.1109/ISCAS.2005.1464869}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangAA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCB05, author = {Dong Wang and Cedric Nishan Canagarajah and David R. Bull}, title = {Slice group based multiple description video coding with three motion compensation loops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {960--963}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464749}, doi = {10.1109/ISCAS.2005.1464749}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCY05, author = {Peng Wang and Rui Cai and Shi{-}Qiang Yang}, title = {Improving classification of video shots using information-theoretic co-clustering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {964--967}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464750}, doi = {10.1109/ISCAS.2005.1464750}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHCW05, author = {Yi{-}Ming Wang and Chang{-}Fen Hu and Yi{-}Jen Chen and Jinn{-}Shyan Wang}, title = {An all-digital pulsewidth control loop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1258--1261}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464823}, doi = {10.1109/ISCAS.2005.1464823}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLLS05, author = {Yi Wang and Jussipekka Leiwo and Thambipillai Srikanthan}, title = {Efficient high radix modular multiplication for high-speed computing in re-configurable hardware [cryptographic applications]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1226--1229}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464815}, doi = {10.1109/ISCAS.2005.1464815}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangLLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLY05, author = {Jinn{-}Shyan Wang and Shiang{-}Jiun Lin and Chingwei Yeh}, title = {A low-power high-SFDR {CMOS} direct digital frequency synthesizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1670--1673}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464926}, doi = {10.1109/ISCAS.2005.1464926}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangR05, author = {Yanjie Wang and Rabin Raut}, title = {A 2.4 GHz 82 dB-Omega fully differential {CMOS} transimpedance amplifier for optical receiver based on wide-swing cascode topology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1601--1605}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464909}, doi = {10.1109/ISCAS.2005.1464909}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WatanabeK05, author = {Minoru Watanabe and Fuminori Kobayashi}, title = {A 16, 000-gate-count optically reconfigurable gate array in a standard 0.35{\(\mathrm{\mu}\)}m {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1214--1217}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464812}, doi = {10.1109/ISCAS.2005.1464812}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WatanabeK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiG05, author = {Cao Wei and Mao Zhi Gang}, title = {A novel {VLSI} architecture for {VBSME} in {MPEG-4} {AVC/H.264}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1794--1797}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464957}, doi = {10.1109/ISCAS.2005.1464957}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WenWL05, author = {Mingchen Wen and Sying{-}Jyan Wang and Yen{-}Nan Lin}, title = {Low power parallel multiplier with column bypassing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1638--1641}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464918}, doi = {10.1109/ISCAS.2005.1464918}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WenWL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeyCCCW05, author = {I{-}Chyn Wey and Lung{-}Hao Chang and You{-}Gang Chen and Shih{-}Hung Chang and An{-}Yeu Wu}, title = {A 2Gb/s high-speed scalable shift-register based on-chip serial communication design for SoC applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1074--1077}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464778}, doi = {10.1109/ISCAS.2005.1464778}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeyCCCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WongCP05, author = {Ka{-}Man Wong and Kwok{-}Wai Cheung and Lai{-}Man Po}, title = {{MIRROR:} an interactive content based image retrieval system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1541--1544}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464894}, doi = {10.1109/ISCAS.2005.1464894}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WongCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WorapishetR05, author = {Apisak Worapishet and Ittipat Roopkom}, title = {Cascaded double-stage configuration for high-performance broadband amplification in {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1606--1609}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464910}, doi = {10.1109/ISCAS.2005.1464910}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WorapishetR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuKCL05, author = {Ho{-}Ting Wu and Kai{-}Wei Ke and Wang{-}Rong Chang and Hui{-}Tang Lin}, title = {A switched delay line based optical switch architecture with a bypass line}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1533--1536}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464892}, doi = {10.1109/ISCAS.2005.1464892}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuKCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuMJFHZL05, author = {Xiaolong Wu and Jian Ma and Yingtao Jiang and Bingmei Fu and Wei Hang and Jinsuo Zhang and Ning Li}, title = {Instrumentation of {YSZ} oxygen sensor calibration in liquid lead-bismuth eutectic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1746--1749}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464945}, doi = {10.1109/ISCAS.2005.1464945}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuMJFHZL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoLXNT05, author = {You{-}Neng Xiao and Hong Lu and Xiangyang Xue and Viet Anh Nguyen and Yap{-}Peng Tan}, title = {Efficient rate control for {MPEG-2} to {H.264/AVC} transcoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1238--1241}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464818}, doi = {10.1109/ISCAS.2005.1464818}, timestamp = {Mon, 07 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XiaoLXNT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuCJ05, author = {Fei Xu and Chip{-}Hong Chang and Ching{-}Chuen Jong}, title = {I\({}^{\mbox{2}}\)CRA: contention resolution algorithm for intra- and inter-coefficient common subexpression elimination}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1823--1826}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464964}, doi = {10.1109/ISCAS.2005.1464964}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuCJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuWHC05, author = {Jiang Xu and Wayne H. Wolf and J{\"{o}}rg Henkel and Srimat T. Chakradhar}, title = {A methodology for design, modeling, and analysis of networks-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1778--1781}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464953}, doi = {10.1109/ISCAS.2005.1464953}, timestamp = {Fri, 30 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuWHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamadaKNU05, author = {Toshinori Yamada and Hiroyuki Kawakita and Tadashi Nishiyama and Shuichi Ueno}, title = {On {VLSI} decompositions for d-ary de Bruijn graphs (extended abstract)}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1358--1361}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464848}, doi = {10.1109/ISCAS.2005.1464848}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamadaKNU05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamashitaHNMTO05, author = {Takayuki Yamashita and Kazuhisa Haeiwa and Toshihiro Negishi and Izuru Murasaki and Yoshikazu Toba and Masatoshi Onizawa}, title = {Development of a microwave receiving and transmission system using an optical modulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1517--1520}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464888}, doi = {10.1109/ISCAS.2005.1464888}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamashitaHNMTO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanCW05, author = {Jin{-}Tai Yan and Yen{-}Hsiang Chen and Chia{-}Wei Wu}, title = {Probabilistic congestion prediction in hierarchical quad-grid model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1350--1353}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464846}, doi = {10.1109/ISCAS.2005.1464846}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanWC05, author = {Jin{-}Tai Yan and Chia{-}Wei Wu and Yen{-}Hsiang Chen}, title = {Wiring area optimization in floorplan-aware hierarchical power grids}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1366--1369}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464850}, doi = {10.1109/ISCAS.2005.1464850}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanWC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanWL05, author = {Jin{-}Tai Yan and Tzu{-}Ya Wang and Yu{-}Cheng Lee}, title = {Timing-driven Steiner tree construction based on feasible assignment of hidden Steiner points}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1370--1373}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464851}, doi = {10.1109/ISCAS.2005.1464851}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanWL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangKL05, author = {Jeong{-}Hyu Yang and Chang{-}Su Kim and Sang{-}Uk Lee}, title = {Progressive coding of 3D dynamic mesh sequences using spatiotemporal decomposition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {944--947}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464745}, doi = {10.1109/ISCAS.2005.1464745}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangL05, author = {Ching{-}Yuan Yang and Yu Lee}, title = {A 0.18-{\(\mathrm{\mu}\)}m {CMOS} 1-Gb/s serial link transceiver by using {PWM} and {PAM} techniques}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1150--1153}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464797}, doi = {10.1109/ISCAS.2005.1464797}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangTC05, author = {Jingbo Yang and Meng Tong Tan and Joseph Sylvester Chang}, title = {Modeling external feedback path of an {ITE} digital hearing instrument for acoustic feedback cancellation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1326--1329}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464840}, doi = {10.1109/ISCAS.2005.1464840}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangZI05, author = {Yun Yang and Wenqing Zhao and Yasuaki Inoue}, title = {High-performance systolic arrays for band matrix multiplication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1130--1133}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464792}, doi = {10.1109/ISCAS.2005.1464792}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangZI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoL05, author = {Ji Yao and Anthony J. Lawrance}, title = {Optimal spreading in multi-user non-coherent binary chaos-shift-keying communication systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {876--879}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464728}, doi = {10.1109/ISCAS.2005.1464728}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yasuda05, author = {Takeo Yasuda}, title = {On-chip temperature sensor with high tolerance for process and temperature variation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1024--1027}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464765}, doi = {10.1109/ISCAS.2005.1464765}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Yasuda05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YavariSS05, author = {Mohammad Yavari and Omid Shoaei and Francesco Svelto}, title = {Hybrid cascode compensation for two-stage {CMOS} operational amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1565--1568}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464900}, doi = {10.1109/ISCAS.2005.1464900}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YavariSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YenDS05, author = {Jieh{-}Hwang Yen and Lan{-}Rong Dung and Chi{-}Yuan Shen}, title = {Design of power-aware multiplier with graceful quality-power trade-offs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1642--1645}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464919}, doi = {10.1109/ISCAS.2005.1464919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YenDS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yli-KaakinenS05, author = {Juha Yli{-}Kaakinen and Tapio Saram{\"{a}}ki}, title = {Design and implementation of multiplierless adjustable fractional-delay all-pass filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1827--1830}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464965}, doi = {10.1109/ISCAS.2005.1464965}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Yli-KaakinenS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YousefzadehAM05, author = {Vahid Yousefzadeh and Eduard Alarc{\'{o}}n and Dragan Maksimovic}, title = {Efficiency optimization in linear-assisted switching power converters for envelope tracking in {RF} power amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1302--1305}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464834}, doi = {10.1109/ISCAS.2005.1464834}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YousefzadehAM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuL05, author = {Ya Jun Yu and Yong Ching Lim}, title = {Signed power-of-two allocation scheme for the design of lattice orthogonal filter banks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1819--1822}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464963}, doi = {10.1109/ISCAS.2005.1464963}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuLLC05, author = {Simin Yu and Jinhu Lu and Henry Leung and Guanrong Chen}, title = {N-scroll chaotic attractors from a general jerk circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1473--1476}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464877}, doi = {10.1109/ISCAS.2005.1464877}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuLLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuSS05, author = {Zaihe Yu and Yun Q. Shi and Wei Su}, title = {Symbol-rate estimation based on filter bank}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1437--1440}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464868}, doi = {10.1109/ISCAS.2005.1464868}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YungB05, author = {Yat{-}Fong Yung and Amine Bermak}, title = {A {PWM} {DPS} with pixel-level reconfigurable 4/8-bit counter/SRAM}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1754--1757}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464947}, doi = {10.1109/ISCAS.2005.1464947}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YungB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zare-HoseiniSK05, author = {Hashem Zare{-}Hoseini and Omid Shoaei and Izzet Kale}, title = {A new multiply-by-two gain-stage with enhanced immunity to capacitor-mismatch}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1409--1412}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464861}, doi = {10.1109/ISCAS.2005.1464861}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Zare-HoseiniSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhanAKL05, author = {Cheng Zhan and Tughrul Arslan and Sami Khawam and Iain Lindsay}, title = {Efficient implementation of trace-back unit in a reconfigurable Viterbi decoder fabric}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1048--1050}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464771}, doi = {10.1109/ISCAS.2005.1464771}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhanAKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangBS05, author = {Yan Zhang and Travis N. Blalock and Mircea R. Stan}, title = {A three-level toggle-avoid bus signaling scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1843--1846}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464969}, doi = {10.1109/ISCAS.2005.1464969}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCC05, author = {Zhiguo Zhang and Shing{-}Chow Chan and Hui Cheng}, title = {Robust adaptive channel estimation of {OFDM} systems in time-varying narrowband interference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1722--1725}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464939}, doi = {10.1109/ISCAS.2005.1464939}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangH05, author = {Yaxiong Zhang and Alister Hamilton}, title = {A current mode Palmo cell for programmable analogue signal processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1028--1031}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464766}, doi = {10.1109/ISCAS.2005.1464766}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangM05, author = {Hui Zhang and Pinaki Mazumder}, title = {Design of a new sense amplifier flip-flop with improved power-delay-product}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1262--1265}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464824}, doi = {10.1109/ISCAS.2005.1464824}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWA05, author = {Chengjun Zhang and Chunyan Wang and M. Omair Ahmad}, title = {A {VLSI} architecture for a high-speed computation of the 1D discrete wavelet transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1461--1464}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464874}, doi = {10.1109/ISCAS.2005.1464874}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoK05, author = {Yinqing Zhao and C.{-}C. Jay Kuo}, title = {Scheduling design for distributed video-on-demand servers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1545--1548}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464895}, doi = {10.1109/ISCAS.2005.1464895}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoZW05, author = {Hui Zhao and Kan Zheng and Wenbo Wang}, title = {Diversity gain's influence on MIMO's detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1714--1717}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464937}, doi = {10.1109/ISCAS.2005.1464937}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoZW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zheng05, author = {Wei Xing Zheng}, title = {An efficient method for estimation of autoregressive signals in noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1433--1436}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464867}, doi = {10.1109/ISCAS.2005.1464867}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Zheng05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Zheng05a, author = {Wei Xing Zheng}, title = {Study of a least-squares type method for noisy {FIR} filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1694--1697}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464932}, doi = {10.1109/ISCAS.2005.1464932}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Zheng05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouDHWK05, author = {Zhe Zhou and Sheqin Dong and Xianlong Hong and Yuliang Wu and Yoji Kajitani}, title = {A new approach based on {LFF} for optimization of dynamic hardware reconfigurations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1210--1213}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464811}, doi = {10.1109/ISCAS.2005.1464811}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouDHWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouLS05, author = {Mingcui Zhou and Wentai Liu and Mohanasankar Sivaprakasam}, title = {A closed-form delay formula for on-chip {RLC} interconnects in current-mode signaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1082--1085}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464780}, doi = {10.1109/ISCAS.2005.1464780}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouSLS05, author = {Zhi Zhou and Shijun Sun and Shawmin Lei and Ming{-}Ting Sun}, title = {Motion information and coding mode reuse for {MPEG-2} to {H.264} transcoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1230--1233}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464816}, doi = {10.1109/ISCAS.2005.1464816}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouSLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZouLO05, author = {Qiyue Zou and Zhiping Lin and Raimund J. Ober}, title = {The {CRLB} for bilinear systems and its biomedical applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1338--1341}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464843}, doi = {10.1109/ISCAS.2005.1464843}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZouLO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.