Search dblp for Publications

export results for "toc:db/conf/iscas/iscas2003-5.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iscas/AbbasianRAN03,
  author       = {Ali Abbasian and
                  S. H. Rasouli and
                  Ali Afzali{-}Kusha and
                  Mehrdad Nourani},
  title        = {No-race charge recycling complementary pass transistor logic {(NCRCPL)}
                  for low power applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {289--292},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206257},
  doi          = {10.1109/ISCAS.2003.1206257},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbbasianRAN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Abdel-Aty-ZohdyAE03,
  author       = {Hoda S. Abdel{-}Aty{-}Zohdy and
                  Jacob N. Allen and
                  Robert L. Ewing},
  title        = {Plastic NNs for biochemical detection},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {665--668},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206400},
  doi          = {10.1109/ISCAS.2003.1206400},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Abdel-Aty-ZohdyAE03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Al-KhaliliH03,
  author       = {Asim J. Al{-}Khalili and
                  Aiping Hu},
  title        = {Design of a 32-bit squarer - exploiting addition redundancy},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {325--328},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206269},
  doi          = {10.1109/ISCAS.2003.1206269},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Al-KhaliliH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlencarCA03,
  author       = {Gilson A. Alencar and
                  Luiz Pereira Cal{\^{o}}ba and
                  M. S. Assis},
  title        = {Artificial neural networks as rain attenuation predictors in earth-space
                  paths},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {701--704},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206409},
  doi          = {10.1109/ISCAS.2003.1206409},
  timestamp    = {Thu, 11 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlencarCA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AliotoP03,
  author       = {Massimo Alioto and
                  Gaetano Palumbo},
  title        = {Design of MUX, {XOR} and D-latch {SCL} gates},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206248},
  doi          = {10.1109/ISCAS.2003.1206248},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AliotoP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AndroutsopoulosCB03,
  author       = {Vassilis Androutsopoulos and
                  T. J. W. Clarke and
                  Mike Brookes},
  title        = {Synthesis and optimization of interfaces between hardware modules
                  with incompatible protocols},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {613--616},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206387},
  doi          = {10.1109/ISCAS.2003.1206387},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AndroutsopoulosCB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Arik03,
  author       = {Sabri Arik},
  title        = {Global asymptotic stability of a larger class of delayed neural networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {721--724},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206414},
  doi          = {10.1109/ISCAS.2003.1206414},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Arik03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AthanasopoulouH03,
  author       = {Eleftheria Athanasopoulou and
                  Christoforos N. Hadjicostis},
  title        = {Upper and lower bounds on {FSM} switching activity},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {417--420},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206301},
  doi          = {10.1109/ISCAS.2003.1206301},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AthanasopoulouH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AvciY03,
  author       = {Mutlu Avci and
                  T{\"{u}}lay Yildirim},
  title        = {A coding method for 123 decision diagram pass transistor logic circuit
                  synthesis},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {189--192},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206228},
  doi          = {10.1109/ISCAS.2003.1206228},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AvciY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BakerZS03,
  author       = {Michael W. Baker and
                  Serhii M. Zhak and
                  Rahul Sarpeshkar},
  title        = {A micropower envelope detector for audio applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206157},
  doi          = {10.1109/ISCAS.2003.1206157},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BakerZS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BanerjeeDC03,
  author       = {Ansuman Banerjee and
                  Pallab Dasgupta and
                  Partha Pratim Chakrabarti},
  title        = {Open computation tree logic with fairness},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {249--252},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206245},
  doi          = {10.1109/ISCAS.2003.1206245},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BanerjeeDC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BarreraCFP03,
  author       = {P. Barrera and
                  Antonino Calabr{\`{o}} and
                  Luigi Fortuna and
                  Domenico Porto},
  title        = {A new method for implementing gate operations in a quantum factoring
                  algorithm},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {777--780},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206428},
  doi          = {10.1109/ISCAS.2003.1206428},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BarreraCFP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BashirullahLC03,
  author       = {Rizwan Bashirullah and
                  Wentai Liu and
                  Ralph K. Cavin III},
  title        = {Accurate delay model and experimental verification for current/voltage
                  mode on-chip interconnects},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206221},
  doi          = {10.1109/ISCAS.2003.1206221},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BashirullahLC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BashirullahLJKSWP03,
  author       = {Rizwan Bashirullah and
                  Wentai Liu and
                  Ying Ji and
                  Gurhan Alper Kendir and
                  Mohanasankar Sivaprakasam and
                  Guoxing Wang and
                  B. Pundi},
  title        = {A smart bi-directional telemetry unit for retinal prosthetic device},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {5--8},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206158},
  doi          = {10.1109/ISCAS.2003.1206158},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BashirullahLJKSWP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BergAMH03,
  author       = {Yngvar Berg and
                  Snorre Aunet and
                  Omid Mirmotahari and
                  Mats H{\o}vin},
  title        = {Novel recharge semi-floating-gate {CMOS} logic for multiple-valued
                  systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {193--196},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206229},
  doi          = {10.1109/ISCAS.2003.1206229},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BergAMH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BerkemanO03,
  author       = {Anders Berkeman and
                  Viktor {\"{O}}wall},
  title        = {A configurable divider using digit recurrence},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {333--336},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206272},
  doi          = {10.1109/ISCAS.2003.1206272},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BerkemanO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Bermak03,
  author       = {Amine Bermak},
  title        = {A highly scalable 3D chip for binary neural network classification
                  applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {685--688},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206405},
  doi          = {10.1109/ISCAS.2003.1206405},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Bermak03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BermakH03,
  author       = {Amine Bermak and
                  Matihias Hojinger},
  title        = {Focal plane image segmentation using locally interconnected spiking
                  pixel architecture},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {833--836},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206442},
  doi          = {10.1109/ISCAS.2003.1206442},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BermakH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BertoniBABFMZ03,
  author       = {Guido Bertoni and
                  A. Bircan and
                  Luca Breveglieri and
                  Pasqualina Fragneto and
                  Marco Macchetti and
                  Vittorio Zaccaria},
  title        = {About the performances of the Advanced Encryption Standard in embedded
                  systems with cache memory},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {145--148},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206212},
  doi          = {10.1109/ISCAS.2003.1206212},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BertoniBABFMZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BilavarnGPB03,
  author       = {S{\'{e}}bastien Bilavarn and
                  Guy Gogniat and
                  Jean Luc Philippe and
                  Lilian Bossuet},
  title        = {Fast prototyping of reconfigurable architectures from a {C} program},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {589--592},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206381},
  doi          = {10.1109/ISCAS.2003.1206381},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BilavarnGPB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Bofill-i-PetitM03,
  author       = {Adria Bofill{-}i{-}Petit and
                  Alan F. Murray},
  title        = {Learning temporal correlations in biologically-inspired aVLSI},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {817--820},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206438},
  doi          = {10.1109/ISCAS.2003.1206438},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Bofill-i-PetitM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Brajovic03,
  author       = {Vladimir Brajovic},
  title        = {Lossless non-arbitrated address-event coding},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {825--828},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206440},
  doi          = {10.1109/ISCAS.2003.1206440},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Brajovic03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BucoloFR03,
  author       = {Maide Bucolo and
                  Luigi Fortuna and
                  Manuela La Rosa},
  title        = {Synchronization in arrays of fuzzy chaotic oscillators},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {793--796},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206432},
  doi          = {10.1109/ISCAS.2003.1206432},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BucoloFR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BurgGKF03,
  author       = {Andreas Burg and
                  Frank K. G{\"{u}}rkaynak and
                  Hubert Kaeslin and
                  Wolfgang Fichtner},
  title        = {Variable delay ripple carry adder with carry chain interrupt detection},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {113--116},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206202},
  doi          = {10.1109/ISCAS.2003.1206202},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BurgGKF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BurianT03,
  author       = {Adrian Burian and
                  Jarmo Takala},
  title        = {A recurrent neural network for 1-D phase retrieval},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {729--732},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206416},
  doi          = {10.1109/ISCAS.2003.1206416},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BurianT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Calderon-MartinezC03,
  author       = {Jos{\'{e}} A. Calder{\'{o}}n{-}Mart{\'{\i}}nez and
                  Pascual Campoy Cervera},
  title        = {A convolutional neural architecture: an application for defects detection
                  in continuous manufacturing systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {749--752},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206421},
  doi          = {10.1109/ISCAS.2003.1206421},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Calderon-MartinezC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CardarilliOPRS03,
  author       = {Gian Carlo Cardarilli and
                  Marco Ottavi and
                  Salvatore Pontarelli and
                  Marco Re and
                  Adelio Salsano},
  title        = {A fault tolerant hardware based file system manager for solid state
                  mass memory},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {649--652},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206396},
  doi          = {10.1109/ISCAS.2003.1206396},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CardarilliOPRS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CarroCCMB03,
  author       = {Luigi Carro and
                  Edgard de Faria Corr{\^{e}}a and
                  R. Cardozo and
                  Fernando Moraes and
                  Sergio Bampi},
  title        = {Exploiting reconfigurability for low-power control of embedded processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {421--424},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206303},
  doi          = {10.1109/ISCAS.2003.1206303},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CarroCCMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CelinskiAC03,
  author       = {Peter Celinski and
                  Derek Abbott and
                  Sorin Dan Cotofana},
  title        = {Area efficient, high speed parallel counter circuits using charge
                  recycling threshold logic},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {233--236},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206239},
  doi          = {10.1109/ISCAS.2003.1206239},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CelinskiAC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangLS03,
  author       = {Hoseok Chang and
                  Wonchul Lee and
                  Wonyong Sung},
  title        = {Optimization of power consumption for an ARM7-based multimedia handheld
                  device},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206199},
  doi          = {10.1109/ISCAS.2003.1206199},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangLS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChanwimaluangF03,
  author       = {Thitiporn Chanwimaluang and
                  Guoliang Fan},
  title        = {An efficient blood vessel detection algorithm for retinal images using
                  local entropy thresholding},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206162},
  doi          = {10.1109/ISCAS.2003.1206162},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChanwimaluangF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenG03a,
  author       = {Chien{-}In Henry Chen and
                  Kiran George},
  title        = {Configurable two-dimensional linear feedback shifter registers for
                  deterministic and random patterns [logic {BIST]}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {521--524},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206337},
  doi          = {10.1109/ISCAS.2003.1206337},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenG03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenK03,
  author       = {P. C. Chen and
                  James B. Kuo},
  title        = {Novel sub-1V {CMOS} domino dynamic logic circuit using a direct bootstrap
                  {(DB)} technique for low-voltage {CMOS} {VLSI}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {441--444},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206310},
  doi          = {10.1109/ISCAS.2003.1206310},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZA03,
  author       = {Chunhong Chen and
                  Jiang Zhao and
                  Majid Ahmadi},
  title        = {A semi-Gray encoding algorithm for low-power state assignment},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {389--392},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206289},
  doi          = {10.1109/ISCAS.2003.1206289},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengC03,
  author       = {Kuang{-}Fu Cheng and
                  Sau{-}Gee Chen},
  title        = {A low-complexity correlation algorithm},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206261},
  doi          = {10.1109/ISCAS.2003.1206261},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengCW03,
  author       = {Kuo{-}Hsing Cheng and
                  Chung{-}Yu Chang and
                  Chia{-}Hung Wei},
  title        = {A {CMOS} charge pump for sub-2.0 {V} operation},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206194},
  doi          = {10.1109/ISCAS.2003.1206194},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengCW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengJC03,
  author       = {Kuo{-}Hsing Cheng and
                  Shu{-}Yu Jiang and
                  Zong{-}Shen Chen},
  title        = {{BIST} for clock jitter measurements},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {577--580},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206378},
  doi          = {10.1109/ISCAS.2003.1206378},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengJC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengL03,
  author       = {Kuo{-}Hsing Cheng and
                  Yung{-}Hsiang Lin},
  title        = {A dual-pulse-clock double edge triggered flip-flop for low voltage
                  and high speed application},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {425--428},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206304},
  doi          = {10.1109/ISCAS.2003.1206304},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengLC03,
  author       = {Kuo{-}Hsing Cheng and
                  Yang{-}Han Lee and
                  Wei{-}Chun Chang},
  title        = {A new robust handshake for asymmetric asynchronous micro-pipelines},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {209--212},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206233},
  doi          = {10.1109/ISCAS.2003.1206233},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengLC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoCCLK03,
  author       = {K. J. Cho and
                  E. M. Choi and
                  Jin{-}Gyun Chung and
                  M. S. Lim and
                  J. W. Kim},
  title        = {Low-error fixed-width squarer design},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206210},
  doi          = {10.1109/ISCAS.2003.1206210},
  timestamp    = {Fri, 08 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoCCLK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiEF03,
  author       = {Sanghoon Choi and
                  William R. Eisenstadt and
                  Robert M. Fox},
  title        = {Design of programmable embedded {IF} source for design self-test},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {241--244},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206242},
  doi          = {10.1109/ISCAS.2003.1206242},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiEF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChowW03,
  author       = {Hwang{-}Cherng Chow and
                  I{-}Chyn Wey},
  title        = {A 3.3 {V} 1 GHz low-latency pipelined Booth multiplier with new Manchester
                  carry-pass adder},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {121--124},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206205},
  doi          = {10.1109/ISCAS.2003.1206205},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChowW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChuaGC03,
  author       = {Chien{-}Chung Chua and
                  Bah{-}Hwee Gwee and
                  Joseph Sylvester Chang},
  title        = {A low-voltage micropower asynchronous multiplier for a multiplierless
                  {FIR} filter},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {381--384},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206287},
  doi          = {10.1109/ISCAS.2003.1206287},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChuaGC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ContiCFORTV03,
  author       = {Massimo Conti and
                  Paolo Crippa and
                  Francesco Fedecostunte and
                  Simone Orcioni and
                  F. Ricciardi and
                  Claudio Turchetti and
                  Loris Vendrame},
  title        = {A modular test structure for {CMOS} mismatch characterization},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {569--572},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206376},
  doi          = {10.1109/ISCAS.2003.1206376},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ContiCFORTV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CorintoGC03,
  author       = {Fernando Corinto and
                  Marco Gilli and
                  Pier Paolo Civalleri},
  title        = {On dynamic behavior of full range CNNs},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {765--768},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206425},
  doi          = {10.1109/ISCAS.2003.1206425},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CorintoGC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CoulombeGS03,
  author       = {Jonathan Coulombe and
                  Jean{-}Fran{\c{c}}ois Gervais and
                  Mohamad Sawan},
  title        = {A cortical stimulator with monitoring capabilities using a novel 1
                  Mbps {ASK} data link},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206181},
  doi          = {10.1109/ISCAS.2003.1206181},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CoulombeGS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Cristea03,
  author       = {Paul Dan Cristea},
  title        = {Phase analysis of {DNA} genomic signals},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206163},
  doi          = {10.1109/ISCAS.2003.1206163},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Cristea03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DemirciHL03,
  author       = {Turan Demirci and
                  Ilhan Hatirnaz and
                  Yusuf Leblebici},
  title        = {Full-custom {CMOS} realization of a high-performance binary sorting
                  engine with linear area-time complexity},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {453--456},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206314},
  doi          = {10.1109/ISCAS.2003.1206314},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DemirciHL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DeodharD03,
  author       = {Vinita V. Deodhar and
                  Jeffrey A. Davis},
  title        = {Voltage scaling and repeater insertion for high-throughput low-power
                  interconnects},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {349--352},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206277},
  doi          = {10.1109/ISCAS.2003.1206277},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DeodharD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DimitrakopoulosKN03,
  author       = {Giorgos Dimitrakopoulos and
                  Xrysovalantis Kavousianos and
                  Dimitris Nikolos},
  title        = {Virtual-scan: a novel approach for software-based self-testing of
                  microprocessors},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {237--240},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206240},
  doi          = {10.1109/ISCAS.2003.1206240},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DimitrakopoulosKN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DimitrakopoulosVNE03,
  author       = {Giorgos Dimitrakopoulos and
                  Haridimos T. Vergos and
                  Dimitris Nikolos and
                  Costas Efstathiou},
  title        = {A systematic methodology for designing area-time efficient parallel-prefix
                  modulo 2\({}^{\mbox{n}}\) - 1 adders},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {225--228},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206237},
  doi          = {10.1109/ISCAS.2003.1206237},
  timestamp    = {Wed, 28 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DimitrakopoulosVNE03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DogaruDG03,
  author       = {Radu Dogaru and
                  Ioana Dogaru and
                  Manfred Glesner},
  title        = {Compact image compression using simplicial and {ART} neural systems
                  with mixed signal implementations},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {689--692},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206406},
  doi          = {10.1109/ISCAS.2003.1206406},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DogaruDG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ebendt03,
  author       = {R{\"{u}}diger Ebendt},
  title        = {Reducing the number of variable movements in exact {BDD} minimization},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {605--608},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206385},
  doi          = {10.1109/ISCAS.2003.1206385},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ebendt03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EgiazarianASS03,
  author       = {Karen O. Egiazarian and
                  Jaakko Astola and
                  Radomir S. Stankovic and
                  Milena Stankovic},
  title        = {Circuit design from optimal wavelet packet series expressions},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {597--600},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206383},
  doi          = {10.1109/ISCAS.2003.1206383},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EgiazarianASS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-MalehA03,
  author       = {Aiman H. El{-}Maleh and
                  Khaled Al{-}Utaibi},
  title        = {On efficient extraction of partially specified test sets for synchronous
                  sequential circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {545--548},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206346},
  doi          = {10.1109/ISCAS.2003.1206346},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-MalehA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-MoursyF03,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Inductive interconnect width optimization for low power},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {273--276},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206252},
  doi          = {10.1109/ISCAS.2003.1206252},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-MoursyF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ElgamelTB03,
  author       = {Mohamed A. Elgamel and
                  Kannan S. Tharmalingam and
                  Magdy A. Bayoumi},
  title        = {Noise-constrained interconnect optimization for nanometer technologies},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {481--484},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206323},
  doi          = {10.1109/ISCAS.2003.1206323},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ElgamelTB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EnomotoE03,
  author       = {Toshifumi Enomoto and
                  Tomohito Ei},
  title        = {Low-power {CMOS} circuit techniques for motion estimators},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {409--412},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206299},
  doi          = {10.1109/ISCAS.2003.1206299},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EnomotoE03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ErdoganA03,
  author       = {Ahmet T. Erdogan and
                  Tughrul Arslan},
  title        = {Low power block based {FIR} filtering cores},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {341--344},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206274},
  doi          = {10.1109/ISCAS.2003.1206274},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ErdoganA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanT03,
  author       = {Yu{-}Cheng Fan and
                  Hen{-}Wai Tsao},
  title        = {Watermarking based {IP} core protection},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206225},
  doi          = {10.1109/ISCAS.2003.1206225},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FangC03,
  author       = {Jyh Perng Fang and
                  Sao{-}Jie Chen},
  title        = {Tile-graph-based power planning},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {501--504},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206331},
  doi          = {10.1109/ISCAS.2003.1206331},
  timestamp    = {Mon, 01 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FangC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FarooquiOS03,
  author       = {Aamir A. Farooqui and
                  Vojin G. Oklobdzija and
                  Sadiq M. Sait},
  title        = {Area-time optimal adder with relative placement generator},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206211},
  doi          = {10.1109/ISCAS.2003.1206211},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FarooquiOS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FarzanJ03a,
  author       = {Kamran Farzan and
                  David A. Johns},
  title        = {A low-complexity power-efficient signaling scheme for chip-to-chip
                  communication},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {77--80},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206189},
  doi          = {10.1109/ISCAS.2003.1206189},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FarzanJ03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FleuryM03,
  author       = {Patrice Fleury and
                  Alan F. Murray},
  title        = {Mixed-signal {VLSI} implementation of the Products of Experts' contrastive
                  divergence learning scheme},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {653--656},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206397},
  doi          = {10.1109/ISCAS.2003.1206397},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FleuryM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FreyJSPHSHKKAGST03,
  author       = {Alexander Frey and
                  Martin Jenkner and
                  Meinrad Schienle and
                  Christian Paulus and
                  Birgit Holzapfl and
                  Petra Schindler{-}Bauer and
                  Franz Hofmann and
                  Dirk Kuhlmeier and
                  J{\"{u}}rgen Krause and
                  J{\"{o}}rg Albers and
                  Walter Gumbrecht and
                  Doris Schmitt{-}Landsiedel and
                  Roland Thewes},
  title        = {Design of an integrated potentiostat circuit for {CMOS} bio sensor
                  chips},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206159},
  doi          = {10.1109/ISCAS.2003.1206159},
  timestamp    = {Mon, 18 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FreyJSPHSHKKAGST03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FujinoM03,
  author       = {Masayoshi Fujino and
                  Vasily G. Moshnyaga},
  title        = {Dynamic operand transformation for low-power multiplier-accumulator
                  design},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {345--348},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206276},
  doi          = {10.1109/ISCAS.2003.1206276},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FujinoM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GerosaN03,
  author       = {Andrea Gerosa and
                  Andrea Neviani},
  title        = {A very low-power 8-bit Sigma-Delta converter in a 0.8{\(\mathrm{\mu}\)}m
                  {CMOS} technology for the sensing chain of a cardiac pacemaker, operating
                  down to 1.8 {V}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206172},
  doi          = {10.1109/ISCAS.2003.1206172},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GerosaN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhovanlooN03,
  author       = {Maysam Ghovanloo and
                  Khalil Najafi},
  title        = {A high-rate frequency shift keying demodulator chip for wireless biomedical
                  implants},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {45--48},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206170},
  doi          = {10.1109/ISCAS.2003.1206170},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhovanlooN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GilliCC03,
  author       = {Marco Gilli and
                  Paolo Checco and
                  Fernando Corinto},
  title        = {Periodic orbits and bifurcations in one-dimensional arrays of Chua's
                  circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {781--784},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206429},
  doi          = {10.1109/ISCAS.2003.1206429},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GilliCC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GiustolisiR03,
  author       = {Gianluca Giustolisi and
                  Alessandro Rizzo},
  title        = {{CMOS} implementation of an extended {CNN} cell to deal with complex
                  dynamics},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {761--764},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206424},
  doi          = {10.1109/ISCAS.2003.1206424},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GiustolisiR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GrosseD03,
  author       = {Daniel Gro{\ss}e and
                  Rolf Drechsler},
  title        = {Formal verification of {LTL} formulas for SystemC designs},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {245--248},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206243},
  doi          = {10.1109/ISCAS.2003.1206243},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GrosseD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuC03,
  author       = {Jiangmin Gu and
                  Chip{-}Hong Chang},
  title        = {Ultra low voltage, low power 4-2 compressor for high speed multiplications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206267},
  doi          = {10.1109/ISCAS.2003.1206267},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoCL03,
  author       = {Jiun{-}In Guo and
                  Chih{-}Da Chien and
                  Chien{-}Chang Lin},
  title        = {A parameterized low power design for the variable-length discrete
                  Fourier transform using dynamic pipelining},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206259},
  doi          = {10.1109/ISCAS.2003.1206259},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaddadGHS03,
  author       = {Sandro A. P. Haddad and
                  Sebastian Gieltjes and
                  Richard Houben and
                  Wouter A. Serdijn},
  title        = {An ultra low-power dynamic translinear cardiac sense amplifier for
                  pacemakers},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206168},
  doi          = {10.1109/ISCAS.2003.1206168},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaddadGHS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hadjicostis03a,
  author       = {Christoforos N. Hadjicostis},
  title        = {Aliasing probability calculations in nonlinear compactors},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {529--532},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206340},
  doi          = {10.1109/ISCAS.2003.1206340},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hadjicostis03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hasan03a,
  author       = {Mohammed A. Hasan},
  title        = {Algorithms for computating principal and minor invariant subspaces
                  of large matrices},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {669--672},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206401},
  doi          = {10.1109/ISCAS.2003.1206401},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hasan03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HasanA03a,
  author       = {Mohd. Hasan and
                  Tughrul Arslan},
  title        = {A triple port {RAM} based low power commutator architecture for a
                  pipelined {FFT} processor},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {353--356},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206278},
  doi          = {10.1109/ISCAS.2003.1206278},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HasanA03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HassanpourMB03,
  author       = {Hamid Hassanpour and
                  Mostefa Mesbah and
                  Boualem Boashash},
  title        = {Enhanced time-frequency features for neonatal {EEG} seizure detection},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206165},
  doi          = {10.1109/ISCAS.2003.1206165},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HassanpourMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeTS03,
  author       = {Yigang He and
                  Yanghong Tan and
                  Yichuang Sun},
  title        = {Class-based neural network method for fault location of large-scale
                  analogue circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {733--736},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206417},
  doi          = {10.1109/ISCAS.2003.1206417},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeTS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HentschkeR03,
  author       = {Renato Fernandes Hentschke and
                  Ricardo Reis},
  title        = {Plic-Plac: a novel constructive algorithm for placement},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {461--464},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206317},
  doi          = {10.1109/ISCAS.2003.1206317},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HentschkeR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hikawa03,
  author       = {Hiroomi Hikawa},
  title        = {Pulse mode neuron with leakage integrator and additive random noise},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {821--824},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206439},
  doi          = {10.1109/ISCAS.2003.1206439},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hikawa03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HiroseN03,
  author       = {Akira Hirose and
                  Kazuhiko Nakazawa},
  title        = {Analog continuous-time recurrent decision circuit with high signal-voltage
                  symmetry and delay-time equality},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {657--660},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206398},
  doi          = {10.1109/ISCAS.2003.1206398},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HiroseN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HomburgP03,
  author       = {Felix Homburg and
                  Rogelio Palomera{-}Garcia},
  title        = {A high speed scalable and reconfigurable fuzzy controller},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {797--800},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206433},
  doi          = {10.1109/ISCAS.2003.1206433},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HomburgP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HommaAMH03,
  author       = {Naofumi Homma and
                  Takafumi Aoki and
                  Makoto Motegi and
                  Tatsuo Higuchi},
  title        = {A framework of evolutionary graph generation system and its application
                  to circuit synthesis},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206231},
  doi          = {10.1109/ISCAS.2003.1206231},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HommaAMH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HongE03,
  author       = {D. S. Hong and
                  Mourad N. El{-}Gamal},
  title        = {Low operating voltage and short settling time {CMOS} charge pump for
                  {MEMS} applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {281--284},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206254},
  doi          = {10.1109/ISCAS.2003.1206254},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HongE03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiaoMC03,
  author       = {Ming{-}Fu Hsiao and
                  Malgorzata Marek{-}Sadowska and
                  Sao{-}Jie Chen},
  title        = {A crosstalk aware two-pin net router},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {485--488},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206325},
  doi          = {10.1109/ISCAS.2003.1206325},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiaoMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiaoMC03a,
  author       = {Ming{-}Fu Hsiao and
                  Malgorzata Marek{-}Sadowska and
                  Sao{-}Jie Chen},
  title        = {Minimizing coupling jitter by buffer resizing for coupled clock networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {509--512},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206333},
  doi          = {10.1109/ISCAS.2003.1206333},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiaoMC03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HyjazieW03,
  author       = {I. M. Hyjazie and
                  Chunyan Wang},
  title        = {An approach for improving the speed of content addressable memories},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206223},
  doi          = {10.1109/ISCAS.2003.1206223},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HyjazieW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IersselESP03,
  author       = {Marcus van Ierssel and
                  Tooraj Esmailian and
                  Ali Sheikholeslami and
                  P. S. Pasupathy},
  title        = {Signaling capacity of {FR4} {PCB} traces for chip-to-chip communication},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {85--88},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206192},
  doi          = {10.1109/ISCAS.2003.1206192},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IersselESP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ImR03,
  author       = {Yonghee Im and
                  Kaushik Roy},
  title        = {A logic-aware layout methodology to enhance the noise immunity of
                  domino circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {637--640},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206393},
  doi          = {10.1109/ISCAS.2003.1206393},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ImR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Jang03,
  author       = {Sei Hyung Jang},
  title        = {A new synchronous mirror delay with an auto-skew-generation circuit},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {397--400},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206294},
  doi          = {10.1109/ISCAS.2003.1206294},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Jang03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JigangS03,
  author       = {Wu Jigang and
                  Thambipillai Srikanthan},
  title        = {Partial rerouting algorithm for reconfigurable {VLSI} arrays},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {641--644},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206394},
  doi          = {10.1109/ISCAS.2003.1206394},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JigangS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JinnoTYH03,
  author       = {Kenya Jin'no and
                  Hiroshi Taguchi and
                  Takao Yamamoto and
                  Haruo Hirose},
  title        = {Dynamical hysteresis neural networks for graph coloring problem},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {737--740},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206418},
  doi          = {10.1109/ISCAS.2003.1206418},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JinnoTYH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JulianAMG03,
  author       = {Pedro Juli{\'{a}}n and
                  Andreas G. Andreou and
                  Pablo Sergio Mandolesi and
                  David H. Goldberg},
  title        = {A low-power {CMOS} integrated circuit for bearing estimation},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {305--308},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206263},
  doi          = {10.1109/ISCAS.2003.1206263},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JulianAMG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JungCL03,
  author       = {Eun{-}Gu Jung and
                  Byung{-}Soo Choi and
                  Dong{-}Ik Lee},
  title        = {High performance asynchronous bus for SoC},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {505--508},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206332},
  doi          = {10.1109/ISCAS.2003.1206332},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JungCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KanekoO03,
  author       = {Mineo Kaneko and
                  Kazuaki Oshio},
  title        = {Fault tolerant datapath based on algorithm redundancy and vote-writeback
                  mechanism},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {645--648},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206395},
  doi          = {10.1109/ISCAS.2003.1206395},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KanekoO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KashyapBK03,
  author       = {Harish K. Kashyap and
                  Bansilal and
                  P. Arun Koushik},
  title        = {Hybrid neural network architecture for age identification of ancient
                  Kannada scripts},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {661--664},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206399},
  doi          = {10.1109/ISCAS.2003.1206399},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KashyapBK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KerT03,
  author       = {Ming{-}Dou Ker and
                  Chia{-}Sheng Tsai},
  title        = {Design of 2.5 {V/5} {V} mixed-voltage {CMOS} {I/O} buffer with only
                  thin oxide device and dynamic N-well bias circuit},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {97--100},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206197},
  doi          = {10.1109/ISCAS.2003.1206197},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KerT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimC03,
  author       = {Hojun Kim and
                  Jin{-}Gyun Chung},
  title        = {Minimizing switching activity in input word by offset and its low
                  power applications for {FIR} filters},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206260},
  doi          = {10.1109/ISCAS.2003.1206260},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimHSRW03,
  author       = {Hyongsuk Kim and
                  Seungwan Hong and
                  Hongrak Son and
                  Tam{\'{a}}s Roska and
                  Frank S. Werblin},
  title        = {High speed road boundary detection on the images for autonomous vehicle
                  with the multi-layer {CNN}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {769--772},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206426},
  doi          = {10.1109/ISCAS.2003.1206426},
  timestamp    = {Mon, 08 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KimHSRW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimK03b,
  author       = {Byoung{-}Woon Kim and
                  Chong{-}Min Kyung},
  title        = {System-on-Chip design using intellectual properties with imprecise
                  design costs},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {625--628},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206390},
  doi          = {10.1109/ISCAS.2003.1206390},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimK03b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimKCL03,
  author       = {Wonjong Kim and
                  Seungchul Kim and
                  Hanjin Cho and
                  Kwang{-}youb Lee},
  title        = {A fast-serial finite field multiplier without increasing the number
                  of registers},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206216},
  doi          = {10.1109/ISCAS.2003.1206216},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimKCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimYCB03,
  author       = {Nam{-}Seog Kim and
                  Yong{-}Jin Yoon and
                  Uk{-}Rae Cho and
                  Hyun{-}Geun Byun},
  title        = {New dynamic logic-level converters for high performance application},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {93--96},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206195},
  doi          = {10.1109/ISCAS.2003.1206195},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimYCB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KioCS03,
  author       = {Su Kio and
                  Kian Haur Chong and
                  Carl Sechen},
  title        = {A low power delayed-clocks generation and distribution system},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {445--448},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206311},
  doi          = {10.1109/ISCAS.2003.1206311},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KioCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KouretasP03,
  author       = {Ioannis Kouretas and
                  Vassilis Paliouras},
  title        = {High-radix redundant circuits for {RNS} modulo r\({}^{\mbox{n}}\)-1,
                  r\({}^{\mbox{n}}\), or r\({}^{\mbox{n}}\)+1},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {229--232},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206238},
  doi          = {10.1109/ISCAS.2003.1206238},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KouretasP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KwonKH03,
  author       = {Soonhak Kwon and
                  Chang Hoon Kim and
                  Chun Pyo Hong},
  title        = {A systolic multiplier with {LSB} first algorithm over GF(2\({}^{\mbox{m}}\))
                  which is as efficient as the one with {MSB} first algorithm},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {633--636},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206392},
  doi          = {10.1109/ISCAS.2003.1206392},
  timestamp    = {Wed, 28 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KwonKH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LahtinenSKH03,
  author       = {Vesa Lahtinen and
                  Erno Salminen and
                  Kimmo Kuusilinna and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Comparison of synthesized bus and crossbar interconnection architectures},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {433--436},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206307},
  doi          = {10.1109/ISCAS.2003.1206307},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LahtinenSKH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LamCS03,
  author       = {Siew Kei Lam and
                  Devendra K. Chaudhaiy and
                  Thambipillai Srikanthan},
  title        = {Low cost logarithmic techniques for high-precision computations},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {125--128},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206206},
  doi          = {10.1109/ISCAS.2003.1206206},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LamCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LamT03,
  author       = {Hing{-}mo Lam and
                  Chi{-}Ying Tsui},
  title        = {High performance and low power completion detection circuit},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {405--408},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206297},
  doi          = {10.1109/ISCAS.2003.1206297},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LamT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeLC03a,
  author       = {Shuenn{-}Yuh Lee and
                  Shyh{-}Chyang Lee and
                  Jia{-}Jin Jason Chen},
  title        = {{VLSI} implementation of wireless bi-directional communication circuits
                  for micro-stimulator},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206182},
  doi          = {10.1109/ISCAS.2003.1206182},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeLC03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeungCCP03,
  author       = {Pak{-}Keung Leung and
                  Chiu{-}sing Choy and
                  Cheong{-}Fat Chan and
                  Kong{-}Pang Pun},
  title        = {A low power asynchronous GF(2\({}^{\mbox{173}}\)) {ALU} for elliptic
                  curve crypto-processor},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {337--340},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206273},
  doi          = {10.1109/ISCAS.2003.1206273},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeungCCP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeungTK03,
  author       = {Lap{-}Fai Leung and
                  Chi{-}Ying Tsui and
                  Wing{-}Hung Ki},
  title        = {Simultaneous task allocation, scheduling and voltage assignment for
                  multiple-processors-core systems using mixed integer nonlinear programming},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206264},
  doi          = {10.1109/ISCAS.2003.1206264},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeungTK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lima03,
  author       = {Jader A. De Lima},
  title        = {An active leakage-injection scheme applied to low-voltage SRAMs},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {369--372},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206284},
  doi          = {10.1109/ISCAS.2003.1206284},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lima03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCL03,
  author       = {Chi{-}Sheng Lin and
                  Kuan{-}Hua Chen and
                  Bin{-}Da Liu},
  title        = {Low-power and low-voltage fully parallel content-addressable memory},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {373--376},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206285},
  doi          = {10.1109/ISCAS.2003.1206285},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinYJ03,
  author       = {Tay{-}Jyi Lin and
                  Tsung{-}Hsun Yang and
                  Chein{-}Wei Jen},
  title        = {Area-effective {FIR} filter design for multiplier-less implementation},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {173--176},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206222},
  doi          = {10.1109/ISCAS.2003.1206222},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinYJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Liu03a,
  author       = {Shih{-}Chii Liu},
  title        = {A wide-field direction-selective aVLSI spiking neuron},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {829--832},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206441},
  doi          = {10.1109/ISCAS.2003.1206441},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Liu03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LodiCCT03,
  author       = {Andrea Lodi and
                  Carlo Chiesa and
                  Fabio Campi and
                  Mario Toma},
  title        = {A flexible LUT-based carry chain for FPGAs},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206209},
  doi          = {10.1109/ISCAS.2003.1206209},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LodiCCT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuBSSZS03,
  author       = {Timothy Kuan{-}Ta Lu and
                  Michael W. Baker and
                  Christopher D. Salthouse and
                  Ji{-}Jon Sit and
                  Serhii M. Zhak and
                  Rahul Sarpeshkar},
  title        = {A micropower analog {VLSI} processing channel for bionic ears and
                  speech-recognition front ends},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {41--44},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206169},
  doi          = {10.1109/ISCAS.2003.1206169},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuBSSZS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuCWCH03,
  author       = {Shyue{-}Kung Lu and
                  Jian{-}Long Chen and
                  Cheng{-}Wen Wu and
                  Ken{-}Feng Chang and
                  Shi{-}Yu Huang},
  title        = {Combinational circuit fault diagnosis using logic emulation},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {549--552},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206347},
  doi          = {10.1109/ISCAS.2003.1206347},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuCWCH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuchettaSV03,
  author       = {Antonio Luchetta and
                  Carmine Serio and
                  M. Viggiano},
  title        = {A neural network to retrieve atmospheric parameters from infrared
                  high resolution sensor spectra},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {745--748},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206420},
  doi          = {10.1109/ISCAS.2003.1206420},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuchettaSV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LumpinenPV03,
  author       = {Harri Lampinen and
                  Pauli Per{\"{a}}l{\"{a}} and
                  Olli Vainio},
  title        = {Design of a self-timed asynchronous parallel {FIR} filter using {CSCD}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206219},
  doi          = {10.1109/ISCAS.2003.1206219},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LumpinenPV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaHDCCCG03,
  author       = {Yuchun Ma and
                  Xianlong Hong and
                  Sheqin Dong and
                  Yici Cai and
                  Song Chen and
                  Chung{-}Kuan Cheng and
                  Jun Gu},
  title        = {Arbitrary convex and concave rectilinear block packing based on corner
                  block list},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {493--496},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206328},
  doi          = {10.1109/ISCAS.2003.1206328},
  timestamp    = {Thu, 30 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaHDCCCG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaalejGAP03,
  author       = {Issam Maalej and
                  Guy Gogniat and
                  Mohamed Abid and
                  Jean Luc Philippe},
  title        = {Interface design approach for system on chip based on configuration},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {593--596},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206382},
  doi          = {10.1109/ISCAS.2003.1206382},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaalejGAP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaciiMP03,
  author       = {Alberto Macii and
                  Enrico Macii and
                  Massimo Poncino},
  title        = {Increasing the locality of memory access patterns by low-overhead
                  hardware address relocation},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {385--388},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206288},
  doi          = {10.1109/ISCAS.2003.1206288},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaciiMP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MahmoudI03,
  author       = {Noha H. Mahmoud and
                  Yehea I. Ismail},
  title        = {Accurate rise time and overshoots estimation in {RLC} interconnects},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {477--480},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206322},
  doi          = {10.1109/ISCAS.2003.1206322},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MahmoudI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Maier03,
  author       = {Klaus D. Maier},
  title        = {On-chip debug support for embedded Systems-on-Chip},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {565--568},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206375},
  doi          = {10.1109/ISCAS.2003.1206375},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Maier03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MansourM03,
  author       = {Mohammad M. Mansour and
                  Amit Mehrotra},
  title        = {Efficient core designs based on parameterized macrocells with accurate
                  delay models},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {517--520},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206336},
  doi          = {10.1109/ISCAS.2003.1206336},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MansourM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MardhanaI03,
  author       = {Ewan Mardhana and
                  Tohru Ikeguchi},
  title        = {Neurosearch: a program library for neural network driven search meta-heuristics},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {697--700},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206408},
  doi          = {10.1109/ISCAS.2003.1206408},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MardhanaI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaruvadaKASB03,
  author       = {Sarat C. Maruvada and
                  Karthik Krishnamoorthy and
                  Subodh Annojvala and
                  Florin Balasa},
  title        = {Placement with symmetry constraints for analog layout using red-black
                  trees},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {489--492},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206326},
  doi          = {10.1109/ISCAS.2003.1206326},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaruvadaKASB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Matei03,
  author       = {Radu P. Matei},
  title        = {Cellular neural networks with second-order cells and their pattern
                  forming properties},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {773--776},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206427},
  doi          = {10.1109/ISCAS.2003.1206427},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Matei03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeesadY03,
  author       = {Phayung Meesad and
                  Gary G. Yen},
  title        = {Fuzzy temporal representation and reasoning},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {789--792},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206431},
  doi          = {10.1109/ISCAS.2003.1206431},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeesadY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MezhibaF03,
  author       = {Andrey V. Mezhiba and
                  Eby G. Friedman},
  title        = {Electrical characteristics of multi-layer power distribution grids},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {473--476},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206321},
  doi          = {10.1109/ISCAS.2003.1206321},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MezhibaF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohantyRC03,
  author       = {Saraju P. Mohanty and
                  N. Ranganathan and
                  Sunil K. Chappidi},
  title        = {An ILP-based scheduling scheme for energy efficient high performance
                  datapath synthesis},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206265},
  doi          = {10.1109/ISCAS.2003.1206265},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohantyRC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoonP03,
  author       = {Sang{-}Chul Moon and
                  In{-}Cheol Park},
  title        = {Area-efficient memory-based architecture for {FFT} processing},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206198},
  doi          = {10.1109/ISCAS.2003.1206198},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoonP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MorlingKMC03,
  author       = {Richard C. S. Morling and
                  Izzet Kale and
                  S. J. Morris and
                  F. Custode},
  title        = {{DSP} engine for ultra-low-power audio applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {357--360},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206279},
  doi          = {10.1109/ISCAS.2003.1206279},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MorlingKMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MullikenNBCT03,
  author       = {G. Mulliken and
                  Mihir Naware and
                  A. Bandyopadhyay and
                  Gert Cauwenberghs and
                  Nitish V. Thakor},
  title        = {Distributed neurochemical sensing: in vitro experiments},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206160},
  doi          = {10.1109/ISCAS.2003.1206160},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MullikenNBCT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MusaC03,
  author       = {Faisal A. Musa and
                  Anthony Chan Carusone},
  title        = {Clock recovery in high-speed multilevel serial links},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {449--452},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206313},
  doi          = {10.1109/ISCAS.2003.1206313},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MusaC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NannarelliCR03,
  author       = {Alberto Nannarelli and
                  Gian Carlo Cardarilli and
                  Marco Re},
  title        = {Power-delay tradeoffs in residue number system},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {413--416},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206300},
  doi          = {10.1109/ISCAS.2003.1206300},
  timestamp    = {Thu, 11 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NannarelliCR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NaroskaRLSL03,
  author       = {Edwin Naroska and
                  Shanq{-}Jang Ruan and
                  Feipei Lai and
                  Uwe Schwiegelshohn and
                  Le{-}Chin Liu},
  title        = {On optimizing power and crosstalk for bus coupling capacitance using
                  genetic algorithms},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {277--280},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206253},
  doi          = {10.1109/ISCAS.2003.1206253},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NaroskaRLSL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NgML03,
  author       = {H. S. Ng and
                  Sui{-}Tung Mak and
                  Kai{-}Pui Lam},
  title        = {Field programmable gate arrays and analog implementation of {BRIN}
                  for optimization problems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206188},
  doi          = {10.1109/ISCAS.2003.1206188},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NgML03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NikolosNVE03,
  author       = {Dimitris G. Nikolos and
                  Dimitris Nikolos and
                  Haridimos T. Vergos and
                  Costas Efstathiou},
  title        = {Efficient {BIST} schemes for {RNS} datapaths},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {573--576},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206377},
  doi          = {10.1109/ISCAS.2003.1206377},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NikolosNVE03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OgielaT03,
  author       = {Marek R. Ogiela and
                  Ryszard Tadeusiewicz},
  title        = {Visual signal processing and image understanding in biomedical systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206161},
  doi          = {10.1109/ISCAS.2003.1206161},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OgielaT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OkadaYO03,
  author       = {Ken{-}ichi Okada and
                  Kento Yamaoka and
                  Hidetoshi Onodera},
  title        = {Statistical modeling of gate-delay variation with consideration of
                  intra-gate variability},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {513--516},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206335},
  doi          = {10.1109/ISCAS.2003.1206335},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OkadaYO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OlletaJCG03,
  author       = {Beatriz Olleta and
                  Lance Juffer and
                  Degang Chen and
                  Randall L. Geiger},
  title        = {A deterministic dynamic element matching approach to {ADC} testing},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {533--536},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206341},
  doi          = {10.1109/ISCAS.2003.1206341},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OlletaJCG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OlssonN03,
  author       = {Thomas Olsson and
                  Peter Nilsson},
  title        = {A digitally controlled {PLL} for digital SOCs},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {437--440},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206308},
  doi          = {10.1109/ISCAS.2003.1206308},
  timestamp    = {Thu, 21 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OlssonN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OmeniT03,
  author       = {Okundu C. Omeni and
                  Chris Toumazou},
  title        = {A {CMOS} micro-power wideband data/power transfer system for biomedical
                  implants},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {61--64},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206184},
  doi          = {10.1109/ISCAS.2003.1206184},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OmeniT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OpasjumruskitW03,
  author       = {Karn Opasjumruskit and
                  Naiyavudhi Wongkomet},
  title        = {A {CMOS} current-to-LCD interface for portable amperometric sensing
                  systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206185},
  doi          = {10.1109/ISCAS.2003.1206185},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OpasjumruskitW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OzcanAT03,
  author       = {Neyir Ozcan and
                  Sabri Arik and
                  Vedat Tavsanoglu},
  title        = {New criteria for the existence of stable equilibrium points in nonsymmetric
                  cellular neural networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {753--756},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206422},
  doi          = {10.1109/ISCAS.2003.1206422},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OzcanAT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PadureCV03,
  author       = {Marius Padure and
                  Sorin Cotofana and
                  Stamatis Vassiliadis},
  title        = {Design and experimental results of a {CMOS} flip-flop featuring embedded
                  threshold logic},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {253--256},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206246},
  doi          = {10.1109/ISCAS.2003.1206246},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PadureCV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PandeGIS03,
  author       = {Partha Pratim Pande and
                  Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {Design of a switch for network on chip applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206235},
  doi          = {10.1109/ISCAS.2003.1206235},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PandeGIS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanichpattanakulB03,
  author       = {Wasimon Panichpattanakul and
                  Watit Benjapolakul},
  title        = {Fuzzy power control with weighting function in {DS-CDMA} cellular
                  mobile communication system},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {785--788},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206430},
  doi          = {10.1109/ISCAS.2003.1206430},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanichpattanakulB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanisLGN03,
  author       = {Christian Panis and
                  Raimund Leitner and
                  Herbert Gr{\"{u}}nbacher and
                  Jari Nurmi},
  title        = {xLIW - a scaleable long instruction word},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206186},
  doi          = {10.1109/ISCAS.2003.1206186},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanisLGN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkP03,
  author       = {Seong{-}Il Park and
                  In{-}Cheol Park},
  title        = {History-based memory mode prediction for improving memory performance},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {185--188},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206226},
  doi          = {10.1109/ISCAS.2003.1206226},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParthasarathyJKPCG03,
  author       = {Kumar L. Parthasarathy and
                  Le Jin and
                  Turker Kuyel and
                  Dana Price and
                  Degang Chen and
                  Randall L. Geiger},
  title        = {Experimental evaluation and validation of a {BIST} algorithm for characterization
                  of {A/D} converter performance},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {537--540},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206343},
  doi          = {10.1109/ISCAS.2003.1206343},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParthasarathyJKPCG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PerenzoniGN03,
  author       = {Matteo Perenzoni and
                  Andrea Gerosa and
                  Andrea Neviani},
  title        = {Analog {CMOS} implementation of Gallager's iterative decoding algorithm
                  applied to a block turbo code},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {813--816},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206437},
  doi          = {10.1109/ISCAS.2003.1206437},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PerenzoniGN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PhimoltaresLC03,
  author       = {Suphakant Phimoltares and
                  Chidchanok Lursinsap and
                  Kosin Chamnongthai},
  title        = {Tight bounded localization of facial features with color and rotational
                  independence},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {809--812},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206436},
  doi          = {10.1109/ISCAS.2003.1206436},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PhimoltaresLC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PoikonenP03,
  author       = {Jonne Poikonen and
                  Ari Paasio},
  title        = {An area-efficient full-wave current rectifier for analog array processing},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {757--760},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206423},
  doi          = {10.1109/ISCAS.2003.1206423},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PoikonenP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PylarinosP03,
  author       = {Louie Pylarinos and
                  Khoman Phang},
  title        = {Analysis of output ripple in multi-phase clocked charge pumps},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {285--288},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206256},
  doi          = {10.1109/ISCAS.2003.1206256},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PylarinosP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaahemifarA03,
  author       = {Kaamran Raahemifar and
                  Majid Ahmadi},
  title        = {A new initialization technique for asynchronous circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {581--584},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206379},
  doi          = {10.1109/ISCAS.2003.1206379},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaahemifarA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaicharoenLS03,
  author       = {Thanapant Raicharoen and
                  Chidchanok Lursinsap and
                  Paron Sanguanbhokai},
  title        = {Application of critical support vector machine to time series prediction},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {741--744},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206419},
  doi          = {10.1109/ISCAS.2003.1206419},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaicharoenLS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RashidzadehAM03,
  author       = {Rashid Rashidzadeh and
                  Majid Ahmadi and
                  William C. Miller},
  title        = {A tester-on-chip implementation in 0.18{\(\mathrm{\mu}\)} {CMOS} utilizing
                  a {MEMS} interface},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {561--564},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206374},
  doi          = {10.1109/ISCAS.2003.1206374},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RashidzadehAM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SafariEJ03,
  author       = {Saeed Safari and
                  Hadi Esmaeilzadeh and
                  Amir{-}Hossein Jahangir},
  title        = {A novel improvement technique for high-level test synthesis},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {609--612},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206386},
  doi          = {10.1109/ISCAS.2003.1206386},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SafariEJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaitEA03,
  author       = {Sadiq M. Sait and
                  Aiman H. El{-}Maleh and
                  Rush H. Al{-}Abuji},
  title        = {Simulated evolution algorithm for multiobjective {VLSI} netlist bi-partitioning},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {457--460},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206315},
  doi          = {10.1109/ISCAS.2003.1206315},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaitEA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaitEA03a,
  author       = {Sadiq M. Sait and
                  Aiman H. El{-}Maleh and
                  Raslan H. Al{-}Abaji},
  title        = {General iterative heuristics for {VLSI} multiobjective partitioning},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {497--500},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206329},
  doi          = {10.1109/ISCAS.2003.1206329},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaitEA03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaitoKISNN03,
  author       = {Hiroshi Saito and
                  Euiseok Kim and
                  Masashi Imai and
                  Nattha Sretasereekul and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {Control signal sharing of asynchronous circuits using datapath delay
                  information},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {617--620},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206388},
  doi          = {10.1109/ISCAS.2003.1206388},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaitoKISNN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaubhayanaN03,
  author       = {M. Saubhayana and
                  R. W. Newcomb},
  title        = {Synthesis for symmetric weight matrices of neural networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {677--680},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206403},
  doi          = {10.1109/ISCAS.2003.1206403},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaubhayanaN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sedaghat03,
  author       = {Reza Sedaghat},
  title        = {A fast algorithm to reduce 2-dimensional assignment problems to 1-dimensional
                  assignment problems for FPGA-based fault simulation},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {213--216},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206234},
  doi          = {10.1109/ISCAS.2003.1206234},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Sedaghat03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahirCA03,
  author       = {Shahed Shahir and
                  Xiang Chen and
                  Majid Ahmadi},
  title        = {Fuzzy Associative Database for multiple planar object recognition},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {805--808},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206435},
  doi          = {10.1109/ISCAS.2003.1206435},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahirCA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaoZSC03,
  author       = {Zili Shao and
                  Qingfeng Zhuge and
                  Edwin Hsing{-}Mean Sha and
                  Chantana Chantrapornchai},
  title        = {Loop scheduling for minimizing schedule length and switching activities},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {109--112},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206201},
  doi          = {10.1109/ISCAS.2003.1206201},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaoZSC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenZT03,
  author       = {Meigen Shen and
                  Li{-}Rong Zheng and
                  Hannu Tenhunen},
  title        = {Case study of cost and performance trade-off analysis for mixed-signal
                  integration in system-on-chip},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {585--588},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206380},
  doi          = {10.1109/ISCAS.2003.1206380},
  timestamp    = {Thu, 04 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenZT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheuSLS03,
  author       = {Meng Lieh Sheu and
                  Tai Ping Sun and
                  Chi Wen Lu and
                  Mon Chau Shie},
  title        = {The fault detection of cross-check test scheme for infrared {FPA}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {553--556},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206372},
  doi          = {10.1109/ISCAS.2003.1206372},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SheuSLS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShimX03,
  author       = {Jeong{-}Yon Shim and
                  Lei Xu},
  title        = {Medical data mining model for oriental medicine via {BYY} Binary Independent
                  Factor Analysis},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {717--720},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206413},
  doi          = {10.1109/ISCAS.2003.1206413},
  timestamp    = {Sun, 14 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShimX03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinCK03,
  author       = {Sang{-}Dae Shin and
                  Hun Choi and
                  Bai{-}Sun Kong},
  title        = {Variable sampling window flip-flop for low-power application},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {257--260},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206247},
  doi          = {10.1109/ISCAS.2003.1206247},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinCK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SiegmundM03,
  author       = {Robert Siegmund and
                  Dietmar M{\"{u}}ller},
  title        = {Efficient modeling and synthesis of on-chip communication protocols
                  for network-on-chip design},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {81--84},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206191},
  doi          = {10.1109/ISCAS.2003.1206191},
  timestamp    = {Sat, 04 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SiegmundM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SklavoK03,
  author       = {Nicolas Sklavos and
                  Odysseas G. Koufopavlou},
  title        = {On the hardware implementations of the {SHA-2} (256, 384, 512) hash
                  functions},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {153--156},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206214},
  doi          = {10.1109/ISCAS.2003.1206214},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SklavoK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Soderstrand03,
  author       = {Michael A. Soderstrand},
  title        = {{CSD} multipliers for {FPGA} {DSP} applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {469--472},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206319},
  doi          = {10.1109/ISCAS.2003.1206319},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Soderstrand03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SoudrisSTPT03,
  author       = {Dimitrios Soudris and
                  K. Sgouropoulos and
                  Konstantinos Tatas and
                  Vasilis F. Pavlidis and
                  Adonios Thanailakis},
  title        = {A methodology for implementing {FIR} filters and {CAD} tool development
                  for designing RNS-based systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {129--132},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206208},
  doi          = {10.1109/ISCAS.2003.1206208},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SoudrisSTPT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SquartiniHP03,
  author       = {Stefano Squartini and
                  Amir Hussain and
                  Francesco Piazza},
  title        = {Preprocessing based solution for the vanishing gradient problem in
                  recurrent neural networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {713--716},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206412},
  doi          = {10.1109/ISCAS.2003.1206412},
  timestamp    = {Tue, 25 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SquartiniHP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SretasereekulSIKOTNN03,
  author       = {Nattha Sretasereekul and
                  Hiroshi Saito and
                  Masashi Imai and
                  Euiseok Kim and
                  Metehan {\"{O}}zcan and
                  K. Thongnoo and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {A zero-time-overhead asynchronous four-phase controller},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206232},
  doi          = {10.1109/ISCAS.2003.1206232},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SretasereekulSIKOTNN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StanB03,
  author       = {Mircea R. Stan and
                  Marco Barcella},
  title        = {{MTCMOS} with outer feedback {(MTOF)} flip-flops},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {429--432},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206305},
  doi          = {10.1109/ISCAS.2003.1206305},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StanB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StarzykL03,
  author       = {Janusz A. Starzyk and
                  Tsun{-}Ho Liu},
  title        = {Design of a Self-Organizing Learning Array system},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {801--804},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206434},
  doi          = {10.1109/ISCAS.2003.1206434},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StarzykL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SulistyoH03,
  author       = {Jos Sulistyo and
                  Dong Sam Ha},
  title        = {5 GHz pipelined multiplier and {MAC} in 0.18{\(\mathrm{\mu}\)}m complementary
                  static {CMOS}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {117--120},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206204},
  doi          = {10.1109/ISCAS.2003.1206204},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SulistyoH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunSF03,
  author       = {Changyin Sun and
                  Changgui Sun and
                  Chun{-}Bo Feng},
  title        = {Exponential periodicity of neural networks with delays},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {681--684},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206404},
  doi          = {10.1109/ISCAS.2003.1206404},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunSF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanA03,
  author       = {Kay{-}Chuan Benny Tan and
                  Tughrul Arslan},
  title        = {Shift-accumulator {ALU} centric {JPEG2000} 5/3 lifting based discrete
                  wavelet transform architecture},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {161--164},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206218},
  doi          = {10.1109/ISCAS.2003.1206218},
  timestamp    = {Mon, 12 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TehranipourNFA03,
  author       = {Mohammad H. Tehranipour and
                  Mehrdad Nourani and
                  Seid Mehdi Fakhraie and
                  Ali Afzali{-}Kusha},
  title        = {Systematic test program generation for SoC testing using embedded
                  processor},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {541--544},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206344},
  doi          = {10.1109/ISCAS.2003.1206344},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TehranipourNFA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThepayasuwanTD03,
  author       = {Nattawut Thepayasuwan and
                  Hua Tang and
                  Alex Doboli},
  title        = {An exploration-based binding and scheduling technique for synthesis
                  of digital blocks for mixed-signal applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {629--632},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206391},
  doi          = {10.1109/ISCAS.2003.1206391},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThepayasuwanTD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThomasA03,
  author       = {Olivier Thomas and
                  Amara Amara},
  title        = {An {SOI} 4 transistors self-refresh ultra-low-voltage memory cell},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {401--404},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206296},
  doi          = {10.1109/ISCAS.2003.1206296},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThomasA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsaoTTJ03,
  author       = {Ya{-}Lan Tsao and
                  Ming Hsuan Tan and
                  Jun{-}Xian Teng and
                  Shyh{-}Jye Jou},
  title        = {Parameterized and low power {DSP} core for embedded systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {265--268},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206249},
  doi          = {10.1109/ISCAS.2003.1206249},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsaoTTJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TugsinavisutJB03,
  author       = {Sunan Tugsinavisut and
                  Suwicha Jirayucharoensak and
                  Peter A. Beerel},
  title        = {An asynchronous pipeline comparisons with application to {DCT} matrix-vector
                  multiplication},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {361--364},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206280},
  doi          = {10.1109/ISCAS.2003.1206280},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TugsinavisutJB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TummarelloNP03,
  author       = {Giovanni Tummarello and
                  Fabio Nardini and
                  Francesco Piazza},
  title        = {Stepsize control in {NLMS} acoustic echo cancellation using a neural
                  network},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {705--708},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206410},
  doi          = {10.1109/ISCAS.2003.1206410},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TummarelloNP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ulman03,
  author       = {Shrutin Ulman},
  title        = {Macromodel for short circuit power dissipation of submicron {CMOS}
                  inverters and its application to design {CMOS} buffers},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {269--272},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206250},
  doi          = {10.1109/ISCAS.2003.1206250},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ulman03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UmLPJK03,
  author       = {Junhyung Um and
                  Sangwoo Lee and
                  Youngsoo Park and
                  Sungik Jun and
                  Taewhan Kim},
  title        = {An efficient inverse multiplier/divider architecture for cryptography
                  systems},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206213},
  doi          = {10.1109/ISCAS.2003.1206213},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UmLPJK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHY03,
  author       = {Hongxia Wang and
                  Chen He and
                  Juebang Yu},
  title        = {Analysis of global exponential stability for a class of bidirectional
                  associative memory networks},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {673--676},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206402},
  doi          = {10.1109/ISCAS.2003.1206402},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WaropusKC03,
  author       = {Niwat Waropus and
                  Rajendar Koltur and
                  Malgorzata Chrzanowska{-}Jeske},
  title        = {Graph-based approach to evaluate net routability of a floorplan},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {465--468},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206318},
  doi          = {10.1109/ISCAS.2003.1206318},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WaropusKC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeiS03,
  author       = {Shugang Wei and
                  Kensuke Shimizu},
  title        = {Modulo (2\({}^{\mbox{p}}\) {\(\pm\)} 1) multipliers using a three-operand
                  modular addition and Booth recoding based on signed-digit number arithmetic},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206236},
  doi          = {10.1109/ISCAS.2003.1206236},
  timestamp    = {Thu, 24 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WeiS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WiangtongCL03,
  author       = {Theerayod Wiangtong and
                  Peter Y. K. Cheung and
                  Wayne Luk},
  title        = {Multitasking in hardware-software codesign for reconfigurable computer},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {621--624},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206389},
  doi          = {10.1109/ISCAS.2003.1206389},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WiangtongCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WroblewskiMWSPN03,
  author       = {Marek Wr{\'{o}}blewski and
                  Matthias M{\"{u}}ller and
                  Andreas Wortmann and
                  Sven Simon and
                  Wilhelm Pieper and
                  Josef A. Nossek},
  title        = {A power efficient register file architecture using master latch sharing},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {393--396},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206291},
  doi          = {10.1109/ISCAS.2003.1206291},
  timestamp    = {Fri, 27 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WroblewskiMWSPN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaAA03,
  author       = {Yinshui Xia and
                  B. Ali and
                  A. E. A. Almaini},
  title        = {Area and power optimization of {FPRM} function based circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {329--332},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206270},
  doi          = {10.1109/ISCAS.2003.1206270},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaAA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuDHP03,
  author       = {Dongming Xu and
                  Liping Deng and
                  John G. Harris and
                  Jos{\'{e}} Carlos Pr{\'{\i}}ncipe},
  title        = {Design of a reduced {KII} set and network in analog {VLSI}},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {837--840},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206443},
  doi          = {10.1109/ISCAS.2003.1206443},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuDHP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanZJPL03,
  author       = {Hongmei Yan and
                  Jun Zheng and
                  Yingtao Jiang and
                  Chenglin Peng and
                  Qinghui Li},
  title        = {Development of a decision support system for heart disease diagnosis
                  using multilayer perceptron},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {709--712},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206411},
  doi          = {10.1109/ISCAS.2003.1206411},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanZJPL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangGCH03,
  author       = {Shao{-}Sheng Yang and
                  Pao{-}Lin Guo and
                  Tsin{-}Yuan Chang and
                  Jin{-}Hua Hong},
  title        = {A multi-phase charge-sharing technique without external capacitor
                  for low-power {TFT-LCD} column drivers},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {365--368},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206282},
  doi          = {10.1109/ISCAS.2003.1206282},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangGCH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangK03,
  author       = {Byung{-}Do Yang and
                  Lee{-}Sup Kim},
  title        = {A low power charge sharing {ROM} using dummy bit lines},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {377--380},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206286},
  doi          = {10.1109/ISCAS.2003.1206286},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeL03,
  author       = {Hong Ye and
                  Zhiping Lin},
  title        = {Global optimization of neural network weights using subenergy tunneling
                  function and ripple search},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {725--728},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206415},
  doi          = {10.1109/ISCAS.2003.1206415},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YeL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuOW03,
  author       = {Xiao Yan Yu and
                  Vojin G. Oklobdzija and
                  William W. Walker},
  title        = {An efficient transistor optimizer for custom circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {197--200},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206230},
  doi          = {10.1109/ISCAS.2003.1206230},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuOW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZanchiPT03,
  author       = {Alfio Zanchi and
                  Ioannis Papantonopoulos and
                  Frank (Ching{-}Yuh) Tsay},
  title        = {Measurement and {SPICE} prediction of sub-picosecond clock jitter
                  in {A/D} converters},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {557--560},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206373},
  doi          = {10.1109/ISCAS.2003.1206373},
  timestamp    = {Wed, 20 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZanchiPT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZarjamMB03,
  author       = {Pega Zarjam and
                  Mostefa Mesbah and
                  Boualem Boashash},
  title        = {An optimal feature set for seizure detection systems for newborn {EEG}
                  signals},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206166},
  doi          = {10.1109/ISCAS.2003.1206166},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZarjamMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangGC03,
  author       = {Mingyan Zhang and
                  Jiangmin Gu and
                  Chip{-}Hong Chang},
  title        = {A novel hybrid pass logic with static {CMOS} output drive full-adder
                  cell},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {317--320},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206266},
  doi          = {10.1109/ISCAS.2003.1206266},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangGC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangHL03,
  author       = {QingNian Zhang and
                  XiangYang He and
                  JianQi Liu},
  title        = {{RBF} network based on genetic algorithm optimization for nonlinear
                  time series prediction},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {693--696},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206407},
  doi          = {10.1109/ISCAS.2003.1206407},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangHL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoU03,
  author       = {Dun Zhao and
                  Shambhu Upudhyaya},
  title        = {A resource balancing approach to SoC test scheduling},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {525--528},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206339},
  doi          = {10.1109/ISCAS.2003.1206339},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoU03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhugeSC03,
  author       = {Qingfeng Zhuge and
                  Edwin Hsing{-}Mean Sha and
                  Chantana Chantrapornchai},
  title        = {An Integrated Framework of Design Optimization and Space Minimization
                  for {DSP} applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {601--604},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206384},
  doi          = {10.1109/ISCAS.2003.1206384},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhugeSC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics