Search dblp for Publications

export results for "toc:db/conf/iolts/iolts2014.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iolts/AlexandrescuBYEC14,
  author       = {Dan Alexandrescu and
                  Nematollah Bidokhti and
                  Andy Yu and
                  Adrian Evans and
                  Enrico Costenaro},
  title        = {Managing {SER} costs of complex systems through Linear Programming},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {216--219},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873701},
  doi          = {10.1109/IOLTS.2014.6873701},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AlexandrescuBYEC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AlladiT14,
  author       = {Phaninder Alladi and
                  Spyros Tragoudas},
  title        = {Aging-aware critical paths in deep submicron},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {184--185},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873691},
  doi          = {10.1109/IOLTS.2014.6873691},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AlladiT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AlvesKMF14,
  author       = {Tiago A. O. Alves and
                  Sandip Kundu and
                  Leandro A. J. Marzulo and
                  Felipe Maia Galv{\~{a}}o Fran{\c{c}}a},
  title        = {Online error detection and recovery in dataflow execution},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873679},
  doi          = {10.1109/IOLTS.2014.6873679},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AlvesKMF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AndraudDDABCCKKMPRSSS14,
  author       = {Martin Andraud and
                  Anthony Deluthault and
                  Mouhamadou Dieng and
                  Florence Aza{\"{\i}}s and
                  Serge Bernard and
                  Philippe Cauvet and
                  Mariane Comte and
                  Thibault Kervaon and
                  Vincent Kerzerho and
                  Salvador Mir and
                  Paul{-}Henri Pugliesi{-}Conti and
                  Michel Renovell and
                  Fabien Soulier and
                  Emmanuel Simeu and
                  Haralampos{-}G. D. Stratigopoulos},
  title        = {Solutions for the self-adaptation of communicating systems in operation},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {234--239},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873705},
  doi          = {10.1109/IOLTS.2014.6873705},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AndraudDDABCCKKMPRSSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ArumiRF14,
  author       = {Daniel Arum{\'{\i}} and
                  Rosa Rodr{\'{\i}}guez{-}Monta{\~{n}}{\'{e}}s and
                  Joan Figueras},
  title        = {Pre-bond testing of weak defects in TSVs},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {31--36},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873668},
  doi          = {10.1109/IOLTS.2014.6873668},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/ArumiRF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AryanLHYGS14,
  author       = {Nasim Pour Aryan and
                  A. Listl and
                  Leonhard Hei{\ss} and
                  Cenk Yilmaz and
                  Georg Georgakos and
                  Doris Schmitt{-}Landsiedel},
  title        = {From an analytic {NBTI} device model to reliability assessment of
                  complex digital circuits},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {19--24},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873666},
  doi          = {10.1109/IOLTS.2014.6873666},
  timestamp    = {Fri, 28 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AryanLHYGS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/AxelosEZTP14,
  author       = {Nicholas Axelos and
                  Nikolaos Eftaxiopoulos{-}Sarris and
                  Georgios Zervakis and
                  Kostas Tsoumanis and
                  Kiamal Z. Pekmestzi},
  title        = {{FF-DICE:} An 8T soft-error tolerant cell using Independent Dual Gate
                  {SOI} FinFETs},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {200--201},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873696},
  doi          = {10.1109/IOLTS.2014.6873696},
  timestamp    = {Wed, 06 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/AxelosEZTP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/BadackKG14,
  author       = {Christian Badack and
                  Thomas Kern and
                  Michael G{\"{o}}ssel},
  title        = {Modified {DEC} {BCH} codes for parallel correction of 3-bit errors
                  comprising a pair of adjacent errors},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {116--121},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873682},
  doi          = {10.1109/IOLTS.2014.6873682},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/BadackKG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CarloGPRTV14,
  author       = {Stefano Di Carlo and
                  Giulio Gambardella and
                  Paolo Prinetto and
                  Daniele Rolfo and
                  Pascal Trotta and
                  Alessandro Vallero},
  title        = {A novel methodology to increase fault tolerance in autonomous FPGA-based
                  systems},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {87--92},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873677},
  doi          = {10.1109/IOLTS.2014.6873677},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/CarloGPRTV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CarloVGNGCMPGBRRL14,
  author       = {Stefano Di Carlo and
                  Alessandro Vallero and
                  Dimitris Gizopoulos and
                  Giorgio Di Natale and
                  Antonio Gonz{\'{a}}lez and
                  Ramon Canal and
                  Riccardo Mariani and
                  M. Pipponzi and
                  Arnaud Grasset and
                  Philippe Bonnot and
                  Frank Reichenbach and
                  Gulzaib Rafiq and
                  Trond Loekstad},
  title        = {Cross-layer early reliability evaluation: Challenges and promises},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {228--233},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873704},
  doi          = {10.1109/IOLTS.2014.6873704},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/CarloVGNGCMPGBRRL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CarvalhoSRSRC14,
  author       = {M. De Carvalho and
                  Davide Sabena and
                  Matteo Sonza Reorda and
                  Luca Sterpone and
                  Paolo Rech and
                  Luigi Carro},
  title        = {Fault injection in {GPGPU} cores to validate and debug robust parallel
                  applications},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {210--211},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873699},
  doi          = {10.1109/IOLTS.2014.6873699},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/CarvalhoSRSRC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/CassanoGA14,
  author       = {Luca Cassano and
                  Hip{\'{o}}lito Guzm{\'{a}}n{-}Miranda and
                  Miguel A. Aguirre},
  title        = {Early assessment of {SEU} sensitivity through untestable fault identification},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {186--189},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873692},
  doi          = {10.1109/IOLTS.2014.6873692},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/CassanoGA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/DalirsaniKW14,
  author       = {Atefe Dalirsani and
                  Michael A. Kochte and
                  Hans{-}Joachim Wunderlich},
  title        = {Area-efficient synthesis of fault-secure NoC switches},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873662},
  doi          = {10.1109/IOLTS.2014.6873662},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/DalirsaniKW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/DesogusSC14,
  author       = {Marco Desogus and
                  Luca Sterpone and
                  David Merodio Codinachs},
  title        = {Validation of a tool for estimating the effects of soft-errors on
                  modern SRAM-based FPGAs},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {111--115},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873681},
  doi          = {10.1109/IOLTS.2014.6873681},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/DesogusSC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/DhiaSN14,
  author       = {Arwa Ben Dhia and
                  Mariem Slimani and
                  Lirida A. B. Naviner},
  title        = {Comparative study of defect-tolerant multiplexers for FPGAs},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873661},
  doi          = {10.1109/IOLTS.2014.6873661},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/DhiaSN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/DuRSPPLE14,
  author       = {Boyang Du and
                  Matteo Sonza Reorda and
                  Luca Sterpone and
                  Luis Parra and
                  Marta Portela{-}Garc{\'{\i}}a and
                  Almudena Lindoso and
                  Luis Entrena},
  title        = {A new solution to on-line detection of Control Flow Errors},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {105--110},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873680},
  doi          = {10.1109/IOLTS.2014.6873680},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/DuRSPPLE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/DupuisBNFR14,
  author       = {Sophie Dupuis and
                  Papa{-}Sidi Ba and
                  Giorgio Di Natale and
                  Marie{-}Lise Flottes and
                  Bruno Rouzeyre},
  title        = {A novel hardware logic encryption technique for thwarting illegal
                  overproduction and Hardware Trojans},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {49--54},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873671},
  doi          = {10.1109/IOLTS.2014.6873671},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/DupuisBNFR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/FoutrisKTG14,
  author       = {Nikos Foutris and
                  Manolis Kaliorakis and
                  Sotiris Tselonis and
                  Dimitris Gizopoulos},
  title        = {Versatile architecture-level fault injection framework for reliability
                  evaluation: {A} first report},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {140--145},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873686},
  doi          = {10.1109/IOLTS.2014.6873686},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/FoutrisKTG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/FrischkeRS14,
  author       = {Michael Frischke and
                  Andreas J. Rohatschek and
                  Walter Stechele},
  title        = {Towards low-cost fault detection strategy of {FPGA} configuration
                  memory in real-time systems},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {81--86},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873676},
  doi          = {10.1109/IOLTS.2014.6873676},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/FrischkeRS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/Gomez-PauBC14,
  author       = {{\'{A}}lvaro G{\'{o}}mez{-}Pau and
                  Suvadeep Banerjee and
                  Abhijit Chatterjee},
  title        = {Real-time transient error and induced noise cancellation in linear
                  analog filters using learning-assisted adaptive analog checksums},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {25--30},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873667},
  doi          = {10.1109/IOLTS.2014.6873667},
  timestamp    = {Thu, 16 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/Gomez-PauBC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/HobeikaPLTBA14,
  author       = {Christelle Hobeika and
                  Simon Pichette and
                  M. A. Leonard and
                  Claude Thibeault and
                  Jean{-}Fran{\c{c}}ois Boland and
                  Yves Audet},
  title        = {Multi-abstraction level signature generation and comparison based
                  on radiation single event upset},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {212--215},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873700},
  doi          = {10.1109/IOLTS.2014.6873700},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/HobeikaPLTBA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KatsarouT14,
  author       = {Katerina Katsarou and
                  Yiorgos Tsiatouhas},
  title        = {Double node charge sharing {SEU} tolerant latch design},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {122--127},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873683},
  doi          = {10.1109/IOLTS.2014.6873683},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KatsarouT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KrsticWPG14,
  author       = {Milos Krstic and
                  Stefan Weidling and
                  Vladimir Petrovic and
                  Michael G{\"{o}}ssel},
  title        = {Improved circuitry for soft error correction in combinational logic
                  in pipelined designs},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {93--98},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873678},
  doi          = {10.1109/IOLTS.2014.6873678},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/KrsticWPG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/KumarJP14,
  author       = {Raghavan Kumar and
                  Philipp Jovanovic and
                  Ilia Polian},
  title        = {Precise fault-injections using voltage and temperature manipulation
                  for differential cryptanalysis},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873670},
  doi          = {10.1109/IOLTS.2014.6873670},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/KumarJP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/LuNFR14,
  author       = {Feng Lu and
                  Giorgio Di Natale and
                  Marie{-}Lise Flottes and
                  Bruno Rouzeyre},
  title        = {Customized cell detector for laser-induced-fault detection},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {37--42},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873669},
  doi          = {10.1109/IOLTS.2014.6873669},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/LuNFR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MansourAGBV14,
  author       = {Wassim Mansour and
                  Miguel A. Aguirre and
                  Hip{\'{o}}lito Guzm{\'{a}}n{-}Miranda and
                  Javier Barrientos Rojas and
                  Raoul Velazco},
  title        = {Two complementary approaches for studying the effects of SEUs on HDL-based
                  designs},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {220--221},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873702},
  doi          = {10.1109/IOLTS.2014.6873702},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MansourAGBV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MartinVLMP14,
  author       = {Honorio Mart{\'{\i}}n and
                  Anna Vaskova and
                  Celia L{\'{o}}pez{-}Ongil and
                  Enrique San Mill{\'{a}}n and
                  Marta Portela{-}Garc{\'{\i}}a},
  title        = {Effect of ionizing radiation on TRNGs for safe telecommunications:
                  Robustness and randomness},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {202--205},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873697},
  doi          = {10.1109/IOLTS.2014.6873697},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MartinVLMP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MayS14,
  author       = {David May and
                  Walter Stechele},
  title        = {Improving the significance of probabilistic circuit fault emulations},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {128--133},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873684},
  doi          = {10.1109/IOLTS.2014.6873684},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MayS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MiuraO14,
  author       = {Yukiya Miura and
                  Yoshihiro Ohkawa},
  title        = {A noise-tolerant master-slave flip-flop},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {55--61},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873672},
  doi          = {10.1109/IOLTS.2014.6873672},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MiuraO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/MullerKSV14,
  author       = {Sebastian M{\"{u}}ller and
                  Tobias Koal and
                  Mario Sch{\"{o}}lzel and
                  Heinrich Theodor Vierhaus},
  title        = {Timing for virtual {TMR} in logic circuits},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {190--193},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873693},
  doi          = {10.1109/IOLTS.2014.6873693},
  timestamp    = {Tue, 16 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/MullerKSV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/NarayananRR14,
  author       = {Prakash Narayanan and
                  Satish Ravichandran and
                  Balaji Ramayanam},
  title        = {Novel self-test methods to reduce on-chip memory requirements and
                  improved test coverage},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {198--199},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873695},
  doi          = {10.1109/IOLTS.2014.6873695},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/NarayananRR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/PagliariniNNP14,
  author       = {Samuel N. Pagliarini and
                  Lirida A. B. Naviner and
                  Jean{-}Fran{\c{c}}ois Naviner and
                  Dhiraj K. Pradhan},
  title        = {A hybrid reliability assessment method and its support of sequential
                  logic modelling},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {182--183},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873690},
  doi          = {10.1109/IOLTS.2014.6873690},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/PagliariniNNP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/PagliariniP14,
  author       = {Samuel N. Pagliarini and
                  Dhiraj K. Pradhan},
  title        = {A placement strategy for reducing the effects of multiple faults in
                  digital circuits},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {69--74},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873674},
  doi          = {10.1109/IOLTS.2014.6873674},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/PagliariniP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/PaschalisMKLV14,
  author       = {Antonis M. Paschalis and
                  Harald Michalik and
                  Nektarios Kranitis and
                  Celia L{\'{o}}pez{-}Ongil and
                  Pedro Reviriego Vasallo},
  title        = {Dependable reconfigurable space systems: Challenges, new trends and
                  case studies},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {222--227},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873703},
  doi          = {10.1109/IOLTS.2014.6873703},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/PaschalisMKLV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/PetersenNICZL14,
  author       = {Kim Peters{\'{e}}n and
                  Dimitar Nikolov and
                  Urban Ingelsson and
                  Gunnar Carlsson and
                  Farrokh Ghani Zadegan and
                  Erik Larsson},
  title        = {Fault injection and fault handling: An MPSoC demonstrator using {IEEE}
                  {P1687}},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {170--175},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873664},
  doi          = {10.1109/IOLTS.2014.6873664},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/PetersenNICZL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/RehmanBA14,
  author       = {Saif{-}Ur Rehman and
                  Mounir Benabdenbi and
                  Lorena Anghel},
  title        = {Cost-efficient of a cluster in a mesh SRAM-based {FPGA}},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {75--80},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873675},
  doi          = {10.1109/IOLTS.2014.6873675},
  timestamp    = {Mon, 22 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/RehmanBA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/Sanchez-ClementeEG14,
  author       = {Antonio Sanchez{-}Clemente and
                  Luis Entrena and
                  Mario Garc{\'{\i}}a{-}Valderas},
  title        = {Error masking with approximate logic circuits using dynamic probability
                  estimations},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {134--139},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873685},
  doi          = {10.1109/IOLTS.2014.6873685},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/Sanchez-ClementeEG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/SarrazinEPNG14,
  author       = {S{\'{e}}bastien Sarrazin and
                  Samuel Evain and
                  Ivan Miro Panades and
                  Lirida Alves de Barros Naviner and
                  Valentin Gherman},
  title        = {Flip-flop selection for in-situ slack-time monitoring based on the
                  activation probability of timing-critical paths},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {160--163},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873689},
  doi          = {10.1109/IOLTS.2014.6873689},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/SarrazinEPNG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TheodorouKPG14,
  author       = {George Theodorou and
                  Nektarios Kranitis and
                  Antonis M. Paschalis and
                  Dimitris Gizopoulos},
  title        = {Power-aware optimization of software-based self-test for {L1} caches
                  in microprocessors},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {154--159},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873688},
  doi          = {10.1109/IOLTS.2014.6873688},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/TheodorouKPG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TikkanenSWA14,
  author       = {Jeff Tikkanen and
                  Nik Sumikawa and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Multivariate outlier modeling for capturing customer returns - How
                  simple it can be},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {164--169},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873663},
  doi          = {10.1109/IOLTS.2014.6873663},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/TikkanenSWA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/UpasaniVG14,
  author       = {Gaurang Upasani and
                  Xavier Vera and
                  Antonio Gonz{\'{a}}lez},
  title        = {Framework for economical error recovery in embedded cores},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {146--153},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873687},
  doi          = {10.1109/IOLTS.2014.6873687},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/UpasaniVG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/VargasRMVZM14,
  author       = {Vanessa Vargas and
                  Pablo Ramos and
                  Wassim Mansour and
                  Raoul Velazco and
                  Nacer{-}Eddine Zergainoh and
                  Jean{-}Fran{\c{c}}ois M{\'{e}}haut},
  title        = {Preliminary results of {SEU} fault-injection on multicore processors
                  in {AMP} mode},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {194--197},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873694},
  doi          = {10.1109/IOLTS.2014.6873694},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/VargasRMVZM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/VaskovaPGLR14,
  author       = {Anna Vaskova and
                  Marta Portela{-}Garc{\'{\i}}a and
                  Mario Garc{\'{\i}}a{-}Valderas and
                  Celia L{\'{o}}pez{-}Ongil and
                  Matteo Sonza Reorda},
  title        = {Permanent faults on {LIN} networks: On-line test generation},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {176--181},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873665},
  doi          = {10.1109/IOLTS.2014.6873665},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/VaskovaPGLR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/WelterDAP14,
  author       = {Loic Welter and
                  Philippe Dreux and
                  Hassen Aziza and
                  Jean{-}Michel Portal},
  title        = {An innovative standard cells remapping method for in-circuit critical
                  parameters monitoring},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {206--209},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873698},
  doi          = {10.1109/IOLTS.2014.6873698},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/WelterDAP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/XieCLEAWW14,
  author       = {Hao Xie and
                  Li Chen and
                  Rui Liu and
                  Adrian Evans and
                  Dan Alexandrescu and
                  Shi{-}Jie Wen and
                  Rick Wong},
  title        = {New approaches for synthesis of redundant combinatorial logic for
                  selective fault tolerance},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {62--68},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873673},
  doi          = {10.1109/IOLTS.2014.6873673},
  timestamp    = {Wed, 26 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/XieCLEAWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/YalcinITRCUE14,
  author       = {Gulay Yalcin and
                  Emrah Islek and
                  Oyku Tozlu and
                  Pedro Reviriego and
                  Adri{\'{a}}n Cristal and
                  Osman S. Unsal and
                  Oguz Ergin},
  title        = {Exploiting a fast and simple {ECC} for scaling supply voltage in level-1
                  caches},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873660},
  doi          = {10.1109/IOLTS.2014.6873660},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/YalcinITRCUE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iolts/2014,
  title        = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6867432/proceeding},
  isbn         = {978-1-4799-5323-3},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics