Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2012.bht:"
@inproceedings{DBLP:conf/iccad/Abdel-KhalekB12, author = {Rawan Abdel{-}Khalek and Valeria Bertacco}, editor = {Alan J. Hu}, title = {Functional post-silicon diagnosis and debug for networks-on-chip}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {557--563}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429506}, doi = {10.1145/2429384.2429506}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Abdel-KhalekB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AksoyCFM12, author = {Levent Aksoy and Eduardo Costa and Paulo F. Flores and Jos{\'{e}} Monteiro}, editor = {Alan J. Hu}, title = {Multiple tunable constant multiplications: Algorithms and applications}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {473--479}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429482}, doi = {10.1145/2429384.2429482}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AksoyCFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AlpertLNSVW12, author = {Charles J. Alpert and Zhuo Li and Gi{-}Joon Nam and Chin Ngai Sze and Natarajan Viswanathan and Samuel I. Ward}, editor = {Alan J. Hu}, title = {Placement: Hot or Not?}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {283--290}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429442}, doi = {10.1145/2429384.2429442}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AlpertLNSVW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BanerjeeG12, author = {Somnath Banerjee and Tushar Gupta}, editor = {Alan J. Hu}, title = {Fast and scalable hybrid functional verification and debug with dynamically reconfigurable co-simulation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {115--122}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429406}, doi = {10.1145/2429384.2429406}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BanerjeeG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BarrettHDPHS12, author = {Richard F. Barrett and Xiaobo Sharon Hu and Sudip S. Dosanjh and Steven G. Parker and Michael A. Heroux and John Shalf}, editor = {Alan J. Hu}, title = {Toward codesign in high performance computing systems}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {443--449}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429476}, doi = {10.1145/2429384.2429476}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BarrettHDPHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BiSLW12, author = {Xiuyuan Bi and Zhenyu Sun and Hai Li and Wenqing Wu}, editor = {Alan J. Hu}, title = {Probabilistic design methodology to improve run-time stability and performance of {STT-RAM} caches}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {88--94}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429401}, doi = {10.1145/2429384.2429401}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BiSLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Cangellaris12, author = {Andreas C. Cangellaris}, editor = {Alan J. Hu}, title = {Confronting and exploiting operating environment uncertainty in predictive analysis of signal integrity}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {496}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429486}, doi = {10.1145/2429384.2429486}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Cangellaris12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChanK12, author = {Tuck{-}Boon Chan and Andrew B. Kahng}, editor = {Alan J. Hu}, title = {Tunable sensors for process-aware voltage scaling}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {7--14}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429387}, doi = {10.1145/2429384.2429387}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChanK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChatterjeeDMDB12, author = {Abhijit Chatterjee and Sabyasachi Deyati and Barry John Muldrey and Shyam Kumar Devarakond and Aritra Banerjee}, editor = {Alan J. Hu}, title = {Validation signature testing: {A} methodology for post-silicon validation of analog/mixed-signal circuits}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {553--556}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429504}, doi = {10.1145/2429384.2429504}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChatterjeeDMDB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenCZX12, author = {Yiran Chen and Xiang Chen and Mengying Zhao and Chun Jason Xue}, editor = {Alan J. Hu}, title = {Mobile devices user - The subscriber and also the publisher of real-time {OLED} display power management plan}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {687--690}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429534}, doi = {10.1145/2429384.2429534}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenCZX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenLCZXG12, author = {Xiang Chen and Beiye Liu and Yiran Chen and Mengying Zhao and Chun Jason Xue and Xiaojun Guo}, editor = {Alan J. Hu}, title = {Active compensation technique for the thin-film transistor variations and {OLED} aging of mobile device displays}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {516--522}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429493}, doi = {10.1145/2429384.2429493}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenLCZXG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenLWC12, author = {Hsin Chen and Chih{-}Cheng Lu and Yi{-}Da Wu and Tang{-}Jung Chiu}, editor = {Alan J. Hu}, title = {Learning from biological neurons to compute with electronic noise special}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {168--171}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429416}, doi = {10.1145/2429384.2429416}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenLWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenSLHC12, author = {Yu{-}Guang Chen and Yiyu Shi and Kuan{-}Yu Lai and Hui Geng and Shih{-}Chieh Chang}, editor = {Alan J. Hu}, title = {Efficient multiple-bit retention register assignment for power gated design: Concept and algorithms}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {309--316}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429448}, doi = {10.1145/2429384.2429448}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenSLHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenSWBFA12, author = {Wen Chen and Nik Sumikawa and Li{-}C. Wang and Jayanta Bhadra and Xiushan Feng and Magdy S. Abadir}, editor = {Alan J. Hu}, title = {Novel test detection to improve simulation efficiency - {A} commercial experiment}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {101--108}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429404}, doi = {10.1145/2429384.2429404}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenSWBFA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenSWCCJW12, author = {Quan Chen and Wim Schoenmaker and Shih{-}Hung Weng and Chung{-}Kuan Cheng and Guan{-}Hua Chen and Lijun Jiang and Ngai Wong}, editor = {Alan J. Hu}, title = {A fast time-domain {EM-TCAD} coupled simulation framework via matrix exponential}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {422--428}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429472}, doi = {10.1145/2429384.2429472}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenSWCCJW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenYC12, author = {Yi{-}Jung Chen and Chia{-}Lin Yang and Jian{-}Jia Chen}, editor = {Alan J. Hu}, title = {Distributed memory interface synthesis for Network-on-Chips with 3D-stacked DRAMs}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {458--465}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429479}, doi = {10.1145/2429384.2429479}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Chew12, author = {Weng Cho Chew}, editor = {Alan J. Hu}, title = {Multi-scale, multi-physics analysis for device, chip, package, and board level}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {497}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429487}, doi = {10.1145/2429384.2429487}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Chew12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Chiprout12, author = {Eli Chiprout}, editor = {Alan J. Hu}, title = {Power grid effects and their impact on-die}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {667--669}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429529}, doi = {10.1145/2429384.2429529}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Chiprout12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DaloukasEDTTS12, author = {Konstantis Daloukas and Nestoras E. Evmorfopoulos and George Drasidis and Michalis K. Tsiampas and Panagiota E. Tsompanopoulou and George I. Stamoulis}, editor = {Alan J. Hu}, title = {Fast Transform-based preconditioners for large-scale power grid analysis on massively parallel architectures}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {384--391}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429466}, doi = {10.1145/2429384.2429466}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DaloukasEDTTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeOrioLB12, author = {Andrew DeOrio and Jialin Li and Valeria Bertacco}, editor = {Alan J. Hu}, title = {Bridging pre- and post-silicon debugging with BiPeD}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {95--100}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429403}, doi = {10.1145/2429384.2429403}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DeOrioLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DesrumauxDTMRLN12, author = {Pierre{-}Francois Desrumaux and Yoan Dupret and Jens Tingleff and Sean Minehane and Mark Redford and Laurent Latorre and Pascal Nouet}, editor = {Alan J. Hu}, title = {An efficient control variates method for yield estimation of analog circuits based on a local model}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {415--421}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429471}, doi = {10.1145/2429384.2429471}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DesrumauxDTMRLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DingK12, author = {Wei Ding and Mahmut T. Kandemir}, editor = {Alan J. Hu}, title = {Improving last level cache locality by integrating loop and data transformations}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {65--72}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429398}, doi = {10.1145/2429384.2429398}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DingK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DongLZ12, author = {Mian Dong and Tian Lan and Lin Zhong}, editor = {Alan J. Hu}, title = {System energy consumption is a multi-player game}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {351--352}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429459}, doi = {10.1145/2429384.2429459}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DongLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DuboisCCP12, author = {Florentine Dubois and Valerio Catalano and Marcello Coppola and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, editor = {Alan J. Hu}, title = {Accurate on-chip router area modeling with Kriging methodology}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {450--457}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429478}, doi = {10.1145/2429384.2429478}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DuboisCCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangGKMMZS12, author = {Jianxin Fang and Saket Gupta and Sanjay V. Kumar and Sravan K. Marella and Vivek Mishra and Pingqiang Zhou and Sachin S. Sapatnekar}, editor = {Alan J. Hu}, title = {Circuit reliability: From Physics to Architectures: Embedded tutorial paper}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {243--246}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429431}, doi = {10.1145/2429384.2429431}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FangGKMMZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FerraiuoloZT12, author = {Andrew Ferraiuolo and Xuehui Zhang and Mohammad Tehranipoor}, editor = {Alan J. Hu}, title = {Experimental analysis of a ring oscillator network for hardware Trojan detection in a 90nm {ASIC}}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {37--42}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429392}, doi = {10.1145/2429384.2429392}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FerraiuoloZT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GengWLCS12, author = {Hui Geng and Jun Wu and Jianming Liu and Minsu Choi and Yiyu Shi}, editor = {Alan J. Hu}, title = {Utilizing random noise in cryptography: Where is the Tofu?}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {163--167}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429415}, doi = {10.1145/2429384.2429415}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GengWLCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhaidaSKG12, author = {Rani S. Ghaida and Tanaya Sahu and Parag Kulkarni and Puneet Gupta}, editor = {Alan J. Hu}, title = {A methodology for the early exploration of design rules for multiple-patterning technologies}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {50--56}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429395}, doi = {10.1145/2429384.2429395}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GhaidaSKG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Goel12, author = {Sandeep Kumar Goel}, editor = {Alan J. Hu}, title = {Test challenges in designing complex 3D chips: What in on the horizon for {EDA} industry?: Designer track}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {273}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429438}, doi = {10.1145/2429384.2429438}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Goel12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Gu12, author = {Chenjie Gu}, editor = {Alan J. Hu}, title = {Challenges in post-silicon validation of high-speed {I/O} links}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {547--550}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429502}, doi = {10.1145/2429384.2429502}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Gu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuoYXLL12, author = {Xiaojun Guo and Guangyu Yao and Xiaoli Xu and Wenjiang Liu and Tao Liu}, editor = {Alan J. Hu}, title = {Transistor technologies and pixel circuit design for efficient active-matrix organic light-emitting diode displays}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {678}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429532}, doi = {10.1145/2429384.2429532}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuoYXLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuthausT12, author = {Matthew R. Guthaus and Baris Taskin}, editor = {Alan J. Hu}, title = {High-Performance, Low-Power Resonant Clocking: Embedded tutorial}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {742--745}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429545}, doi = {10.1145/2429384.2429545}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuthausT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HanSST12, author = {Sijing Han and Vijay Sirigiri and Daniel G. Saab and Massood Tabib{-}Azar}, editor = {Alan J. Hu}, title = {Ultra-low power {NEMS} {FPGA}}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {533--538}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429499}, doi = {10.1145/2429384.2429499}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HanSST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeMZB12, author = {Ruining He and Yuchun Ma and Kang Zhao and Jinian Bian}, editor = {Alan J. Hu}, title = {{ISBA:} An independent set-based algorithm for automated partial reconfiguration module generation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {500--507}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429491}, doi = {10.1145/2429384.2429491}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HeMZB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuKKKM12, author = {Jin Hu and Andrew B. Kahng and Seokhyeong Kang and Myung{-}Chul Kim and Igor L. Markov}, editor = {Alan J. Hu}, title = {Sensitivity-guided metaheuristics for accurate discrete gate sizing}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {233--239}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429428}, doi = {10.1145/2429384.2429428}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuKKKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuOMK12, author = {Wei Hu and Jason Oberg and Dejun Mu and Ryan Kastner}, editor = {Alan J. Hu}, title = {Simultaneous information flow security and circuit redundancy in Boolean gates}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {585--590}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429511}, doi = {10.1145/2429384.2429511}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuOMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangJHC12, author = {De{-}An Huang and Jie{-}Hong R. Jiang and Ruei{-}Yang Huang and Chi{-}Yun Cheng}, editor = {Alan J. Hu}, title = {Compiling program control flows into biochemical reactions}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {361--368}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429462}, doi = {10.1145/2429384.2429462}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuangJHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangLC12, author = {Juinn{-}Dar Huang and Chia{-}Hung Liu and Ting{-}Wei Chiang}, editor = {Alan J. Hu}, title = {Reactant minimization during sample preparation on digital microfluidic biochips using skewed mixing trees}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {377--383}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429464}, doi = {10.1145/2429384.2429464}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuangLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangY12, author = {Tao Huang and Evangeline F. Y. Young}, editor = {Alan J. Hu}, title = {Construction of rectilinear Steiner minimum trees with slew constraints over obstacles}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {144--151}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429411}, doi = {10.1145/2429384.2429411}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuangY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JandhyalaS12, author = {Vikram Jandhyala and Arun V. Sathanur}, editor = {Alan J. Hu}, title = {Design strategies for high-dimensional electromagnetic systems}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {498}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429488}, doi = {10.1145/2429384.2429488}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JandhyalaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangLL12, author = {Iris Hui{-}Ru Jiang and Zhuo Li and Yih{-}Lang Li}, editor = {Alan J. Hu}, title = {Opening: Introduction to {CAD} contest at {ICCAD} 2012: {CAD} contest}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {341}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429454}, doi = {10.1145/2429384.2429454}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JiangLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JongWHK12, author = {WoeiTzy Jong and Hwei{-}Tseng Wang and Chengta Hsieh and Kei{-}Yong Khoo}, editor = {Alan J. Hu}, title = {{ICCAD-2012} {CAD} contest in finding the minimal logic difference for functional {ECO} and benchmark suite: {CAD} contest}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {342--344}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429455}, doi = {10.1145/2429384.2429455}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JongWHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JoseMSM12, author = {John Jose and K. V. Mahathi and J. Shiva Shankar and Madhu Mutyam}, editor = {Alan J. Hu}, title = {{TRACKER:} {A} low overhead adaptive NoC router with load balancing selection strategy}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {564--568}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429507}, doi = {10.1145/2429384.2429507}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JoseMSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JouppiKMS12, author = {Norman P. Jouppi and Andrew B. Kahng and Naveen Muralimanohar and Vaishnav Srinivas}, editor = {Alan J. Hu}, title = {{CACTI-IO:} {CACTI} with off-chip power-area-timing models}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {294--301}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429446}, doi = {10.1145/2429384.2429446}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JouppiKMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JunKC12, author = {Minje Jun and Myoung{-}Jin Kim and Eui{-}Young Chung}, editor = {Alan J. Hu}, title = {Asymmetric {DRAM} synthesis for heterogeneous chip multiprocessors in 3D-stacked architecture}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {73--80}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429399}, doi = {10.1145/2429384.2429399}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JunKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KnechtelMLT12, author = {Johann Knechtel and Igor L. Markov and Jens Lienig and Matthias Thiele}, editor = {Alan J. Hu}, title = {Multiobjective optimization of deadspace, a critical resource for 3D-IC integration}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {705--712}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429538}, doi = {10.1145/2429384.2429538}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KnechtelMLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KulkarniNV12, author = {Niranjan Kulkarni and Nishant Nukala and Sarma B. K. Vrudhula}, editor = {Alan J. Hu}, title = {Minimizing area and power of sequential {CMOS} circuits using threshold decomposition}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {605--612}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429514}, doi = {10.1145/2429384.2429514}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KulkarniNV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KuppHCM12, author = {Nathan Kupp and Ke Huang and John M. Carulli Jr. and Yiorgos Makris}, editor = {Alan J. Hu}, title = {Spatial correlation modeling for probe test cost reduction in {RF} devices}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {23--29}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429390}, doi = {10.1145/2429384.2429390}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KuppHCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LaiYL12, author = {Suming Lai and Boyuan Yan and Peng Li}, editor = {Alan J. Hu}, title = {Stability assurance and design optimization of large power delivery networks with multiple on-chip voltage regulators}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {247--254}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429433}, doi = {10.1145/2429384.2429433}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LaiYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Lampaert12, author = {Koen Lampaert}, editor = {Alan J. Hu}, title = {Implementing high-performance, low-power embedded processors: Challenges and solutions: Designer track}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {523}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429495}, doi = {10.1145/2429384.2429495}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Lampaert12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeG12, author = {John Lee and Puneet Gupta}, editor = {Alan J. Hu}, title = {Impact of range and precision in technology on cell-based design}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {218--225}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429426}, doi = {10.1145/2429384.2429426}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeML12, author = {Young{-}Joon Lee and Patrick Morrow and Sung Kyu Lim}, editor = {Alan J. Hu}, title = {Ultra high density logic designs using transistor-level monolithic 3D integration}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {539--546}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429500}, doi = {10.1145/2429384.2429500}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeSP12, author = {Jin{-}Fa Lee and Yang Shao and Zhen Peng}, editor = {Alan J. Hu}, title = {Co-simulations of electromagnetic and thermal effects in electronic circuits using non-conformal numerical methods}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {499}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429489}, doi = {10.1145/2429384.2429489}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Li12, author = {Xin Li}, editor = {Alan J. Hu}, title = {Post-silicon performance modeling and tuning of analog/mixed-signal circuits via Bayesian Model Fusion}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {551--552}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429503}, doi = {10.1145/2429384.2429503}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Li12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Li12a, author = {Peng Li}, editor = {Alan J. Hu}, title = {Design analysis of {IC} power delivery}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {664--666}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429528}, doi = {10.1145/2429384.2429528}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Li12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiAP12, author = {Tuo Li and Jude Angelo Ambrose and Sri Parameswaran}, editor = {Alan J. Hu}, title = {Fine-grained hardware/software methodology for process migration in MPSoCs}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {508--515}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429492}, doi = {10.1145/2429384.2429492}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiAP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiBLN12, author = {Zhuo Li and Raju Balasubramanian and Frank Liu and Sani R. Nassif}, editor = {Alan J. Hu}, title = {2012 {TAU} power grid simulation contest: Benchmark suite and results}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {643--646}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429523}, doi = {10.1145/2429384.2429523}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiBLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiKLZ12, author = {Li Li and Peng Kang and Yinghai Lu and Hai Zhou}, editor = {Alan J. Hu}, title = {An efficient algorithm for library-based cell-type selection in high-performance low-power designs}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {226--232}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429427}, doi = {10.1145/2429384.2429427}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiKLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLQBR12, author = {Peng Li and David J. Lilja and Weikang Qian and Kia Bazargan and Marc D. Riedel}, editor = {Alan J. Hu}, title = {The synthesis of complex arithmetic computation on stochastic bit streams using sequential logic}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {480--487}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429483}, doi = {10.1145/2429384.2429483}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiLQBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiWZLWC12, author = {Peng Li and Yuxin Wang and Peng Zhang and Guojie Luo and Tao Wang and Jason Cong}, editor = {Alan J. Hu}, title = {Memory partitioning and scheduling co-optimization in behavioral synthesis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {488--495}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429484}, doi = {10.1145/2429384.2429484}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiWZLWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiZWSG12, author = {Xin Li and Wangyang Zhang and Fa Wang and Shupeng Sun and Chenjie Gu}, editor = {Alan J. Hu}, title = {Efficient parametric yield estimation of analog/mixed-signal circuits via Bayesian model fusion}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {627--634}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429519}, doi = {10.1145/2429384.2429519}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiZWSG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinL12, author = {Honghuang Lin and Peng Li}, editor = {Alan J. Hu}, title = {Classifying circuit performance using active-learning guided support vector machines}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {187--194}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429420}, doi = {10.1145/2429384.2429420}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinLLC12, author = {Cheng{-}Wu Lin and Chung{-}Lin Lee and Jai{-}Ming Lin and Soon{-}Jyh Chang}, editor = {Alan J. Hu}, title = {Analytical-based approach for capacitor placement with gradient error compensation and device correlation enhancement in analog integrated circuits}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {635--642}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429520}, doi = {10.1145/2429384.2429520}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinWZCP12, author = {Xue Lin and Yanzhi Wang and Di Zhu and Naehyuck Chang and Massoud Pedram}, editor = {Alan J. Hu}, title = {Online fault detection and tolerance for photovoltaic energy harvesting systems}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {1--6}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429386}, doi = {10.1145/2429384.2429386}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinWZCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinYPL12, author = {Yen{-}Hung Lin and Bei Yu and David Z. Pan and Yih{-}Lang Li}, editor = {Alan J. Hu}, title = {{TRIAD:} {A} triple patterning lithography aware detailed router}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {123--129}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429408}, doi = {10.1145/2429384.2429408}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinYPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuLK12, author = {Wen{-}Hao Liu and Yih{-}Lang Li and Cheng{-}Kok Koh}, editor = {Alan J. Hu}, title = {A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {713--719}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429539}, doi = {10.1145/2429384.2429539}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuLV12, author = {Lingyi Liu and Chen{-}Hsuan Lin and Shobha Vasudevan}, editor = {Alan J. Hu}, title = {Word level feature discovery to enhance quality of assertion mining}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {210--217}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429424}, doi = {10.1145/2429384.2429424}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuLV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuYYKX12, author = {Yuxi Liu and Rong Ye and Feng Yuan and Rakesh Kumar and Qiang Xu}, editor = {Alan J. Hu}, title = {On logic synthesis for timing speculation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {591--596}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429512}, doi = {10.1145/2429384.2429512}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuYYKX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuK12, author = {Chien{-}Ping Lu and Brian Ko}, editor = {Alan J. Hu}, title = {Latency tolerance for Throughput Computing: Designer track}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {524--525}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429496}, doi = {10.1145/2429384.2429496}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuKSCCH12, author = {Shih{-}Lien Lu and Tanay Karnik and Ganapati Srinivasa and Kai{-}Yuan Chao and Doug Carmean and Jim Held}, editor = {Alan J. Hu}, title = {Scaling the "Memory Wall": Designer track}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {271--272}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429437}, doi = {10.1145/2429384.2429437}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuKSCCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuMT12, author = {Jianchao Lu and Xiaomi Mao and Baris Taskin}, editor = {Alan J. Hu}, title = {Clock mesh synthesis with gated local trees and activity driven register clustering}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {691--697}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429536}, doi = {10.1145/2429384.2429536}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuMT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuTB12, author = {Shiting (Justin) Lu and Russell Tessier and Wayne P. Burleson}, editor = {Alan J. Hu}, title = {Collaborative calibration of on-chip thermal sensors using performance counters}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {15--22}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429388}, doi = {10.1145/2429384.2429388}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuTB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuZ12, author = {Yinghai Lu and Hai Zhou}, editor = {Alan J. Hu}, title = {Efficient design space exploration for component-based system design}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {466--472}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429480}, doi = {10.1145/2429384.2429480}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuoCH12, author = {Yan Luo and Krishnendu Chakrabarty and Tsung{-}Yi Ho}, editor = {Alan J. Hu}, title = {Dictionary-based error recovery in cyberphysical digital-microfluidic biochips}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {369--376}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429463}, doi = {10.1145/2429384.2429463}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuoCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MarellaKS12, author = {Sravan K. Marella and Sanjay V. Kumar and Sachin S. Sapatnekar}, editor = {Alan J. Hu}, title = {A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {317--324}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429450}, doi = {10.1145/2429384.2429450}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MarellaKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MarkovHK12, author = {Igor L. Markov and Jin Hu and Myung{-}Chul Kim}, editor = {Alan J. Hu}, title = {Progress and challenges in {VLSI} placement research}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {275--282}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429441}, doi = {10.1145/2429384.2429441}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MarkovHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MeguerdichianP12, author = {Saro Meguerdichian and Miodrag Potkonjak}, editor = {Alan J. Hu}, title = {Using standardized quantization for multi-party {PPUF} matching: Foundations and applications}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {577--584}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429509}, doi = {10.1145/2429384.2429509}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MeguerdichianP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MiaoHGO12, author = {Jin Miao and Ku He and Andreas Gerstlauer and Michael Orshansky}, editor = {Alan J. Hu}, title = {Modeling and synthesis of quality-energy optimal approximate adders}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {728--735}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429542}, doi = {10.1145/2429384.2429542}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MiaoHGO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Miskov-ZivanovFMS12, author = {Natasa Miskov{-}Zivanov and James R. Faeder and Chris J. Myers and Herbert M. Sauro}, editor = {Alan J. Hu}, title = {Modeling and design automation of biological circuits and systems}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {291--293}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429444}, doi = {10.1145/2429384.2429444}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Miskov-ZivanovFMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Najm12, author = {Farid N. Najm}, editor = {Alan J. Hu}, title = {Overview of vectorless/early power grid verification}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {670--677}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429530}, doi = {10.1145/2429384.2429530}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Najm12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OzdalH12, author = {Muhammet Mustafa Ozdal and Renato Fernandes Hentschke}, editor = {Alan J. Hu}, title = {Maze routing algorithms with exact matching constraints for analog and mixed signal designs}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {130--136}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429409}, doi = {10.1145/2429384.2429409}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/OzdalH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PakLP12, author = {Jiwoo Pak and Sung Kyu Lim and David Z. Pan}, editor = {Alan J. Hu}, title = {Electromigration-aware routing for 3D ICs with stress-aware {EM} modeling}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {325--332}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429451}, doi = {10.1145/2429384.2429451}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PakLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PanCCLH12, author = {Po{-}Cheng Pan and Hung{-}Ming Chen and Yi{-}Kan Cheng and Jill Liu and Wei{-}Yi Hu}, editor = {Alan J. Hu}, title = {Configurable analog routing methodology via technology and design constraint unification}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {620--626}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429517}, doi = {10.1145/2429384.2429517}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PanCCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Patti12, author = {Robert Patti}, editor = {Alan J. Hu}, title = {3D integrated circuits: Designing in a new dimension: Designer track}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {274}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429439}, doi = {10.1145/2429384.2429439}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Patti12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/QianWLLBR12, author = {Weikang Qian and Chen Wang and Peng Li and David J. Lilja and Kia Bazargan and Marc D. Riedel}, editor = {Alan J. Hu}, title = {An efficient implementation of numerical integration using logical computation on stochastic bit streams}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {156--162}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429414}, doi = {10.1145/2429384.2429414}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/QianWLLBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RamprasathV12, author = {S. Ramprasath and Vinita Vasudevan}, editor = {Alan J. Hu}, title = {On the computation of criticality in statistical timing analysis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {172--179}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429418}, doi = {10.1145/2429384.2429418}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RamprasathV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SauerCPB12, author = {Matthias Sauer and Alexander Czutro and Ilia Polian and Bernd Becker}, editor = {Alan J. Hu}, title = {Small-delay-fault {ATPG} with waveform accuracy}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {30--36}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429391}, doi = {10.1145/2429384.2429391}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SauerCPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShinKCP12, author = {Donghwa Shin and Kitae Kim and Naehyuck Chang and Massoud Pedram}, editor = {Alan J. Hu}, title = {Battery cell configuration for organic light emitting diode display in modern smartphones and tablet-PCs}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {679--686}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429533}, doi = {10.1145/2429384.2429533}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ShinKCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SteinhorstH12, author = {Sebastian Steinhorst and Lars Hedrich}, editor = {Alan J. Hu}, title = {Trajectory-Directed discrete state space modeling for formal verification of nonlinear analog circuits}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {202--209}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429423}, doi = {10.1145/2429384.2429423}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SteinhorstH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TabkhiS12, author = {Hamed Tabkhi and Gunar Schirner}, editor = {Alan J. Hu}, title = {AFReP: Application-guided Function-level Registerfile power-gating for embedded processors}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {302--308}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429447}, doi = {10.1145/2429384.2429447}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TabkhiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TianZ0XW12, author = {Haitong Tian and Hongbo Zhang and Qiang Ma and Zigang Xiao and Martin D. F. Wong}, editor = {Alan J. Hu}, title = {A polynomial time triple patterning algorithm for cell based row-structure layout}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {57--64}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429396}, doi = {10.1145/2429384.2429396}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TianZ0XW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Torres12, author = {J. Andres Torres}, editor = {Alan J. Hu}, title = {{ICCAD-2012} {CAD} contest in fuzzy pattern matching for physical verification and benchmark suite}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {349--350}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429457}, doi = {10.1145/2429384.2429457}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Torres12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ViswanathanASLW12, author = {Natarajan Viswanathan and Charles J. Alpert and Cliff C. N. Sze and Zhuo Li and Yaoguang Wei}, editor = {Alan J. Hu}, title = {{ICCAD-2012} {CAD} contest in design hierarchy aware routability-driven placement and benchmark suite}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {345--348}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429456}, doi = {10.1145/2429384.2429456}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ViswanathanASLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Wang12, author = {Jia Wang}, editor = {Alan J. Hu}, title = {Deterministic random walk preconditioning for power grid analysis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {392--398}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429467}, doi = {10.1145/2429384.2429467}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Wang12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCLH12, author = {Jia Wang and Xiaodao Chen and Lin Liu and Shiyan Hu}, editor = {Alan J. Hu}, title = {Fast approximation for peak power driven voltage partitioning in almost linear time}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {698--704}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429537}, doi = {10.1145/2429384.2429537}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCT12, author = {Shuo Wang and Jifeng Chen and Mohammad Tehranipoor}, editor = {Alan J. Hu}, title = {Representative Critical Reliability Paths for low-cost and accurate on-chip aging evaluation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {736--741}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429543}, doi = {10.1145/2429384.2429543}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangZJKC12, author = {Peiyuan Wang and Wei Zhang and Rajiv V. Joshi and Rouwaida Kanj and Yiran Chen}, editor = {Alan J. Hu}, title = {A thermal and process variation aware {MTJ} switching model and its applications in soft error analysis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {720--727}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429541}, doi = {10.1145/2429384.2429541}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangZJKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WeiLKP12, author = {Sheng Wei and Kai Li and Farinaz Koushanfar and Miodrag Potkonjak}, editor = {Alan J. Hu}, title = {Provably complete hardware Trojan detection using test point insertion}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {569--576}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429508}, doi = {10.1145/2429384.2429508}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WeiLKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WenK12, author = {He Wen and Laszlo B. Kish}, editor = {Alan J. Hu}, title = {Noise based logic: Why noise?}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {152--155}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429413}, doi = {10.1145/2429384.2429413}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WenK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WengCWC12, author = {Shih{-}Hung Weng and Quan Chen and Ngai Wong and Chung{-}Kuan Cheng}, editor = {Alan J. Hu}, title = {Circuit simulation via matrix exponential method for stiffness handling and parallel processing}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {407--414}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429469}, doi = {10.1145/2429384.2429469}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WengCWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuH12, author = {Bo{-}Han Wu and Chung{-}Yang (Ric) Huang}, editor = {Alan J. Hu}, title = {A robust general constrained random pattern generator for constraints with variable ordering}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {109--114}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429405}, doi = {10.1145/2429384.2429405}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WuH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuLCCCHL12, author = {Po{-}Hsun Wu and Mark Po{-}Hung Lin and Yang{-}Ru Chen and Bing{-}Shiun Chou and Tung{-}Chieh Chen and Tsung{-}Yi Ho and Bin{-}Da Liu}, editor = {Alan J. Hu}, title = {Performance-driven analog placement considering monotonic current paths}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {613--619}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429516}, doi = {10.1145/2429384.2429516}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuLCCCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XieS12, author = {Jianyong Xie and Madhavan Swaminathan}, editor = {Alan J. Hu}, title = {3D transient thermal solver using non-conformal domain decomposition approach}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {333--340}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429452}, doi = {10.1145/2429384.2429452}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/XieS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XiongW12, author = {Xuanxing Xiong and Jia Wang}, editor = {Alan J. Hu}, title = {Parallel forward and back substitution for efficient power grid simulation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {660--663}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429527}, doi = {10.1145/2429384.2429527}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/XiongW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangLCZ12, author = {Jianlei Yang and Zuowei Li and Yici Cai and Qiang Zhou}, editor = {Alan J. Hu}, title = {PowerRush : Efficient transient simulation for power grid analysis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {653--659}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429526}, doi = {10.1145/2429384.2429526}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangLCZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangWM12, author = {Wenlong Yang and Lingli Wang and Alan Mishchenko}, editor = {Alan J. Hu}, title = {Lazy man's logic synthesis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {597--604}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429513}, doi = {10.1145/2429384.2429513}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YehCHH12, author = {Sheng{-}Han Yeh and Jia{-}Wen Chang and Tsung{-}Wei Huang and Tsung{-}Yi Ho}, editor = {Alan J. Hu}, title = {Voltage-aware chip-level design for reliability-driven pin-constrained {EWOD} chips}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {353--360}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429461}, doi = {10.1145/2429384.2429461}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YehCHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YinDL12, author = {Leyi Yin and Yue Deng and Peng Li}, editor = {Alan J. Hu}, title = {Verifying dynamic properties of nonlinear mixed-signal circuits via efficient SMT-based techniques}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {436--442}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429474}, doi = {10.1145/2429384.2429474}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YinDL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuAH12, author = {Chien{-}Chih Yu and Armin Alaghi and John P. Hayes}, editor = {Alan J. Hu}, title = {Scalable sampling methodology for logic simulation: Reduced-Ordered Monte Carlo}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {195--201}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429422}, doi = {10.1145/2429384.2429422}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YuAH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuGDBYYCP12, author = {Bei Yu and Jhih{-}Rong Gao and Duo Ding and Yongchan Ban and Jae{-}Seok Yang and Kun Yuan and Minsik Cho and David Z. Pan}, editor = {Alan J. Hu}, title = {Dealing with {IC} manufacturability in extreme scaling (Embedded tutorial paper)}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {240--242}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429430}, doi = {10.1145/2429384.2429430}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YuGDBYYCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuW12, author = {Ting Yu and Martin D. F. Wong}, editor = {Alan J. Hu}, title = {PGT{\_}SOLVER: An efficient solver for power grid transient analysis}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {647--652}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429524}, doi = {10.1145/2429384.2429524}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YuW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuXW12, author = {Ting Yu and Zigang Xiao and Martin D. F. Wong}, editor = {Alan J. Hu}, title = {Efficient parallel power grid analysis via Additive Schwarz Method}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {399--406}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429468}, doi = {10.1145/2429384.2429468}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YuXW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangCCP12, author = {Yilin Zhang and Ashutosh Chakraborty and Salim Chowdhury and David Z. Pan}, editor = {Alan J. Hu}, title = {Reclaiming over-the-IP-block routing resources with buffering-aware rectilinear Steiner minimum tree construction}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {137--143}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429410}, doi = {10.1145/2429384.2429410}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangCCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangDWDM12, author = {Hongbo Zhang and Yuelin Du and Martin D. F. Wong and Yunfei Deng and Pawitter Mangat}, editor = {Alan J. Hu}, title = {Layout small-angle rotation and shift for {EUV} defect mitigation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {43--49}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429394}, doi = {10.1145/2429384.2429394}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangDWDM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangSXHJVS12, author = {Wangyang Zhang and Amith Singhee and Jinjun Xiong and Peter A. Habitz and Amol Joshi and Chandu Visweswariah and James Sundquist}, editor = {Alan J. Hu}, title = {A dynamic method for efficient random mismatch characterization of standard cells}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {180--186}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429419}, doi = {10.1145/2429384.2429419}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangSXHJVS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangZWSC12, author = {Yaojun Zhang and Lu Zhang and Wujie Wen and Guangyu Sun and Yiran Chen}, editor = {Alan J. Hu}, title = {Multi-level cell {STT-RAM:} Is it realistic or just a dream?}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {526--532}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429498}, doi = {10.1145/2429384.2429498}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangZWSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoF12, author = {Xueqian Zhao and Zhuo Feng}, editor = {Alan J. Hu}, title = {{GPSCP:} {A} general-purpose support-circuit preconditioning approach to large-scale SPICE-accurate nonlinear circuit simulations}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {429--435}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429473}, doi = {10.1145/2429384.2429473}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoX12, author = {Jishen Zhao and Yuan Xie}, editor = {Alan J. Hu}, title = {Optimizing bandwidth and power of graphics memory with hybrid memory technologies and adaptive data migration}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {81--87}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429400}, doi = {10.1145/2429384.2429400}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouCKKS12, author = {Pingqiang Zhou and Won Ho Choi and Bongjin Kim and Chris H. Kim and Sachin S. Sapatnekar}, editor = {Alan J. Hu}, title = {Optimization of on-chip switched-capacitor {DC-DC} converters for high-performance applications}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {263--270}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429435}, doi = {10.1145/2429384.2429435}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhouCKKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuoWCACS12, author = {Cheng Zhuo and Gustavo R. Wilke and Ritochit Chakraborty and Alaeddin A. Aydiner and Sourav Chakravarty and Wei{-}Kai Shih}, editor = {Alan J. Hu}, title = {A silicon-validated methodology for power delivery modeling and simulation}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {255--262}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429434}, doi = {10.1145/2429384.2429434}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhuoWCACS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2012, editor = {Alan J. Hu}, title = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384}, doi = {10.1145/2429384}, isbn = {978-1-4577-1398-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.