Search dblp for Publications

export results for "toc:db/conf/glvlsi/glvlsi2017.bht:"

 download as .bib file

@inproceedings{DBLP:conf/glvlsi/AbusultanK17,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design of a Flash-based Circuit for Multi-valued Logic},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {41--46},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060452},
  doi          = {10.1145/3060403.3060452},
  timestamp    = {Tue, 06 Nov 2018 16:59:34 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AbusultanK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AlasadYF17,
  author       = {Qutaiba Alasad and
                  Jiann{-}Shiun Yuan and
                  Deliang Fan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Leveraging All-Spin Logic to Improve Hardware Security},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {491--494},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060471},
  doi          = {10.1145/3060403.3060471},
  timestamp    = {Thu, 08 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AlasadYF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AmirSFTB17,
  author       = {Sarah Amir and
                  Bicky Shakya and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Comparative Analysis of Hardware Obfuscation for {IP} Protection},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {363--368},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060495},
  doi          = {10.1145/3060403.3060495},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AmirSFTB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AngiziHF17,
  author       = {Shaahin Angizi and
                  Zhezhi He and
                  Deliang Fan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Energy Efficient In-Memory Computing Platform Based on 4-Terminal
                  Spin Hall Effect-Driven Domain Wall Motion Devices},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {77--82},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060459},
  doi          = {10.1145/3060403.3060459},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AngiziHF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ArafinAQ17,
  author       = {Md Tanvir Arafin and
                  Dhananjay Anand and
                  Gang Qu},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Low-Cost {GPS} Spoofing Detector Design for Internet of Things (IoT)
                  Applications},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {161--166},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060455},
  doi          = {10.1145/3060403.3060455},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ArafinAQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AtaeiS17,
  author       = {Samira Ataei and
                  James E. Stine},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Reconfigurable Replica Bitline to Determine Optimum {SRAM} Sense
                  Amplifier Set Time},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {269--274},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060463},
  doi          = {10.1145/3060403.3060463},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AtaeiS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AzrielGM17,
  author       = {Leonid Azriel and
                  Ran Ginosar and
                  Avi Mendelson},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Revealing On-chip Proprietary Security Functions with Scan Side Channel
                  Based Reverse Engineering},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {233--238},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060464},
  doi          = {10.1145/3060403.3060464},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AzrielGM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BaiHDL17,
  author       = {Yu Bai and
                  X. Sharon Hu and
                  Ronald F. DeMara and
                  Mingjie Lin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Spin-Orbit Torque based Cellular Neural Network {(CNN)} Architecture},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {59--64},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060472},
  doi          = {10.1145/3060403.3060472},
  timestamp    = {Tue, 13 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BaiHDL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Bruton17,
  author       = {Alex Bruton},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Designing Really Big Value Ideas},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066909},
  doi          = {10.1145/3060403.3066909},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Bruton17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/CaiWNKZ17,
  author       = {Hao Cai and
                  You Wang and
                  Lirida A. B. Naviner and
                  Wang Kang and
                  Weisheng Zhao},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Energy Efficient Magnetic Tunnel Junction Based Hybrid {LSI} Using
                  Multi-Threshold {UTBB-FD-SOI} Device},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {23--28},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060413},
  doi          = {10.1145/3060403.3060413},
  timestamp    = {Mon, 02 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/CaiWNKZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Chandrasekharan17,
  author       = {Arun Chandrasekharan and
                  Daniel Gro{\ss}e and
                  Rolf Drechsler},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {ProACt: {A} Processor for High Performance On-demand Approximate Computing},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {463--466},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060415},
  doi          = {10.1145/3060403.3060415},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Chandrasekharan17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Chang17,
  author       = {Leland Chang},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Cognitive Data-Centric Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060491},
  doi          = {10.1145/3060403.3060491},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Chang17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChenLMHL17,
  author       = {Linbin Chen and
                  Fabrizio Lombardi and
                  Paolo Montuschi and
                  Jie Han and
                  Weiqiang Liu},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design of Approximate High-Radix Dividers by Inexact Binary Signed-Digit
                  Addition},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {293--298},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060404},
  doi          = {10.1145/3060403.3060404},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChenLMHL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChenMF17,
  author       = {Yong Chen and
                  Emil Mat{\'{u}}s and
                  Gerhard P. Fettweis},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Combined Centralized and Distributed Connection Allocation in Large
                  {TDM} Circuit Switching NoCs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {411--414},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060414},
  doi          = {10.1145/3060403.3060414},
  timestamp    = {Mon, 08 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChenMF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChenWGYLQ17,
  author       = {Zixuan Chen and
                  Huaqiang Wu and
                  Bin Gao and
                  Peng Yao and
                  Xinyi Li and
                  He Qian},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Neuromorphic Computing based on Resistive {RAM}},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {311--315},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066873},
  doi          = {10.1145/3060403.3066873},
  timestamp    = {Tue, 16 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChenWGYLQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChenZQHLY17,
  author       = {Yuanchang Chen and
                  Yizhe Zhu and
                  Fei Qiao and
                  Jie Han and
                  Yuansheng Liu and
                  Huazhong Yang},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Evaluating Data Resilience in CNNs from an Approximate Memory Perspective},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {89--94},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060435},
  doi          = {10.1145/3060403.3060435},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChenZQHLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChengLDNW17,
  author       = {Huimei Cheng and
                  Ji Li and
                  Jeffrey T. Draper and
                  Shahin Nazarian and
                  Yanzhi Wang},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Deadline-Aware Joint Optimization of Sleep Transistor and Supply Voltage
                  for FinFET Based Embedded Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {427--430},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060424},
  doi          = {10.1145/3060403.3060424},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChengLDNW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChuTSPZAXIMG17,
  author       = {Zhufei Chu and
                  Xifan Tang and
                  Mathias Soeken and
                  Ana Petkovska and
                  Grace Zgheib and
                  Luca Gaetano Amar{\`{u}} and
                  Yinshui Xia and
                  Paolo Ienne and
                  Giovanni De Micheli and
                  Pierre{-}Emmanuel Gaillardon},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Improving Circuit Mapping Performance Through MIG-based Synthesis
                  for Carry Chains},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {131--136},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060432},
  doi          = {10.1145/3060403.3060432},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChuTSPZAXIMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/CritesKB17,
  author       = {Brian Crites and
                  Karen Kong and
                  Philip Brisk},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Reducing Microfluidic Very Large Scale Integration (mVLSI) Chip Area
                  by Seam Carving},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {459--462},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060461},
  doi          = {10.1145/3060403.3060461},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/CritesKB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DingLSMB17,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  Rongye Shi and
                  Diana Marculescu and
                  R. D. (Shawn) Blanton},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {LightNN: Filling the Gap between Conventional Deep Neural Networks
                  and Binarized Networks},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {35--40},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060465},
  doi          = {10.1145/3060403.3060465},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DingLSMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DofeGSYK017,
  author       = {Jaya Dofe and
                  Peng Gu and
                  Dylan C. Stow and
                  Qiaoyan Yu and
                  Eren Kursun and
                  Yuan Xie},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Security Threats and Countermeasures in Three-Dimensional Integrated
                  Circuits},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {321--326},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060500},
  doi          = {10.1145/3060403.3060500},
  timestamp    = {Fri, 15 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DofeGSYK017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DofeZYYS17,
  author       = {Jaya Dofe and
                  Zhiming Zhang and
                  Qiaoyan Yu and
                  Chen Yan and
                  Emre Salman},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Impact of Power Distribution Network on Power Analysis Attacks in
                  Three-Dimensional Integrated Circuits},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {327--332},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060501},
  doi          = {10.1145/3060403.3060501},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DofeZYYS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/EhsanZ017,
  author       = {Md. Amimul Ehsan and
                  Zhen Zhou and
                  Yang Yi},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Neuromorphic 3D Integrated Circuit: {A} Hybrid, Reliable and Energy
                  Efficient Approach for Next Generation Computing},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {221--226},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060470},
  doi          = {10.1145/3060403.3060470},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/EhsanZ017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/FairouzAK17,
  author       = {Abbas A. Fairouz and
                  Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Circuit Level Design of a Hardware Hash Unit for use in Modern Microprocessors},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {101--106},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060451},
  doi          = {10.1145/3060403.3060451},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/FairouzAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GokH17,
  author       = {Ali Murat Gok and
                  Nikos Hardavellas},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {VaLHALLA: Variable Latency History Aware Local-carry Lazy Adder},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {17--22},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060437},
  doi          = {10.1145/3060403.3060437},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GokH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/HeAPF17,
  author       = {Zhezhi He and
                  Shaahin Angizi and
                  Farhana Parveen and
                  Deliang Fan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Leveraging Dual-Mode Magnetic Crossbar for Ultra-low Energy In-memory
                  Data Encryption},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {83--88},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060460},
  doi          = {10.1145/3060403.3060460},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/HeAPF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/HeWGC17,
  author       = {Xu He and
                  Yao Wang and
                  Yang Guo and
                  Sorin Cotofana},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Mixed-Size Monolithic 3D Placer with 2D Layout Inheritance},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {29--34},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060411},
  doi          = {10.1145/3060403.3060411},
  timestamp    = {Sun, 23 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/HeWGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/HerathPJS17,
  author       = {Kalindu Herath and
                  Alok Prakash and
                  Guiyuan Jiang and
                  Thambipillai Srikanthan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Communication-aware Partitioning for Energy Optimization of Large
                  {FPGA} Designs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {407--410},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060441},
  doi          = {10.1145/3060403.3060441},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/HerathPJS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/HungCM17,
  author       = {Chung{-}Yao Hung and
                  Peng{-}Yi Chou and
                  Wai{-}Kei Mak},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Mixed-Cell-Height Standard Cell Placement Legalization},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060473},
  doi          = {10.1145/3060403.3060473},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/HungCM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/JeonK17,
  author       = {Gyunam Jeon and
                  Yong{-}Bin Kim},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Switched Capacitor and Infinite Impulse Response Summation for a Quarter-Rate
                  {DFE} with 4Gb/s Data Rate},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {439--442},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060427},
  doi          = {10.1145/3060403.3060427},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/JeonK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Jha17,
  author       = {Niraj K. Jha},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Internet-of-Medical-Things},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066861},
  doi          = {10.1145/3060403.3066861},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Jha17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/JiangFK17,
  author       = {Zhen Hang Jiang and
                  Yunsi Fei and
                  David R. Kaeli},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Novel Side-Channel Timing Attack on GPUs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060462},
  doi          = {10.1145/3060403.3060462},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/JiangFK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/JiangMW17,
  author       = {Lei Jiang and
                  Sparsh Mittal and
                  Wujie Wen},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Building a Fast and Power Efficient Inductive Charge Pump System for
                  3D Stacked Phase Change Memories},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {275--280},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060412},
  doi          = {10.1145/3060403.3060412},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/JiangMW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Jones17,
  author       = {Alex K. Jones},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Green Computing: New Challenges and Opportunities},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066859},
  doi          = {10.1145/3060403.3066859},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Jones17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/JunsangsriLJM17,
  author       = {Pilin Junsangsri and
                  Fabrizio Lombardi and
                  Salin Junsangsri and
                  Martin Margala},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design of a Low-Power Non-Volatile Programmable Inverter Cell for
                  COGRE-based Circuits},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {11--16},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060405},
  doi          = {10.1145/3060403.3060405},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/JunsangsriLJM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KangWZLZZ17,
  author       = {Wang Kang and
                  Zhaohao Wang and
                  He Zhang and
                  Sai Li and
                  Youguang Zhang and
                  Weisheng Zhao},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Advanced Low Power Spintronic Memories beyond {STT-MRAM}},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {299--304},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060589},
  doi          = {10.1145/3060403.3060589},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KangWZLZZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KazmaHMS17,
  author       = {Ghaith Kazma and
                  Ghaith Bany Hamad and
                  Otmane A{\"{\i}}t Mohamed and
                  Yvon Savaria},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Analysis of {SEU} Propagation in Combinational Circuits at {RTL} Based
                  on Satisfiability Modulo Theories},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {239--244},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060438},
  doi          = {10.1145/3060403.3060438},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KazmaHMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KinsyKI17,
  author       = {Michel A. Kinsy and
                  Shreeya Khadka and
                  Mihailo Isakov},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {PreNoc: Neural Network based Predictive Routing for Network-on-Chip
                  Architectures},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {65--70},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060406},
  doi          = {10.1145/3060403.3060406},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KinsyKI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KongSZ17,
  author       = {Shuyu Kong and
                  Yuanqi Shen and
                  Hai Zhou},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Using Security Invariant To Verify Confidentiality in Hardware Design},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {487--490},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060456},
  doi          = {10.1145/3060403.3060456},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KongSZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Kose17,
  author       = {Sel{\c{c}}uk K{\"{o}}se},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Efficient and Secure On-Chip Reconfigurable Voltage Regulation for
                  IoT Devices},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {369--374},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060496},
  doi          = {10.1145/3060403.3060496},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Kose17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KramerZDY17,
  author       = {Sean Kramer and
                  Zhiming Zhang and
                  Jaya Dofe and
                  Qiaoyan Yu},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Mitigating Control Flow Attacks in Embedded Systems with Novel Built-in
                  Secure Register Bank},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {483--486},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060433},
  doi          = {10.1145/3060403.3060433},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KramerZDY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KumarJFS17,
  author       = {Binod Kumar and
                  Ankit Jindal and
                  Masahiro Fujita and
                  Virendra Singh},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Combining Restorability and Error Detection Ability for Effective
                  Trace Signal Selection},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {191--196},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060475},
  doi          = {10.1145/3060403.3060475},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KumarJFS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LeeSEGJ17,
  author       = {Wooseok Lee and
                  Dam Sunwoo and
                  Christopher D. Emmons and
                  Andreas Gerstlauer and
                  Lizy K. John},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Exploring Heterogeneous-ISA Core Architectures for High-Performance
                  and Energy-Efficient Mobile SoCs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {419--422},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060408},
  doi          = {10.1145/3060403.3060408},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LeeSEGJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinBT17,
  author       = {Xiang Lin and
                  R. D. (Shawn) Blanton and
                  Donald E. Thomas},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Random Forest Architectures on {FPGA} for Multiple Applications},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {415--418},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060416},
  doi          = {10.1145/3060403.3060416},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinBT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinLHW17,
  author       = {Jack S.{-}Y. Lin and
                  Louis Y.{-}Z. Lin and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Coupling-Aware Functional Timing Analysis for Tighter Bounds: How
                  Much Margin Can We Relax?},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {251--256},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060443},
  doi          = {10.1145/3060403.3060443},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinLHW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinLLL17,
  author       = {Kuen{-}Wey Lin and
                  Yeh{-}Sheng Lin and
                  Yih{-}Lang Li and
                  Rung{-}Bin Lin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Maze Routing-Based Algorithm for {ML-OARST} with Pre-Selecting and
                  Re-Building Steiner Points},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {399--402},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060448},
  doi          = {10.1145/3060403.3060448},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinLLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinWC17,
  author       = {Yuwen Dave Lin and
                  Charles H.{-}P. Wen and
                  Herming Chiueh},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Radiation-Hardened Designs for Soft-Error-Rate Reduction by Delay-Adjustable
                  D-Flip-Flops},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {197--202},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060442},
  doi          = {10.1145/3060403.3060442},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LiuWLXG17,
  author       = {Weichen Liu and
                  Peng Wang and
                  Mengquan Li and
                  Yiyuan Xie and
                  Nan Guan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Quantitative Modeling of Thermo-Optic Effects in Optical Networks-on-Chip},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {263--268},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060457},
  doi          = {10.1145/3060403.3060457},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LiuWLXG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LiuXWL17,
  author       = {Weiqiang Liu and
                  Jiahua Xu and
                  Danye Wang and
                  Fabrizio Lombardi},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design of Approximate Logarithmic Multipliers},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {47--52},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060409},
  doi          = {10.1145/3060403.3060409},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LiuXWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LuinaudSL17,
  author       = {Thomas Luinaud and
                  Yvon Savaria and
                  J. M. Pierre Langlois},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {An {FPGA} Coarse Grained Intermediate Fabric for Regular Expression
                  Search},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {423--426},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060429},
  doi          = {10.1145/3060403.3060429},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LuinaudSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MachadoC17,
  author       = {Lucas Machado and
                  Jordi Cortadella},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Boolean Decomposition for {AIG} Optimization},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {143--148},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060420},
  doi          = {10.1145/3060403.3060420},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MachadoC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MaitiP17,
  author       = {Shoumik Maiti and
                  Sudeep Pasricha},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {{DELCA:} {DVFS} Efficient Low Cost Multicore Architecture},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {107--112},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060422},
  doi          = {10.1145/3060403.3060422},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MaitiP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MartevHS17,
  author       = {Dimo Martev and
                  Sven Hampel and
                  Ulf Schlichtmann},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Method for Phase Noise Analysis of {RF} Circuits},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {227--231},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060430},
  doi          = {10.1145/3060403.3060430},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MartevHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MassadIGT17,
  author       = {Mohamed El Massad and
                  Frank Imeson and
                  Siddharth Garg and
                  Mahesh Tripunitara},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {The Need for Declarative Properties in Digital {IC} Security},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {333--338},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066870},
  doi          = {10.1145/3060403.3066870},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MassadIGT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/OlsenA17,
  author       = {Daniel Olsen and
                  Iraklis Anagnostopoulos},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Performance-Aware Resource Management of Multi-Threaded Applications
                  on Many-Core Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {119--124},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060426},
  doi          = {10.1145/3060403.3060426},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/OlsenA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/OuyangYXLW17,
  author       = {Peng Ouyang and
                  Shouyi Yin and
                  Chunxiao Xing and
                  Leibo Liu and
                  Shaojun Wei},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Power Efficient Architecture with Optimized Parallel Memory Accessing
                  for Feature Generation},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {287--292},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060436},
  doi          = {10.1145/3060403.3060436},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/OuyangYXLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Partin-Vaisband17,
  author       = {Inna Partin{-}Vaisband},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Automated Design of Stable Power Delivery Systems for Heterogeneous
                  IoT Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {381--386},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060497},
  doi          = {10.1145/3060403.3060497},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Partin-Vaisband17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/PathakHS17,
  author       = {Divya Pathak and
                  Houman Homayoun and
                  Ioannis Savidis},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Work Load Scheduling For Multi Core Systems With Under-Provisioned
                  Power Delivery},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {387--392},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060498},
  doi          = {10.1145/3060403.3060498},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/PathakHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/PerriconeTNH17,
  author       = {Robert Perricone and
                  Li Tang and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Exploiting Non-Volatility for Information Processing},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {305--310},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066872},
  doi          = {10.1145/3060403.3066872},
  timestamp    = {Sun, 17 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/PerriconeTNH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/PotterGB17,
  author       = {Joshua Potter and
                  William H. Grover and
                  Philip Brisk},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design Automation for Paper Microfluidics with Passive Flow Substrates},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {215--220},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060476},
  doi          = {10.1145/3060403.3060476},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/PotterGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Putnam17,
  author       = {Andrew Putnam},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {FPGAs in the Datacenter: Combining the Worlds of Hardware and Software
                  Development},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066860},
  doi          = {10.1145/3060403.3066860},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Putnam17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/RajgadiaNS17,
  author       = {Abhishek Rajgadia and
                  Newton and
                  Virendra Singh},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {{EEAL:} Processors' Performance Enhancement Through Early Execution
                  of Aliased Loads},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {113--118},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060445},
  doi          = {10.1145/3060403.3060445},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/RajgadiaNS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SalehiLRP17,
  author       = {Sayed Ahmad Salehi and
                  Yin Liu and
                  Marc D. Riedel and
                  Keshab K. Parhi},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Computing Polynomials with Positive Coefficients using Stochastic
                  Logic by Double-NAND Expansion},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {471--474},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060410},
  doi          = {10.1145/3060403.3060410},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SalehiLRP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Sankaranarayanan17,
  author       = {Rajsaktish Sankaranarayanan and
                  Matthew R. Guthaus},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Energy Savings and Performance Improvement in Subthreshold Using Adaptive
                  Body Bias},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {431--434},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060421},
  doi          = {10.1145/3060403.3060421},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Sankaranarayanan17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SayyaparajuCAR17,
  author       = {Sagarvarma Sayyaparaju and
                  Gangotree Chakma and
                  Sherif Amer and
                  Garrett S. Rose},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Circuit Techniques for Online Learning of Memristive Synapses in CMOS-Memristor
                  Neuromorphic Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {479--482},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060418},
  doi          = {10.1145/3060403.3060418},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SayyaparajuCAR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SerafyYS17,
  author       = {Caleb Serafy and
                  Zhiyuan Yang and
                  Ankur Srivastava},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design Space Modeling and Simulation for Physically Constrained 3D
                  CPUs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {375--380},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060499},
  doi          = {10.1145/3060403.3060499},
  timestamp    = {Thu, 17 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SerafyYS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShaWRQ17,
  author       = {Shi Sha and
                  Wujie Wen and
                  Shaolei Ren and
                  Gang Quan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Thermal-Balanced Variable-Sized-Bin-Packing Approach for Energy
                  Efficient Multi-Core Real-Time Scheduling},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {257--262},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060444},
  doi          = {10.1145/3060403.3060444},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShaWRQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShamsiLMZPJ17,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  Travis Meade and
                  Zheng Zhao and
                  David Z. Pan and
                  Yier Jin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Cyclic Obfuscation for Creating SAT-Unresolvable Circuits},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {173--178},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060458},
  doi          = {10.1145/3060403.3060458},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShamsiLMZPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShamsiLMZPJ17a,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  Travis Meade and
                  Zheng Zhao and
                  David Z. Pan and
                  Yier Jin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Circuit Obfuscation and Oracle-guided Attacks: Who can Prevail?},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060494},
  doi          = {10.1145/3060403.3060494},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShamsiLMZPJ17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShaoYYHC17,
  author       = {Lingxuan Shao and
                  Yibin Yang and
                  Hailong Yao and
                  Tsung{-}Yi Ho and
                  Yici Cai},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {{LUTOSAP:} Lookup Table Based Online Sample Preparation in Microfluidic
                  Biochips},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {447--450},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060446},
  doi          = {10.1145/3060403.3060446},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShaoYYHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SharmaK17,
  author       = {Kinshuk Sharma and
                  Sunil P. Khatri},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Robust C-element Design with Enhanced Metastability Performance},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {95--100},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060425},
  doi          = {10.1145/3060403.3060425},
  timestamp    = {Wed, 31 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SharmaK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShenZ17,
  author       = {Yuanqi Shen and
                  Hai Zhou},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Double {DIP:} Re-Evaluating Security of Logic Encryption Algorithms},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {179--184},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060469},
  doi          = {10.1145/3060403.3060469},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShenZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShiXFT17,
  author       = {Qihang Shi and
                  Kan Xiao and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Securing Split Manufactured ICs with Wire Lifting Obfuscated Built-In
                  Self-Authentication},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {339--344},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060588},
  doi          = {10.1145/3060403.3060588},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShiXFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SomashekarT17,
  author       = {Ahish Mysore Somashekar and
                  Spyros Tragoudas},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Efficient Critical Path Selection Under a Probabilistic Delay Model},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {185--190},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060468},
  doi          = {10.1145/3060403.3060468},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SomashekarT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SongDBSGJ17,
  author       = {Shuang Song and
                  Raj Desikan and
                  Mohamad Barakat and
                  Sridhar Sundaram and
                  Andreas Gerstlauer and
                  Lizy K. John},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Fine-Grain Program Snippets Generator for Mobile Core Design},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {245--250},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060439},
  doi          = {10.1145/3060403.3060439},
  timestamp    = {Mon, 03 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SongDBSGJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SongJS17,
  author       = {Youngsoo Song and
                  Jinwook Jung and
                  Youngsoo Shin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Redundant Via Insertion with Cut Optimization for Self-Aligned Double
                  Patterning},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {137--142},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060440},
  doi          = {10.1145/3060403.3060440},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SongJS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SterponeADCG17,
  author       = {Luca Sterpone and
                  Sarah Azimi and
                  Boyang Du and
                  David Merodio Codinachs and
                  Raoul Grimoldi},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Effective Mitigation of Radiation-induced Single Event Transient on
                  Flash-based FPGAs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {203--208},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060454},
  doi          = {10.1145/3060403.3060454},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SterponeADCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/TanwirHL17,
  author       = {Sarmad Tanwir and
                  Michael S. Hsiao and
                  Loganathan Lingappan},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Test Pattern Quality Metric for Diagnosis of Multiple Stuck-at and
                  Transition faults},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {455--458},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060450},
  doi          = {10.1145/3060403.3060450},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/TanwirHL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/TingH17,
  author       = {Pai{-}Shun Ting and
                  John P. Hayes},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {On the Role of Sequential Circuits in Stochastic Computing},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {475--478},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060453},
  doi          = {10.1145/3060403.3060453},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/TingH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/TossonYAW17,
  author       = {Amr M. S. Tosson and
                  Shimeng Yu and
                  Mohab H. Anis and
                  Lan Wei},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Mitigating the Effect of Reliability Soft-errors of {RRAM} Devices
                  on the Performance of RRAM-based Neuromorphic Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {53--58},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060431},
  doi          = {10.1145/3060403.3060431},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/TossonYAW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Umaz017,
  author       = {Ridvan Umaz and
                  Lei Wang},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {An Energy Combiner Design for Multiple Microbial Energy Harvesting
                  Sources},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {443--446},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060434},
  doi          = {10.1145/3060403.3060434},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Umaz017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/VianelloWGNSPBY17,
  author       = {Elisa Vianello and
                  Thilo Werner and
                  Alessandro Grossi and
                  Etienne Nowak and
                  Barbara De Salvo and
                  Luca Perniola and
                  Olivier Bichler and
                  Blaise Yvert},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Bioinspired Programming of Resistive Memory Devices for Implementing
                  Spiking Neural Networks},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {393--398},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3066871},
  doi          = {10.1145/3060403.3066871},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/VianelloWGNSPBY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Vidal-ObiolsCP17,
  author       = {Alex Vidal{-}Obiols and
                  Jordi Cortadella and
                  Jordi Petit},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Under-the-Cell Routing to Improve Manufacturability},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {125--130},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060428},
  doi          = {10.1145/3060403.3060428},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Vidal-ObiolsCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/VincentLWQ17,
  author       = {Adrien F. Vincent and
                  Nicolas Locatelli and
                  Qifan Wu and
                  Damien Querlioz},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Implications of the Use of Magnetic Tunnel Junctions as Synapses in
                  Neuromorphic Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {317--320},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060587},
  doi          = {10.1145/3060403.3060587},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/VincentLWQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/WangWLM17,
  author       = {Liang Wang and
                  Xiaohang Wang and
                  Ho{-}fung Leung and
                  Terrence S. T. Mak},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Throughput Optimization for Lifetime Budgeting in Many-Core Systems},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {451--454},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060419},
  doi          = {10.1145/3060403.3060419},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/WangWLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/WangZCQ17,
  author       = {Xueyan Wang and
                  Qiang Zhou and
                  Yici Cai and
                  Gang Qu},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {An Empirical Study on Gate Camouflaging Methods Against Circuit Partition
                  Attack},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {345--350},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060493},
  doi          = {10.1145/3060403.3060493},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/WangZCQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/XuXHC17,
  author       = {Xiaodong Xu and
                  Qi Xu and
                  Jinglei Huang and
                  Song Chen},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {An Integrated Optimization Framework for Partitioning, Scheduling
                  and Floorplanning on Partially Dynamically Reconfigurable FPGAs},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {403--406},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060447},
  doi          = {10.1145/3060403.3060447},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/XuXHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YaoVD17,
  author       = {Fan Yao and
                  Guru Venkataramani and
                  Milos Doroslovacki},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Covert Timing Channels Exploiting Non-Uniform Memory Access based
                  Architectures},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {155--160},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060417},
  doi          = {10.1145/3060403.3060417},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YaoVD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YasinSSMSR17,
  author       = {Muhammad Yasin and
                  Abhrajit Sengupta and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Yiorgos Makris and
                  Ozgur Sinanoglu and
                  Jeyavijayan Rajendran},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {What to Lock?: Functional and Parametric Locking},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {351--356},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060492},
  doi          = {10.1145/3060403.3060492},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YasinSSMSR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YuHN17,
  author       = {Jintao Yu and
                  Tom Hogervorst and
                  Razvan Nane},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Domain-Specific Language and Compiler for Computation-in-Memory
                  Skeletons},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {71--76},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060474},
  doi          = {10.1145/3060403.3060474},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YuHN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YuanLLDRYQDW17,
  author       = {Zihao Yuan and
                  Ji Li and
                  Zhe Li and
                  Caiwen Ding and
                  Ao Ren and
                  Bo Yuan and
                  Qinru Qiu and
                  Jeffrey Draper and
                  Yanzhi Wang},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Softmax Regression Design for Stochastic Computing Based Deep Convolutional
                  Neural Networks},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {467--470},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060467},
  doi          = {10.1145/3060403.3060467},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YuanLLDRYQDW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZhouHLZD17,
  author       = {Chaobing Zhou and
                  Libo Huang and
                  Zhisheng Li and
                  Tan Zhang and
                  Qiang Dou},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design Space Exploration of {TAGE} Branch Predictor with Ultra-Small
                  {RAM}},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {281--286},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060423},
  doi          = {10.1145/3060403.3060423},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZhouHLZD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZompakisNRCS17,
  author       = {Nikolaos Zompakis and
                  Michail Noltsis and
                  Dimitrios Rodopoulos and
                  Francky Catthoor and
                  Dimitrios Soudris},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Energy Efficient Adaptive Approach for Dependable Performance in the
                  presence of Timing Interference},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {209--214},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060449},
  doi          = {10.1145/3060403.3060449},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZompakisNRCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZouLN17,
  author       = {Xuncheng Zou and
                  Bo Liu and
                  Shigetoshi Nakatake},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Low Voltage Stochastic Flash {ADC} with Front-end of Inverter-based
                  Comparative Unit},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {435--438},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060466},
  doi          = {10.1145/3060403.3060466},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZouLN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/glvlsi/2017,
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403},
  doi          = {10.1145/3060403},
  isbn         = {978-1-4503-4972-7},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}