Search dblp for Publications

export results for "toc:db/conf/ddecs/ddecs2012.bht:"

 download as .bib file

@inproceedings{DBLP:conf/ddecs/AlahmadiRY12,
  author       = {Ahmed Naif M. Alahmadi and
                  Gordon Russell and
                  Alex Yakovlev},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Reconfigurable time interval measurement circuit incorporating a programmable
                  gain time difference amplifier},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {366--371},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219089},
  doi          = {10.1109/DDECS.2012.6219089},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/AlahmadiRY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ArbetGBS12,
  author       = {Daniel Arbet and
                  G{\'{a}}bor Gyepes and
                  Juraj Brenkus and
                  Viera Stopjakov{\'{a}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{OBIST} strategy versus parametric test - Efficiency in covering catastrophic
                  faults in active analog filters},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {193--194},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219053},
  doi          = {10.1109/DDECS.2012.6219053},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ArbetGBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ArvanitiT12,
  author       = {Efi Arvaniti and
                  Yiorgos Tsiatouhas},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Low power scan by partitioning and scan hold},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {262--265},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219070},
  doi          = {10.1109/DDECS.2012.6219070},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ArvanitiT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/AzarpeyvandSF12,
  author       = {Ali Azarpeyvand and
                  Mostafa E. Salehi and
                  Seid Mehdi Fakhraie},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{CIVA:} Custom instruction vulnerability analysis framework},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {318--323},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219081},
  doi          = {10.1109/DDECS.2012.6219081},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/AzarpeyvandSF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/BadryE12,
  author       = {Ahmed A. El Badry and
                  Mohamed A. Abd El{-}Ghany},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{CDMA} technique for Network-on-Chip},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {163--166},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219045},
  doi          = {10.1109/DDECS.2012.6219045},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/BadryE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/BernardiCGSR12,
  author       = {Paolo Bernardi and
                  Lyl M. Ciganda and
                  Michelangelo Grosso and
                  Ernesto S{\'{a}}nchez and
                  Matteo Sonza Reorda},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A {SBST} strategy to test microprocessors' Branch Target Buffer},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {306--311},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219079},
  doi          = {10.1109/DDECS.2012.6219079},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/BernardiCGSR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/BouajilaLZSH12,
  author       = {Abdelmajid Bouajila and
                  Abdallah Lakhtel and
                  Johannes Zeppenfeld and
                  Walter Stechele and
                  Andreas Herkersdorf},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A low-overhead monitoring ring interconnect for MPSoC parameter optimization},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {46--49},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219023},
  doi          = {10.1109/DDECS.2012.6219023},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/BouajilaLZSH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/BukowiecA12,
  author       = {Arkadiusz Bukowiec and
                  Marian Adamski},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Synthesis of Petri nets into {FPGA} with operation flexible memories},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {16--21},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219016},
  doi          = {10.1109/DDECS.2012.6219016},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/BukowiecA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/CerattiCPV12,
  author       = {Arthur Ceratti and
                  Thiago Copetti and
                  Let{\'{\i}}cia Maria Bolzani Poehls and
                  Fabian Vargas},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {On-chip aging sensor to monitor {NBTI} effect in nano-scale {SRAM}},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {354--359},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219087},
  doi          = {10.1109/DDECS.2012.6219087},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/CerattiCPV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ChengLC12,
  author       = {Chih{-}Ping Cheng and
                  Jen{-}Chieh Liu and
                  Kuo{-}Hsing Cheng},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Auto-calibration techniques in built-in jitter measurement circuit},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {248--249},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219066},
  doi          = {10.1109/DDECS.2012.6219066},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ChengLC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ChloupekNJ12,
  author       = {Martin Chloupek and
                  Ondrej Nov{\'{a}}k and
                  Jiri Jen{\'{\i}}cek},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {On test time reduction using pattern overlapping, broadcasting and
                  on-chip decompression},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {300--305},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219078},
  doi          = {10.1109/DDECS.2012.6219078},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ChloupekNJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/DehbashiF12,
  author       = {Mehdi Dehbashi and
                  G{\"{o}}rschwin Fey},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Automated debugging from pre-silicon to post-silicon},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {324--329},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219082},
  doi          = {10.1109/DDECS.2012.6219082},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/DehbashiF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/DobaiB12,
  author       = {Roland Dobai and
                  Marcel Bal{\'{a}}z},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Genetic method for compressed skewed-load delay test generation},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {242--247},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219065},
  doi          = {10.1109/DDECS.2012.6219065},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/DobaiB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/EbeidQF12,
  author       = {Emad Samuel Malki Ebeid and
                  Davide Quaglia and
                  Franco Fummi},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Generation of SystemC/TLM code from {UML/MARTE} sequence diagrams
                  for verification},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {187--190},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219051},
  doi          = {10.1109/DDECS.2012.6219051},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/EbeidQF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/EggersglussKGHD12,
  author       = {Stephan Eggersgl{\"{u}}{\ss} and
                  Rene Krenz{-}Baath and
                  Andreas Glowatz and
                  Friedrich Hapke and
                  Rolf Drechsler},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A new SAT-based {ATPG} for generating highly compacted test sets},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {230--235},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219063},
  doi          = {10.1109/DDECS.2012.6219063},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/EggersglussKGHD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/FeghhiN12,
  author       = {Rouhollah Feghhi and
                  Sasan Naseh},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A 1V, low power, high-gain, 3 - 11 GHz double-balanced {CMOS} sub-harmonic
                  mixer},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {179--182},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219049},
  doi          = {10.1109/DDECS.2012.6219049},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/FeghhiN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/FiserS12,
  author       = {Petr Fiser and
                  Jan Schmidt},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Improving the iterative power of resynthesis},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {30--33},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219019},
  doi          = {10.1109/DDECS.2012.6219019},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/FiserS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/FoldesyGFK12,
  author       = {P{\'{e}}ter F{\"{o}}ldesy and
                  Domonkos Gergelyi and
                  Csaba Fuzy and
                  Gergely K{\'{a}}rolyi},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Test and configuration architecture of a sub-THz {CMOS} detector array},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219033},
  doi          = {10.1109/DDECS.2012.6219033},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/FoldesyGFK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GagWWT12,
  author       = {Martin Gag and
                  Tim Wegner and
                  Ansgar Waschki and
                  Dirk Timmermann},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Temperature and on-chip crosstalk measurement using ring oscillators
                  in {FPGA}},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219057},
  doi          = {10.1109/DDECS.2012.6219057},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GagWWT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GorevPE12,
  author       = {Maksim Gorev and
                  Vadim Pesonen and
                  Peeter Ellervee},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Multisine signal generation method for a bioimpedance measurement
                  device},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {111--114},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219035},
  doi          = {10.1109/DDECS.2012.6219035},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GorevPE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GorgenON12,
  author       = {Ralph G{\"{o}}rgen and
                  Jan{-}Hendrik Oetjens and
                  Wolfgang Nebel},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Automatic integration of hardware descriptions into system-level models},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {105--110},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219034},
  doi          = {10.1109/DDECS.2012.6219034},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GorgenON12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Gradzki12,
  author       = {Jacek Gradzki},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Low power balun Design for 1.575 GHz in 90 nm {CMOS} rechnology},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {250--253},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219067},
  doi          = {10.1109/DDECS.2012.6219067},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Gradzki12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GrassKFZ12,
  author       = {Eckhard Grass and
                  Milos Krstic and
                  Xin Fan and
                  Steffen Zeidler},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Asynchronous circuit design: From basics to practical applications},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219011},
  doi          = {10.1109/DDECS.2012.6219011},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GrassKFZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GuangNPIT12,
  author       = {Liang Guang and
                  Ethiopia Nigussie and
                  Juha Plosila and
                  Jouni Isoaho and
                  Hannu Tenhunen},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {HLS-DoNoC: High-level simulator for dynamically organizational NoCs},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {89--94},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219031},
  doi          = {10.1109/DDECS.2012.6219031},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GuangNPIT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GuglielmoGFP12,
  author       = {Giuseppe Di Guglielmo and
                  Luigi Di Guglielmo and
                  Franco Fummi and
                  Graziano Pravadelli},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {On the use of assertions for embedded-software dynamic verification},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {330--335},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219083},
  doi          = {10.1109/DDECS.2012.6219083},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GuglielmoGFP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/GyepesABS12,
  author       = {G{\'{a}}bor Gyepes and
                  Daniel Arbet and
                  Juraj Brenkus and
                  Viera Stopjakov{\'{a}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Application of {IDDT} test towards increasing {SRAM} reliability in
                  nanometer technologies},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {167--170},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219046},
  doi          = {10.1109/DDECS.2012.6219046},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/GyepesABS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/HaghbayanSN12,
  author       = {M. H. Haghbayan and
                  Saeed Safari and
                  Zainalabedin Navabi},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Power constraint testing for multi-clock domain SoCs using concurrent
                  hybrid {BIST}},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {42--45},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219022},
  doi          = {10.1109/DDECS.2012.6219022},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/HaghbayanSN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Hamdioui12,
  author       = {Said Hamdioui},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{TSV} based 3D stacked ICs: Opportunities and challenges},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {2},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219008},
  doi          = {10.1109/DDECS.2012.6219008},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Hamdioui12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/HlatkyMG12,
  author       = {Matej Hlatk{\'{y}} and
                  Valter Martinek and
                  Elena Gramatov{\'{a}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {D{\&}T Presenter - electronic interactive system for design and
                  test education},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {175--178},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219048},
  doi          = {10.1109/DDECS.2012.6219048},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/HlatkyMG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Hofferek12,
  author       = {Georg Hofferek},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Automated synthesis and design-error repair of systems},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219012},
  doi          = {10.1109/DDECS.2012.6219012},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Hofferek12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/JuanLH12,
  author       = {Yi{-}Hsiang Juan and
                  Ching{-}Hsing Luo and
                  Hong{-}Yi Huang},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A low voltage sigma delta modulator for temperature sensor},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {270--273},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219072},
  doi          = {10.1109/DDECS.2012.6219072},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/JuanLH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KabiriN12,
  author       = {Parisa Kabiri and
                  Zainalabedin Navabi},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Effective RT-level software-based self-testing of embedded processor
                  cores},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {209--212},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219059},
  doi          = {10.1109/DDECS.2012.6219059},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KabiriN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KaoSYC12,
  author       = {Hsuan{-}Ling Kao and
                  S. P. Shih and
                  Chih{-}Sheng Yeh and
                  Li{-}Chun Chang},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A low phase noise Ka-band voltage controlled oscillator using 0.15
                  {\(\mathrm{\mu}\)}m GaAs pHEMT technology},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {79--82},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219029},
  doi          = {10.1109/DDECS.2012.6219029},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KaoSYC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KerkhoffZ12,
  author       = {Hans G. Kerkhoff and
                  Yong Zhao},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {The design of dependable flexible multi-sensory System-on-Chips for
                  security applications},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {133--138},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219039},
  doi          = {10.1109/DDECS.2012.6219039},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KerkhoffZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KhanHKRC12,
  author       = {Seyab Khan and
                  Said Hamdioui and
                  Halil Kukner and
                  Praveen Raghavan and
                  Francky Catthoor},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{BTI} impact on logical gates in nano-scale {CMOS} technology},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {348--353},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219086},
  doi          = {10.1109/DDECS.2012.6219086},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KhanHKRC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Knochel12,
  author       = {Uwe Kn{\"{o}}chel},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {3D integration: Opportunities, design challenges and approaches},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219010},
  doi          = {10.1109/DDECS.2012.6219010},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Knochel12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KoalUV12,
  author       = {Tobias Koal and
                  Markus Ulbricht and
                  Heinrich Theodor Vierhaus},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Combining on-line fault detection and logic self repair},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {288--293},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219076},
  doi          = {10.1109/DDECS.2012.6219076},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KoalUV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KocakP12,
  author       = {Taskin Ko{\c{c}}ak and
                  Preeti Patil},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Design and implementation of high-performance high-valency ling adders},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {224--229},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219062},
  doi          = {10.1109/DDECS.2012.6219062},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KocakP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KohlikK12,
  author       = {Martin Kohl{\'{\i}}k and
                  Hana Kub{\'{a}}tov{\'{a}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Reduction of complex safety models based on Markov chains},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {183--186},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219050},
  doi          = {10.1109/DDECS.2012.6219050},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KohlikK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KorcekZ12,
  author       = {Pavol Korcek and
                  Martin Z{\'{a}}dn{\'{\i}}k},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Lightweight benchmarking of platforms for network traffic processing},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {278--283},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219074},
  doi          = {10.1109/DDECS.2012.6219074},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KorcekZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KorczycK12,
  author       = {Jakub Korczyc and
                  Andrzej Krasniewski},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Evaluation of susceptibility of FPGA-based circuits to fault injection
                  attacks based on clock glitching},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {171--174},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219047},
  doi          = {10.1109/DDECS.2012.6219047},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KorczycK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KornarosCA12,
  author       = {George Kornaros and
                  Ioannis Christoforakis and
                  Maria Astrinaki},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {An automated infrastructure for real-time monitoring of multi-core
                  Systems-on-Chip},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {56--61},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219025},
  doi          = {10.1109/DDECS.2012.6219025},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KornarosCA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/KriegGSWBH12,
  author       = {Armin Krieg and
                  Johannes Grinschgl and
                  Christian Steger and
                  Reinhold Weiss and
                  Holger Bock and
                  Josef Haid},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {System side-channel leakage emulation for {HW/SW} security coverification
                  of MPSoCs},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {139--144},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219040},
  doi          = {10.1109/DDECS.2012.6219040},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/KriegGSWBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/LaiH12,
  author       = {Ping{-}Liang Lai and
                  Der{-}Chen Huang},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Online self-checking and correction for crosstalk-induced timing errors
                  on {VLSI} interconnects},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {294--299},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219077},
  doi          = {10.1109/DDECS.2012.6219077},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/LaiH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/LarssonS12,
  author       = {Erik Larsson and
                  Konstantin Sibin},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Fault management in an {IEEE} {P1687} {(IJTAG)} environment},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219013},
  doi          = {10.1109/DDECS.2012.6219013},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/LarssonS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/LiuZLRCKM12,
  author       = {Xuelian Liu and
                  Aamir Zia and
                  Mitchell R. LeRoy and
                  Srikumar Raman and
                  Ryan Clarke and
                  Russell P. Kraft and
                  John F. McDonald},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A three-dimensional {DRAM} using floating body cell in {FDSOI} devices},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {159--162},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219044},
  doi          = {10.1109/DDECS.2012.6219044},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/LiuZLRCKM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MackoJ12,
  author       = {Dominik Macko and
                  Katar{\'{\i}}na Jelemensk{\'{a}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {VHDLVisualizer: {HDL} model visualization with simulation-based verification},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {199--200},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219056},
  doi          = {10.1109/DDECS.2012.6219056},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MackoJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MarcinekP12,
  author       = {Krzysztof Marcinek and
                  Witold A. Pleskacz},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{AGATE} - towards designing a low-power chip multithreading processor
                  for mobile software defined radio systems},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {26--29},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219018},
  doi          = {10.1109/DDECS.2012.6219018},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MarcinekP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MaudererFOR12,
  author       = {Andreas Mauderer and
                  Marvin Freier and
                  Jan{-}Hendrik Oetjens and
                  Wolfgang Rosenstiel},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Efficient digital design for automotive mixed-signal ASICs using simulink},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {372--377},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219090},
  doi          = {10.1109/DDECS.2012.6219090},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MaudererFOR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MesgarzadehSA12,
  author       = {Behzad Mesgarzadeh and
                  Ingemar S{\"{o}}derquist and
                  Atila Alvandpour},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Reliability challenges in avionics due to silicon aging},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {342--347},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219085},
  doi          = {10.1109/DDECS.2012.6219085},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MesgarzadehSA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MilovanovicZ12,
  author       = {Vladimir M. Milovanovic and
                  Horst Zimmermann},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Complementary edge alignment and digital output signal speed-up {CMOS}
                  positive feedback latches},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {360--365},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219088},
  doi          = {10.1109/DDECS.2012.6219088},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MilovanovicZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MohamedSZ12,
  author       = {Mohamed Atef and
                  Robert Swoboda and
                  Horst Zimmermann},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A gigabit fully integrated plastic optical fiber receiver for a {RC-LED}
                  source},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {74--78},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219028},
  doi          = {10.1109/DDECS.2012.6219028},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MohamedSZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/MushtaqAB12,
  author       = {Hamid Mushtaq and
                  Zaid Al{-}Ars and
                  Koen Bertels},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A user-level library for fault tolerance on shared memory multicore
                  systems},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {266--269},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219071},
  doi          = {10.1109/DDECS.2012.6219071},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/MushtaqAB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/NagyS12,
  author       = {Luk{\'{a}}s Nagy and
                  Viera Stopjakov{\'{a}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Current sensing completion detection in dual-rail asynchronous systems},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {38--41},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219021},
  doi          = {10.1109/DDECS.2012.6219021},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/NagyS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/NapravnikKMJ12,
  author       = {Tomas Napravnik and
                  Vlastimil Kote and
                  Vladimir Molata and
                  Jiri Jakovenko},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Differential evolutionary optimization algorithm applied to {ESD}
                  {MOSFET} model fitting problem},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {155--158},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219043},
  doi          = {10.1109/DDECS.2012.6219043},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/NapravnikKMJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/PappasSH12,
  author       = {Ilias Pappas and
                  Stilianos Siskos and
                  Alkis A. Hatzopoulos},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A new analog output buffer for data driver of active matrix displays
                  using low-temperature polycrystalline silicon thin-film transistors},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {284--287},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219075},
  doi          = {10.1109/DDECS.2012.6219075},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/PappasSH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/PascaRAB12,
  author       = {Vladimir Pasca and
                  Saif{-}Ur Rehman and
                  Lorena Anghel and
                  Mounir Benabdenbi},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Efficient link-level error resilience in 3D NoCs},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {127--132},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219038},
  doi          = {10.1109/DDECS.2012.6219038},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/PascaRAB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/PetrovicISS12,
  author       = {Vladimir Petrovic and
                  Marko Ilic and
                  G{\"{u}}nter Schoof and
                  Zoran Stamenkovic},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Design methodology for fault tolerant ASICs},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {8--11},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219014},
  doi          = {10.1109/DDECS.2012.6219014},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/PetrovicISS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Pfitzner12,
  author       = {Andrzej Pfitzner},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Vertical Slit Transistor based Integrated Circuits (VeSTICs)},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {3},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219009},
  doi          = {10.1109/DDECS.2012.6219009},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Pfitzner12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/PospisilN12,
  author       = {Posp\'{\i}\v{s}il and
                  Martin Novotn{\'{y}}},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Lightweight cipher resistivity against brute-force attack: Analysis
                  of {PRESENT}},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {197--198},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219055},
  doi          = {10.1109/DDECS.2012.6219055},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/PospisilN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/PospisilikA12,
  author       = {Martin Pospisilik and
                  Milan Adamek},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Optimised Power Supply Unit Design},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {195--196},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219054},
  doi          = {10.1109/DDECS.2012.6219054},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/PospisilikA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/RaikG12,
  author       = {Jaan Raik and
                  Vineeth Govind},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Low-area boundary {BIST} architecture for mesh-like network-on-chip},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {95--100},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219032},
  doi          = {10.1109/DDECS.2012.6219032},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/RaikG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Reorda12,
  author       = {Matteo Sonza Reorda},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {On-line test of embedded systems: Which role for functional test?},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219007},
  doi          = {10.1109/DDECS.2012.6219007},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Reorda12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/RozkovecJN12,
  author       = {Martin Rozkovec and
                  Jiri Jen{\'{\i}}cek and
                  Ondrej Nov{\'{a}}k},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {An evaluation of the application dependent {FPGA} test method},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {22--25},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219017},
  doi          = {10.1109/DDECS.2012.6219017},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/RozkovecJN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/RussellBY12,
  author       = {Gordon Russell and
                  Frank P. Burns and
                  Alex Yakovlev},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{VARMA} - VARiability modelling and analysis tool},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {378--383},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219091},
  doi          = {10.1109/DDECS.2012.6219091},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/RussellBY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/RuzickaS12,
  author       = {Richard Ruzicka and
                  V{\'{a}}clav Simek},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{NAND/NOR} gate polymorphism in low temperature environment},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {34--37},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219020},
  doi          = {10.1109/DDECS.2012.6219020},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/RuzickaS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/SamrowCGTT12,
  author       = {Hagen S{\"{a}}mrow and
                  Claas Cornelius and
                  Philipp Gorski and
                  Andreas Tockhorn and
                  Dirk Timmermann},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Selective redundancy to improve reliability and to slow down delay
                  degradation due to gate oxide breakdown},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {12--15},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219015},
  doi          = {10.1109/DDECS.2012.6219015},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/SamrowCGTT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ScholzelKV12,
  author       = {Mario Sch{\"{o}}lzel and
                  Tobias Koal and
                  Heinrich Theodor Vierhaus},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {An adaptive self-test routine for in-field diagnosis of permanent
                  faults in simple {RISC} cores},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {312--317},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219080},
  doi          = {10.1109/DDECS.2012.6219080},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ScholzelKV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/SiwiecBP12,
  author       = {Krzysztof Siwiec and
                  Tomasz Borejko and
                  Witold A. Pleskacz},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{LC-VCO} design automation tool for nanometer {CMOS} technology},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {68--73},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219027},
  doi          = {10.1109/DDECS.2012.6219027},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/SiwiecBP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/SpilkaHRGSO12,
  author       = {Ronald Spilka and
                  Gerald Hilber and
                  Andreas Rauchenecker and
                  Dominik Gruber and
                  Michael Sams and
                  Timm Ostermann},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Generation of non-overlapping clock signals without using a feedback
                  loop},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {219--223},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219061},
  doi          = {10.1109/DDECS.2012.6219061},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/SpilkaHRGSO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/StrakaMKK12,
  author       = {Martin Straka and
                  Lukas Miculka and
                  Jan Kastil and
                  Zdenek Kot{\'{a}}sek},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Test platform for fault tolerant systems design properties verification},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {336--341},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219084},
  doi          = {10.1109/DDECS.2012.6219084},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/StrakaMKK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/Strnadel12,
  author       = {Josef Strnadel},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Monitoring-driven {HW/SW} interrupt overload prevention for embedded
                  real-time systems},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {121--126},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219037},
  doi          = {10.1109/DDECS.2012.6219037},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/Strnadel12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/SykoraKBKDH12,
  author       = {Jaroslav Sykora and
                  Lukas Kohout and
                  Roman Bartosinski and
                  Leos Kafka and
                  Martin Danek and
                  Petr Honz{\'{\i}}k},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {The architecture and the technology characterization of an FPGA-based
                  customizable Application-Specific Vector Processor},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {62--67},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219026},
  doi          = {10.1109/DDECS.2012.6219026},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/SykoraKBKDH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/TousMMAKN12,
  author       = {Saber Izadpanah Tous and
                  E. Mohamadi and
                  M. Mousavi and
                  R. Darvish Khalil Abadi and
                  Ehsan Kargaran and
                  Hooman Nabovati},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Developing a new phase noise estimation technique based on time varying
                  model},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {274--277},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219073},
  doi          = {10.1109/DDECS.2012.6219073},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/TousMMAKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/UbarKR12,
  author       = {Raimund Ubar and
                  Sergei Kostin and
                  Jaan Raik},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Multiple stuck-at-fault detection theorem},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {236--241},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219064},
  doi          = {10.1109/DDECS.2012.6219064},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/UbarKR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/UygurS12,
  author       = {G{\"{u}}rkan Uygur and
                  Sebastian Sattler},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Digital-driven formal analog verification for asynchronously feed-backed
                  circuitries},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {254--257},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219068},
  doi          = {10.1109/DDECS.2012.6219068},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/UygurS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/VeeravalliS12,
  author       = {Varadan Savulimedu Veeravalli and
                  Andreas Steininger},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Radiation-tolerant combinational gates - an implementation based comparison},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {115--120},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219036},
  doi          = {10.1109/DDECS.2012.6219036},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/VeeravalliS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/VortlerRH12,
  author       = {Thilo V{\"{o}}rtler and
                  Steffen R{\"{u}}lke and
                  Petra Hofstedt},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Bounded model checking of Contiki applications},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {258--261},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219069},
  doi          = {10.1109/DDECS.2012.6219069},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/VortlerRH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/VoudourisNR12,
  author       = {Liberis Voudouris and
                  Spiridon Nikolaidis and
                  Abdoul Rjoub},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {High speed {FPGA} implementation of hough transform for real-time
                  applications},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {213--218},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219060},
  doi          = {10.1109/DDECS.2012.6219060},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/VoudourisNR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/WirnshoferHKAGS12,
  author       = {Martin Wirnshofer and
                  Leonhard Hei{\ss} and
                  Anil Narayan Kakade and
                  Nasim Pour Aryan and
                  Georg Georgakos and
                  Doris Schmitt{-}Landsiedel},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Adaptive voltage scaling by in-situ delay monitoring for an image
                  processing circuit},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219058},
  doi          = {10.1109/DDECS.2012.6219058},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/WirnshoferHKAGS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/WoldKT12,
  author       = {Alexander Wold and
                  Dirk Koch and
                  Jim T{\o}rresen},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Design techniques for increasing performance and resource utilization
                  of reconfigurable soft CPUs},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {50--55},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219024},
  doi          = {10.1109/DDECS.2012.6219024},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/WoldKT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/WoldP12,
  author       = {Knut Wold and
                  Slobodan Petrovic},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {Security properties of oscillator rings in true random number generators},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {145--150},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219041},
  doi          = {10.1109/DDECS.2012.6219041},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/WoldP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/YingJEHH12,
  author       = {Haoyuan Ying and
                  Ashok Jaiswal and
                  Mohamed A. Abd El{-}Ghany and
                  Thomas Hollstein and
                  Klaus Hofmann},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A simulation framework for 3-dimension Networks-on-chip with different
                  vertical channel density configurations},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {83--88},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219030},
  doi          = {10.1109/DDECS.2012.6219030},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/YingJEHH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ZhengLCCWG12,
  author       = {Xiang Zheng and
                  Ming Liu and
                  Hong Chen and
                  Huamin Cao and
                  Cong Wang and
                  Zhiqiang Gao},
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {A 512 kb {SRAM} in 65nm {CMOS} with divided bitline and novel two-stage
                  sensing technique},
  booktitle    = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  pages        = {191--192},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DDECS.2012.6219052},
  doi          = {10.1109/DDECS.2012.6219052},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ZhengLCCWG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ddecs/2012,
  editor       = {Jaan Raik and
                  Viera Stopjakov{\'{a}} and
                  Heinrich Theodor Vierhaus and
                  Witold A. Pleskacz and
                  Raimund Ubar and
                  Helena Kruus and
                  Maksim Jenihhin},
  title        = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic
                  Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20,
                  2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6213418/proceeding},
  isbn         = {978-1-4673-1187-8},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ddecs/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics