default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2011.bht:"
@inproceedings{DBLP:conf/date/AadithyaDVR11, author = {Aadithya V. Karthik and Alper Demir and Sriramkumar Venugopalan and Jaijeet S. Roychowdhury}, title = {{SAMURAI:} An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1113--1118}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763183}, doi = {10.1109/DATE.2011.5763183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AadithyaDVR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbdallahLS11, author = {Rami A. Abdallah and Yu{-}Hung Lee and Naresh R. Shanbhag}, title = {Timing error statistics for energy-efficient robust {DSP} systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {285--288}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763276}, doi = {10.1109/DATE.2011.5763276}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbdallahLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AcquavivaPOS11, author = {Andrea Acquaviva and Massimo Poncino and Marco Otella and Michele Sciolla}, title = {System level techniques to improve reliability in high power microcontrollers for automotive applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1123--1124}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763186}, doi = {10.1109/DATE.2011.5763186}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AcquavivaPOS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AdirCLNSZMS11, author = {Allon Adir and Shady Copty and Shimon Landa and Amir Nahir and Gil Shurek and Avi Ziv and Charles Meissner and John Schumann}, title = {A unified methodology for pre-silicon verification and post-silicon validation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1590--1595}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763252}, doi = {10.1109/DATE.2011.5763252}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AdirCLNSZMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AgarwalWG11, author = {Yuvraj Agarwal and Thomas Weng and Rajesh K. Gupta}, title = {Understanding the role of buildings in a smart microgrid}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1224--1229}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763195}, doi = {10.1109/DATE.2011.5763195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AgarwalWG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AgyekumN11, author = {Melinda Y. Agyekum and Steven M. Nowick}, title = {A delay-insensitive bus-invert code and hardware support for robust asynchronous global communication}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1370--1375}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763221}, doi = {10.1109/DATE.2011.5763221}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AgyekumN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmedSBH11, author = {Waheed Ahmed and Muhammad Shafique and Lars Bauer and J{\"{o}}rg Henkel}, title = {mRTS: Run-time system for reconfigurable processors with multi-grained instruction-set extensions}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1554--1559}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763246}, doi = {10.1109/DATE.2011.5763246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AhmedSBH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AitkenYF11, author = {Rob Aitken and Greg Yeric and David Flynn}, title = {Correlating models and silicon for improved parametric yield}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1159--1163}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763194}, doi = {10.1109/DATE.2011.5763194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AitkenYF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkessonG11, author = {Benny Akesson and Kees Goossens}, title = {Architectures and modeling of predictable memory controllers for improved system integration}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {851--856}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763145}, doi = {10.1109/DATE.2011.5763145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkessonG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkinBNRSA11, author = {Abdulkadir Akin and Ivan Beretta and A. A. Nacci and Vincenzo Rana and Marco D. Santambrogio and David Atienza}, title = {A high-performance parallel implementation of the Chambolle algorithm}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1436--1441}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763232}, doi = {10.1109/DATE.2011.5763232}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkinBNRSA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Al-DujailyMXYP11, author = {Ra'ed Al{-}Dujaily and Terrence S. T. Mak and Fei Xia and Alexandre Yakovlev and Maurizio Palesi}, title = {Run-time deadlock detection in networks-on-chip using coupled transitive closure networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {497--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763086}, doi = {10.1109/DATE.2011.5763086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Al-DujailyMXYP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AliCMB11, author = {Subidh Ali and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay and Swarup Bhunia}, title = {Multi-level attacks: An emerging security concern for cryptographic hardware}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1176--1179}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763307}, doi = {10.1109/DATE.2011.5763307}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AliCMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlordaTBS11, author = {Bartomeu Alorda and Gabriel Torrens and Sebasti{\`{a}} A. Bota and Jaume Segura}, title = {Stability optimization of embedded 8T SRAMs using Word-Line Voltage modulation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {986--991}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763160}, doi = {10.1109/DATE.2011.5763160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlordaTBS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmoryOMML11, author = {Alexandre M. Amory and Luciano Ost and C{\'{e}}sar A. M. Marcon and Fernando Gehm Moraes and Marcelo Lubaszewski}, title = {Evaluating energy consumption of homogeneous MPSoCs using spare tiles}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1164--1167}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763304}, doi = {10.1109/DATE.2011.5763304}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AmoryOMML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AndalamRG11, author = {Sidharta Andalam and Partha S. Roop and Alain Girault}, title = {Pruning infeasible paths for tight {WCRT} analysis of synchronous programs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {204--209}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763043}, doi = {10.1109/DATE.2011.5763043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AndalamRG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnjamNW11, author = {Fakhar Anjam and Muhammad Faisal Nadeem and Stephan Wong}, title = {Targeting code diversity with run-time adjustable issue-slots in a chip multiprocessor}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1358--1363}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763219}, doi = {10.1109/DATE.2011.5763219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AnjamNW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnsaloniPTD11, author = {Giovanni Ansaloni and Laura Pozzi and Kazuyuki Tanimura and Nikil D. Dutt}, title = {Slack-aware scheduling on Coarse Grained Reconfigurable Arrays}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1513--1516}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763323}, doi = {10.1109/DATE.2011.5763323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AnsaloniPTD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ArslanO11, author = {Baris Arslan and Alex Orailoglu}, title = {Adaptive test optimization through real time learning of test effectiveness}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1430--1435}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763231}, doi = {10.1109/DATE.2011.5763231}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ArslanO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AsadiniaMTS11, author = {Marjan Asadinia and Mehdi Modarressi and Arash Tavakkol and Hamid Sarbazi{-}Azad}, title = {Supporting non-contiguous processor allocation in mesh-based CMPs using virtual point-to-point links}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {413--418}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763072}, doi = {10.1109/DATE.2011.5763072}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AsadiniaMTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AsenovBC11, author = {Asen Asenov and Andrew R. Brown and Binjie Cheng}, title = {Statistical aspects of {NBTI/PBTI} and impact on {SRAM} yield}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1480--1485}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763240}, doi = {10.1109/DATE.2011.5763240}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AsenovBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AvinashENPP11, author = {Lingamneni Avinash and Christian C. Enz and Jean{-}Luc Nagel and Krishna V. Palem and Christian Piguet}, title = {Energy parsimonious circuit design through probabilistic pruning}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {764--769}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763130}, doi = {10.1109/DATE.2011.5763130}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AvinashENPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaiDCD11, author = {Lan S. Bai and Robert P. Dick and Pai H. Chou and Peter A. Dinda}, title = {Automated construction of fast and accurate system-level models for wireless sensor networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1083--1088}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763178}, doi = {10.1109/DATE.2011.5763178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaiDCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaiDDC11, author = {Lan S. Bai and Robert P. Dick and Peter A. Dinda and Pai H. Chou}, title = {Simplified programming of faulty sensor networks via code transformation and run-time interval computation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {88--93}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763023}, doi = {10.1109/DATE.2011.5763023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaiDDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaiocchiC11, author = {Jos{\'{e}} Baiocchi and Bruce R. Childers}, title = {Demand code paging for {NAND} flash in MMU-less embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {517--532}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763095}, doi = {10.1109/DATE.2011.5763095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaiocchiC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BalasubramanianSMNDKMPPVT11, author = {Lakshmanan Balasubramanian and Puneet Sabbarwal and Rajesh Kumar Mittal and Prakash Narayanan and Ranjit Kumar Dash and Anand Devendra Kudari and Srikanth Manian and Sudhir Polarouthu and Harikrishna Parthasarathy and Ravi C. Vijayaraghavan and Sachin Turkewadikar}, title = {Circuit and {DFT} techniques for robust and low cost qualification of a mixed-signal SoC with integrated power management system}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {551--554}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763281}, doi = {10.1109/DATE.2011.5763281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BalasubramanianSMNDKMPPVT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BangaRH11, author = {Mainak Banga and Nikhil P. Rahagude and Michael S. Hsiao}, title = {Design-for-test methodology for non-scan at-speed testing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {191--196}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763041}, doi = {10.1109/DATE.2011.5763041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BangaRH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BarceloGBS11, author = {Salvador Barcel{\'{o}} and Xavier Gili and Sebasti{\`{a}} A. Bota and Jaume Segura}, title = {An efficient and scalable {STA} tool with direct path estimation and exhaustive sensitization vector exploration for optimal delay computation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1602--1607}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763254}, doi = {10.1109/DATE.2011.5763254}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BarceloGBS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BarrioMMMH11, author = {Alberto A. Del Barrio and Seda Ogrenci Memik and Mar{\'{\i}}a C. Molina and Jos{\'{e}} M. Mend{\'{\i}}as and Rom{\'{a}}n Hermida}, title = {Power optimization in heterogenous datapaths}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1400--1405}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763226}, doi = {10.1109/DATE.2011.5763226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BarrioMMMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BartoliniCTB11, author = {Andrea Bartolini and Matteo Cacciari and Andrea Tilli and Luca Benini}, title = {A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {830--835}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763141}, doi = {10.1109/DATE.2011.5763141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BartoliniCTB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BathenD11, author = {Luis Angel D. Bathen and Nikil D. Dutt}, title = {E-RoC: Embedded RAIDs-on-Chip for low power distributed dynamically managed reliable memories}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1141--1146}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763191}, doi = {10.1109/DATE.2011.5763191}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BathenD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BehrendLHRKR11, author = {J{\"{o}}rg Behrend and Djones Lettnin and Patrick Heckeler and J{\"{u}}rgen Ruf and Thomas Kropf and Wolfgang Rosenstiel}, title = {Scalable hybrid verification for embedded software}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {179--184}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763039}, doi = {10.1109/DATE.2011.5763039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BehrendLHRKR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeltrameN11, author = {Giovanni Beltrame and Gabriela Nicolescu}, title = {A multi-objective decision-theoretic exploration algorithm for platform-based design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1192--1195}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763311}, doi = {10.1109/DATE.2011.5763311}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeltrameN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernardC11, author = {Christian Bernard and Fabien Clermidy}, title = {A low-power {VLIW} processor for 3GPP-LTE complex numbers processing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {234--239}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763048}, doi = {10.1109/DATE.2011.5763048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BernardC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernardiGSB11, author = {Paolo Bernardi and Michelangelo Grosso and Ernesto S{\'{a}}nchez and Oscar Ballan}, title = {Fault grading of software-based self-test procedures for dependable automotive applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {513--514}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763092}, doi = {10.1109/DATE.2011.5763092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BernardiGSB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeserraMSC11, author = {Gilmar S. Beserra and Jos{\'{e}} Edil G. de Medeiros and Arthur M. Sampaio and Jos{\'{e}} Camargo da Costa}, title = {System-level modeling of a mixed-signal System on Chip for Wireless Sensor Networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1500--1504}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763320}, doi = {10.1109/DATE.2011.5763320}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeserraMSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeutelBFKZT11, author = {Jan Beutel and Bernhard Buchli and Federico Ferrari and Matthias Keller and Marco Zimmerling and Lothar Thiele}, title = {{X-SENSE:} Sensing in extreme environments}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1460--1465}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763236}, doi = {10.1109/DATE.2011.5763236}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeutelBFKZT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeuxTONBP11, author = {S{\'{e}}bastien Le Beux and Jelena Trajkovic and Ian O'Connor and Gabriela Nicolescu and Guy Bois and Pierre G. Paulin}, title = {Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {788--793}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763134}, doi = {10.1109/DATE.2011.5763134}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeuxTONBP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BiKVSM11, author = {Yu Bi and Kees{-}Jan van der Kolk and Jorge Fernandez Villena and Lu{\'{\i}}s Miguel Silveira and Nick van der Meijs}, title = {Fast statistical analysis of {RC} nets subject to manufacturing variabilities}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {31--37}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763012}, doi = {10.1109/DATE.2011.5763012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BiKVSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BilgicPGB11, author = {Attila Bilgic and Vincent Pichot and Michael Gerding and Felix Bruns}, title = {Low-power smart industrial control}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {595--599}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763097}, doi = {10.1109/DATE.2011.5763097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BilgicPGB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoghratiS11, author = {Baktash Boghrati and Sachin S. Sapatnekar}, title = {A scaled random walk solver for fast power grid analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {38--43}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763013}, doi = {10.1109/DATE.2011.5763013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BoghratiS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BonannoBS11, author = {Alberto Bonanno and Alberto Bocca and Marco Sabatini}, title = {Energy analysis methods and tools for modelling and Optimizing monitoring tyre systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1121--1122}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763185}, doi = {10.1109/DATE.2011.5763185}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BonannoBS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoosNSHHGKS11, author = {Volker Boos and Jacek Nowak and Matthias Sylvester and Stephan Henker and Sebastian H{\"{o}}ppner and Heiko Grimm and Dominik Krausse and Ralf Sommer}, title = {Strategies for initial sizing and operating point analysis of analog circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1672--1674}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763266}, doi = {10.1109/DATE.2011.5763266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BoosNSHHGKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BradyHS11, author = {Bryan A. Brady and Daniel E. Holcomb and Sanjit A. Seshia}, title = {Counterexample-guided SMT-driven optimal buffer sizing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {329--334}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763058}, doi = {10.1109/DATE.2011.5763058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BradyHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Brown11, author = {Jess Brown}, title = {Power management trends in portable consumer applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1048--1052}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763172}, doi = {10.1109/DATE.2011.5763172}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Brown11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BruschiPRS11, author = {Francesco Bruschi and Francesco Perini and Vincenzo Rana and Donatella Sciuto}, title = {An efficient Quantum-Dot Cellular Automata adder}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1220--1223}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763318}, doi = {10.1109/DATE.2011.5763318}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BruschiPRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ButtrickK11, author = {Michael Buttrick and Sandip Kundu}, title = {On testing prebond dies with incomplete clock networks in a 3D {IC} using DLLs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1418--1423}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763229}, doi = {10.1109/DATE.2011.5763229}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ButtrickK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CabodiN11, author = {Gianpiero Cabodi and Sergio Nocco}, title = {Optimized model checking of multiple properties}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {543--546}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763279}, doi = {10.1109/DATE.2011.5763279}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CabodiN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CabodiNQ11, author = {Gianpiero Cabodi and Sergio Nocco and Stefano Quer}, title = {Interpolation sequences revisited}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {316--322}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763056}, doi = {10.1109/DATE.2011.5763056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CabodiNQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CalimeraLMP11, author = {Andrea Calimera and Mirko Loghi and Enrico Macii and Massimo Poncino}, title = {Partitioned cache architectures for reduced NBTI-induced aging}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {938--943}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763152}, doi = {10.1109/DATE.2011.5763152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CalimeraLMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CararaASM11, author = {Everton Carara and Gabriel Marchesan Almeida and Gilles Sassatelli and Fernando Gehm Moraes}, title = {Achieving composability in NoC-based MPSoCs through QoS management at software level}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {407--412}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763071}, doi = {10.1109/DATE.2011.5763071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CararaASM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CarliBBR11, author = {Davide Carli and Davide Brunelli and Luca Benini and Massimiliano Ruggeri}, title = {An effective multi-source energy harvester for low power applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {836--841}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763142}, doi = {10.1109/DATE.2011.5763142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CarliBBR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiD11, author = {Marco Casale{-}Rossi and Antun Domic}, title = {Panel and embedded tutorial - Logic synthesis and place and route: After 20 years of engagement, wedding in view?}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {51}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763016/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CassidyYZA11, author = {Andrew Cassidy and Kai Yu and Haolang Zhou and Andreas G. Andreou}, title = {A high-level analytical model for application specific {CMP} design exploration}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1095--1100}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763180}, doi = {10.1109/DATE.2011.5763180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CassidyYZA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChaixAZN11, author = {Fabien Chaix and Dimiter Avresky and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {A fault-tolerant deadlock-free adaptive routing for on chip interconnects}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {909--912}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763303}, doi = {10.1109/DATE.2011.5763303}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChaixAZN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChakrabortyR11, author = {Koushik Chakraborty and Sanghamitra Roy}, title = {Topologically homogeneous power-performance heterogeneous multicore systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {125--130}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763030}, doi = {10.1109/DATE.2011.5763030}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChakrabortyR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChanSGK11, author = {Tuck{-}Boon Chan and John Sartori and Puneet Gupta and Rakesh Kumar}, title = {On the efficacy of {NBTI} mitigation techniques}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {932--937}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763151}, doi = {10.1109/DATE.2011.5763151}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChanSGK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChandraA11, author = {Vikas Chandra and Robert C. Aitken}, title = {Analytical model for {SRAM} dynamic write-ability degradation due to gate oxide breakdown}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1172--1175}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763306}, doi = {10.1109/DATE.2011.5763306}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChandraA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangMFWHYN11, author = {Xiaotao Chang and Yike Ma and Hubertus Franke and Kun Wang and Rui Hou and Hao Yu and Terry Nelms}, title = {Optimization of stateful hardware acceleration in hybrid architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {567--570}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763285}, doi = {10.1109/DATE.2011.5763285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChangMFWHYN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenGSS11, author = {Zhimin Chen and Xu Guo and Ambuj Sinha and Patrick Schaumont}, title = {Data-oriented performance analysis of {SHA-3} candidates on {FPGA} accelerated computers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1650--1655}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763262}, doi = {10.1109/DATE.2011.5763262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenGSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenKZBSNS11, author = {Chia{-}Hsiang Chen and Yejoong Kim and Zhengya Zhang and David T. Blaauw and Dennis Sylvester and Helia Naeimi and Sumeet Sandhu}, title = {A confidence-driven model for error-resilient computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1608--1613}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763255}, doi = {10.1109/DATE.2011.5763255}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenKZBSNS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLCP11, author = {Yi{-}Chung Chen and Hai Li and Yiran Chen and Robinson E. Pino}, title = {3D-ICML: {A} 3D bipolar ReRAM design with interleaved complementary memory layers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {583--586}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763289}, doi = {10.1109/DATE.2011.5763289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenLCP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLH11, author = {Chia{-}I Chen and Bau{-}Cheng Lee and Juinn{-}Dar Huang}, title = {Architectural exploration of 3D FPGAs towards a better balance between area and delay}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {587--590}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763290}, doi = {10.1109/DATE.2011.5763290}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLWH11, author = {Hsien{-}Te Chen and Hong{-}Long Lin and Zi{-}Cheng Wang and TingTing Hwang}, title = {A new architecture for power network in 3D {IC}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {401--406}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763070}, doi = {10.1109/DATE.2011.5763070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenLWH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenM11, author = {Mingsong Chen and Prabhat Mishra}, title = {Decision ordering based property decomposition for functional test generation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {167--172}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763037}, doi = {10.1109/DATE.2011.5763037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenO11, author = {Mingjing Chen and Alex Orailoglu}, title = {Diagnosing scan chain timing faults through statistical feature analysis of scan images}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {185--190}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763040}, doi = {10.1109/DATE.2011.5763040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenY11, author = {Zhi{-}Wei Chen and Jin{-}Tai Yan}, title = {Timing-constrained {I/O} buffer placement for flip-chip designs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {619--624}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763102}, doi = {10.1109/DATE.2011.5763102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenZD11, author = {Yuankai Chen and Hai Zhou and Robert P. Dick}, title = {Integrated circuit white space redistribution for temperature optimization}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {613--618}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763101}, doi = {10.1109/DATE.2011.5763101}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenZD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChiuSH11, author = {Yi{-}Sheng Chiu and Chi{-}Sheng Shih and Shih{-}Hao Hung}, title = {Pipeline schedule synthesis for real-time streaming tasks with inter/intra-instance precedence constraints}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1321--1326}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763212}, doi = {10.1109/DATE.2011.5763212}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChiuSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChouM11, author = {Chen{-}Ling Chou and Radu Marculescu}, title = {{FARM:} Fault-aware resource management in NoC-based multiprocessor platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {673--678}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763113}, doi = {10.1109/DATE.2011.5763113}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChouM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChrysanthouCSP11, author = {Nafsika Chrysanthou and Grigorios Chrysos and Euripides Sotiriades and Ioannis Papaefstathiou}, title = {Parallel accelerators for GlimmerHMM bioinformatics algorithm}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {94--99}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763024}, doi = {10.1109/DATE.2011.5763024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChrysanthouCSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChungCCK11, author = {Chih{-}Neng Chung and Chia{-}Wei Chang and Kai{-}Hui Chang and Sy{-}Yen Kuo}, title = {Formal reset recovery slack calculation at the register transfer level}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {571--574}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763286}, doi = {10.1109/DATE.2011.5763286}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChungCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Cilardo11, author = {Alessandro Cilardo}, title = {The potential of reconfigurable hardware for {HPC} cryptanalysis of {SHA-1}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {998--1003}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763162}, doi = {10.1109/DATE.2011.5763162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Cilardo11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ClermidyDDLV11, author = {Fabien Clermidy and Florian Darve and Denis Dutoit and Walid Lafi and Pascal Vivet}, title = {3D Embedded multi-core: Some perspectives}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1327--1332}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763213}, doi = {10.1109/DATE.2011.5763213}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ClermidyDDLV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CroneBCDER11, author = {Allan Crone and Oliver Bringmann and C. Chevallaz and B. Dickman and Volkan Esen and M. Rohleder}, title = {State of the art verification methodologies in 2015}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1339}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763215/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CroneBCDER11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DOrazioVD11, author = {Leandro D'Orazio and Filippo Visintainer and Marco Darin}, title = {Sensor networks on the car: State of the art and future challenges}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1030--1035}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763169}, doi = {10.1109/DATE.2011.5763169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DOrazioVD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DammHJPS11, author = {Werner Damm and Hardi Hungar and Bernhard Josko and Thomas Peikenkamp and Ingo Stierand}, title = {Using contract-based component specifications for virtual integration testing and architecture design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1023--1028}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763167}, doi = {10.1109/DATE.2011.5763167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DammHJPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DragomirB11, author = {Ozana Silvia Dragomir and Koen Bertels}, title = {Loop distribution for K-loops on Reconfigurable Architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1548--1553}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763245}, doi = {10.1109/DATE.2011.5763245}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DragomirB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DraneC11, author = {Theo Drane and George A. Constantinides}, title = {Optimisation of mutually exclusive arithmetic sum-of-products}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1388--1393}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763224}, doi = {10.1109/DATE.2011.5763224}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DraneC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DrmanacSWWA11, author = {Dragoljub Gagi Drmanac and Nik Sumikawa and LeRoy Winemberg and Li{-}C. Wang and Magdy S. Abadir}, title = {Multidimensional parametric test set optimization of wafer probe data for predicting in field failures and setting tighter test limits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {794--799}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763135}, doi = {10.1109/DATE.2011.5763135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DrmanacSWWA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DupretTVAP11, author = {Antoine Dupret and Micha{\"{e}}l Tchagaspanian and Arnaud Verdant and Laurent Alacoque and Arnaud Peizerat}, title = {Smart imagers of the future}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {437--442}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763076}, doi = {10.1109/DATE.2011.5763076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DupretTVAP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiMA11, author = {Mojtaba Ebrahimi and Seyed Ghassem Miremadi and Hossein Asadi}, title = {ScTMR: {A} scan chain-based error recovery technique for {TMR} systems in safety-critical applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {298--292}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763277}, doi = {10.1109/DATE.2011.5763277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EbrahimiMA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EggersglusD11, author = {Stephan Eggersgl{\"{u}}{\ss} and Rolf Drechsler}, title = {As-Robust-As-Possible test generation in the presence of small delay defects using pseudo-Boolean optimization}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1291--1296}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763207}, doi = {10.1109/DATE.2011.5763207}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EggersglusD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EnemanCMMCMMBHP11, author = {Geert Eneman and J. Cho and V. Moroz and Dragomir Milojevic and M. Choi and Kristin De Meyer and Abdelkarim Mercha and Eric Beyne and Thomas Hoffmann and Geert Van der Plas}, title = {An analytical compact model for estimation of stress in multiple Through-Silicon Via configurations}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {505--506}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763088}, doi = {10.1109/DATE.2011.5763088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EnemanCMMCMMBHP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ErbP11, author = {Stefan Erb and Wolfgang Pribyl}, title = {A method for fast jitter tolerance analysis of high-speed PLLs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1107--1112}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763182}, doi = {10.1109/DATE.2011.5763182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ErbP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FalkZHT11, author = {Joachim Falk and Christian Zebelein and Christian Haubelt and J{\"{u}}rgen Teich}, title = {A rule-based static dataflow clustering algorithm for efficient embedded software synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {521--526}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763094}, doi = {10.1109/DATE.2011.5763094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FalkZHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FazeliAMAT11, author = {Mahdi Fazeli and Seyed Nematollah Ahmadian and Seyed Ghassem Miremadi and Hossein Asadi and Mehdi Baradaran Tahoori}, title = {Soft error rate estimation of digital circuits in the presence of Multiple Event Transients (METs)}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {70--75}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763020}, doi = {10.1109/DATE.2011.5763020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FazeliAMAT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerentD11, author = {Cristian Ferent and Alex Doboli}, title = {A symbolic technique for automated characterization of the uniqueness and similarity of analog circuit design features}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1212--1217}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763316}, doi = {10.1109/DATE.2011.5763316}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerentD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerreiraBCMM11, author = {Alexandre Peixoto Ferreira and Santiago Bock and Bruce R. Childers and Rami G. Melhem and Daniel Moss{\'{e}}}, title = {Impact of process variation on endurance algorithms for wear-prone memories}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {962--967}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763156}, doi = {10.1109/DATE.2011.5763156}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerreiraBCMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FettweisGK11, author = {Gerhard P. Fettweis and Falko Guderian and Stefan Krone}, title = {Entering the path towards terabit/s wireless links}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {431--436}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763075}, doi = {10.1109/DATE.2011.5763075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FettweisGK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FoucauldDDC11, author = {Emeric de Foucauld and Jean{-}Baptiste David and Christophe Delaveaud and Pascal Ciais}, title = {An antenna-filter codesign for cardiac implants}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {728--733}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763124}, doi = {10.1109/DATE.2011.5763124}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FoucauldDDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FourmigueBNAO11, author = {Alain Fourmigue and Giovanni Beltrame and Gabriela Nicolescu and El Mostapha Aboulhamid and Ian O'Connor}, title = {Multi-granularity thermal evaluation of 3D MPSoC architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {575--578}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763287}, doi = {10.1109/DATE.2011.5763287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FourmigueBNAO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FuWT11, author = {Cheng{-}Yang Fu and Meng{-}Huan Wu and Ren{-}Song Tsay}, title = {A shared-variable-based synchronization approach to efficient cache coherence simulation for multi-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {347--352}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763061}, doi = {10.1109/DATE.2011.5763061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FuWT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FunchalM11, author = {Giovanni Funchal and Matthieu Moy}, title = {jTLM: An experimentation framework for the simulation of transaction-level models of Systems-on-Chip}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1184--1187}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763309}, doi = {10.1109/DATE.2011.5763309}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FunchalM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Furber11, author = {Stephen B. Furber}, title = {Biologically-inspired massively-parallel architectures - Computing beyond a million processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763006}, doi = {10.1109/DATE.2011.5763006}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Furber11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaoHL11, author = {Jianliang Gao and Yinhe Han and Xiaowei Li}, title = {Eliminating data invalidation in debugging multiple-clock chips}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {691--696}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763117}, doi = {10.1109/DATE.2011.5763117}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaoHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GeunsBBC11, author = {Stefan J. Geuns and Marco Jan Gerrit Bekooij and Tjerk Bijlsma and Henk Corporaal}, title = {Parallelization of while loops in nested loop programs for shared-memory multiprocessor systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {697--702}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763118}, doi = {10.1109/DATE.2011.5763118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GeunsBBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhasemazarP11, author = {Mohammad Ghasemazar and Massoud Pedram}, title = {Variation aware dynamic power management for chip multiprocessor architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {473--478}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763082}, doi = {10.1109/DATE.2011.5763082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GhasemazarP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhermanMECB11, author = {Valentin Gherman and J. Massas and Samuel Evain and St{\'{e}}phane Chevobbe and Yannick Bonhomme}, title = {Error prediction based on concurrent self-test and reduced slack time}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1626--1631}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763258}, doi = {10.1109/DATE.2011.5763258}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GhermanMECB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GielenMW11, author = {Georges G. E. Gielen and Elie Maricau and Pieter De Wit}, title = {Analog circuit reliability in sub-32 nanometer {CMOS:} Analysis and mitigation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1474--1479}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763239}, doi = {10.1109/DATE.2011.5763239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GielenMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GilaniKS11, author = {Syed Zohaib Gilani and Nam Sung Kim and Michael J. Schulte}, title = {Scratchpad memory optimizations for digital signal processing applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {974--979}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763158}, doi = {10.1109/DATE.2011.5763158}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GilaniKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GizopoulosPARHSMBV11, author = {Dimitris Gizopoulos and Mihalis Psarakis and Sarita V. Adve and Pradeep Ramachandran and Siva Kumar Sastry Hari and Daniel J. Sorin and Albert Meixner and Arijit Biswas and Xavier Vera}, title = {Architectures for online error detection and recovery in multicore processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {533--538}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763096}, doi = {10.1109/DATE.2011.5763096}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GizopoulosPARHSMBV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GobbatoCG11, author = {Luca Gobbato and Alessandro Chinea and Stefano Grivet{-}Talocia}, title = {A parallel Hamiltonian eigensolver for passivity characterization and enforcement of large interconnect macromodels}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {26--31}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763011}, doi = {10.1109/DATE.2011.5763011}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GobbatoCG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GolaniB11, author = {Pankaj Golani and Peter A. Beerel}, title = {An area-efficient multi-level single-track pipeline template}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1509--1512}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763322}, doi = {10.1109/DATE.2011.5763322}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GolaniB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoswamiSC11, author = {Dip Goswami and Reinhard Schneider and Samarjit Chakraborty}, title = {Re-engineering cyber-physical control applications for hybrid communication protocols}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {914--919}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763148}, doi = {10.1109/DATE.2011.5763148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GoswamiSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoyalN11, author = {Ankit Goyal and Farid N. Najm}, title = {Efficient {RC} power grid verification using node elimination}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {257--260}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763269}, doi = {10.1109/DATE.2011.5763269}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GoyalN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrammatikakisPSP11, author = {Miltos D. Grammatikakis and Stratos Politis and Jean{-}Pierre Schoellkopf and Constantin Papadas}, title = {System-level power estimation methodology using cycle- and bit-accurate {TLM}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1125--1126}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763187}, doi = {10.1109/DATE.2011.5763187}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrammatikakisPSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GraupnerJW11, author = {Achim Graupner and Roland Jancke and Reimund Wittmann}, title = {Generator based approach for analog circuit and layout design and optimization}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1675--1680}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763267}, doi = {10.1109/DATE.2011.5763267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GraupnerJW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuoCSCWH11, author = {Qi Guo and Tianshi Chen and Haihua Shen and Yunji Chen and Yue Wu and Weiwu Hu}, title = {Empirical design bugs prediction for verification}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {161--166}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763036}, doi = {10.1109/DATE.2011.5763036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuoCSCWH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HameedFH11, author = {Fazal Hameed and Mohammad Abdullah Al Faruque and J{\"{o}}rg Henkel}, title = {Dynamic thermal management in 3D multi-core architecture through run-time adaptation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {299--304}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763053}, doi = {10.1109/DATE.2011.5763053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HameedFH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanJS11, author = {HyoJung Han and HoonSang Jin and Fabio Somenzi}, title = {Clause simplification through dominator analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {143--148}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763033}, doi = {10.1109/DATE.2011.5763033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HanJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanumaiahV11, author = {Vinay Hanumaiah and Sarma B. K. Vrudhula}, title = {Reliability-aware thermal management for hard real-time applications on multi-core processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {137--142}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763032}, doi = {10.1109/DATE.2011.5763032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HanumaiahV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaronH11, author = {Nor Zaidi Haron and Said Hamdioui}, title = {Cost-efficient fault-tolerant decoder for hybrid nanoelectronic memories}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {265--268}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763271}, doi = {10.1109/DATE.2011.5763271}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HaronH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HashempourDTKHBX11, author = {Hamidreza Hashempour and Jos Dohmen and Bratislav Tasic and Bram Kruseman and Camelia Hora and Maikel van Beurden and Yizi Xing}, title = {Test time reduction in analogue/mixed-signal devices by defect oriented testing: An industrial example}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {371--376}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763065}, doi = {10.1109/DATE.2011.5763065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HashempourDTKHBX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HausmansBC11, author = {Joost P. H. M. Hausmans and Marco Jan Gerrit Bekooij and Henk Corporaal}, title = {Resynchronization of Cyclo-Static Dataflow graphs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1315--1320}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763211}, doi = {10.1109/DATE.2011.5763211}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HausmansBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeGO11, author = {Ku He and Andreas Gerstlauer and Michael Orshansky}, title = {Controlled timing-error acceptance for low energy {IDCT} design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {758--763}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763129}, doi = {10.1109/DATE.2011.5763129}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeGO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HealyL11, author = {Michael B. Healy and Sung Kyu Lim}, title = {A novel {TSV} topology for many-tier 3D power-delivery networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {261--264}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763270}, doi = {10.1109/DATE.2011.5763270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HealyL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeidmannWP11, author = {Nils Heidmann and Till Wiegand and Steffen Paul}, title = {Architecture and FPGA-implementation of a high throughput K\({}^{\mbox{+}}\)-Best detector}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {240--245}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763049}, doi = {10.1109/DATE.2011.5763049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeidmannWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HendryCCB11, author = {Gilbert Hendry and Johnnie Chan and Luca P. Carloni and Keren Bergman}, title = {{VANDAL:} {A} tool for the design specification of nanophotonic networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {782--787}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763133}, doi = {10.1109/DATE.2011.5763133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HendryCCB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsuL11, author = {Shih{-}Jung Hsu and Rung{-}Bin Lin}, title = {Clock gating optimization with delay-matching}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {643--648}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763106}, doi = {10.1109/DATE.2011.5763106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsuL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuXZTS11, author = {Jingtong Hu and Chun Jason Xue and Qingfeng Zhuge and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Towards energy efficient hybrid on-chip Scratch Pad Memory with non-volatile memory}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {746--751}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763127}, doi = {10.1109/DATE.2011.5763127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuXZTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangDEB11, author = {Qiuting Huang and Catherine Deholain and Christian C. Enz and Thomas Burger}, title = {A circuit technology platform for medical data acquisition and communication: Outline of a collaboration project within the Swiss Nano-Tera.ch Initiative}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1472--1473}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763238}, doi = {10.1109/DATE.2011.5763238}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangDEB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangHL11, author = {Keheng Huang and Yu Hu and Xiaowei Li}, title = {Cross-layer optimized placement and routing for {FPGA} soft error mitigation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {58--63}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763018}, doi = {10.1109/DATE.2011.5763018}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangQ11, author = {Huang Huang and Gang Quan}, title = {Leakage aware energy minimization for real-time systems under the maximum temperature constraint}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {479--484}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763083}, doi = {10.1109/DATE.2011.5763083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangRBK11, author = {Jia Huang and Andreas Raabe and Christian Buckl and Alois C. Knoll}, title = {A workflow for runtime adaptive task allocation on heterogeneous MPSoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1119--1134}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763189}, doi = {10.1109/DATE.2011.5763189}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangRBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangWSLXL11, author = {Libo Huang and Zhiying Wang and Li Shen and Hongyi Lu and Nong Xiao and Cong Liu}, title = {A specialized low-cost vectorized loop buffer for embedded processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1200--1203}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763313}, doi = {10.1109/DATE.2011.5763313}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangWSLXL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IndrusiakS11, author = {Leandro Soares Indrusiak and Osmar Marchi dos Santos}, title = {Fast and accurate transaction-level model of a wormhole network-on-chip with priority preemptive virtual channel arbitration}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1089--1094}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763179}, doi = {10.1109/DATE.2011.5763179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IndrusiakS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JahnFH11, author = {Janmartin Jahn and Mohammad Abdullah Al Faruque and J{\"{o}}rg Henkel}, title = {{CARAT:} Context-aware runtime adaptive task migration for multi core architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {515--520}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763093}, doi = {10.1109/DATE.2011.5763093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JahnFH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JerrayaG11, author = {Ahmed Jerraya and John Goodacre}, title = {Smart devices panel session - Integrating the real world interfaces}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {913}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763147/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JerrayaG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JhaLMR11, author = {Sumit Kumar Jha and Christopher James Langmead and Swarup Mohalik and S. Ramesh}, title = {When to stop verification?: Statistical trade-off between expected loss and simulation cost}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1309--1314}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763210}, doi = {10.1109/DATE.2011.5763210}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JhaLMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JuanGM11, author = {Da{-}Cheng Juan and Siddharth Garg and Diana Marculescu}, title = {Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {383--388}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763067}, doi = {10.1109/DATE.2011.5763067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JuanGM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KakoeeBB11, author = {Mohammad Reza Kakoee and Valeria Bertacco and Luca Benini}, title = {ReliNoC: {A} reliable network for priority-based on-chip communication}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {667--672}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763112}, doi = {10.1109/DATE.2011.5763112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KakoeeBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalAP11, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, title = {Timing variation-aware custom instruction extension technique}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1517--1520}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763324}, doi = {10.1109/DATE.2011.5763324}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KamalAP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KangD11, author = {Kang Kang and Yangdong Steve Deng}, title = {Scalable packet classification via {GPU} metaprogramming}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {871--874}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763294}, doi = {10.1109/DATE.2011.5763294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KangD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KanounMKA11, author = {Karim Kanoun and Hossein Mamaghanian and Nadia Khaled and David Atienza}, title = {A real-time compressed sensing-based personal electrocardiogram monitoring system}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {824--829}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763140}, doi = {10.1109/DATE.2011.5763140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KanounMKA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KapoorHT11, author = {Bhanu Kapoor and Alan Hunter and Prapanna Tiwari}, title = {Power management verification experiences in Wireless SoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {507--508}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763089}, doi = {10.1109/DATE.2011.5763089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KapoorHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KapoorJ11, author = {Bhanu Kapoor and Knut M. Just}, title = {Embedded tutorial: Addressing critical power management verification issues in low power designs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {124}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763029}, doi = {10.1109/DATE.2011.5763029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KapoorJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KaraklajicFSV11, author = {Dusko Karaklajic and Junfeng Fan and J{\"{o}}rn{-}Marc Schmidt and Ingrid Verbauwhede}, title = {Low-cost fault detection method for {ECC} using Montgomery powering ladder}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1016--1021}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763165}, doi = {10.1109/DATE.2011.5763165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KaraklajicFSV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kauppinen11, author = {Hannu Kauppinen}, title = {Wireless innovations for smartphones}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {606}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763099}, doi = {10.1109/DATE.2011.5763099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Kauppinen11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KeezerG11, author = {David C. Keezer and Carl Edward Gray}, title = {Two methods for 24 Gbps test signal synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {579--582}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763288}, doi = {10.1109/DATE.2011.5763288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KeezerG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KelleyWDSRH11, author = {Kyle Kelley and Megan Wachs and Andrew Danowitz and P. Stevenson and Stephen Richardson and Mark Horowitz}, title = {Intermediate representations for controllers in chip generators}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1394--1399}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763225}, doi = {10.1109/DATE.2011.5763225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KelleyWDSRH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KengSV11, author = {Brian Keng and Sean Safarpour and Andreas G. Veneris}, title = {Automated debugging of SystemVerilog assertions}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {323--328}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763057}, doi = {10.1109/DATE.2011.5763057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KengSV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KernST11, author = {Andreas Kern and Thilo Streichert and J{\"{u}}rgen Teich}, title = {An automated data structure migration concept - From {CAN} to Ethernet/IP in automotive embedded systems (CANoverIP)}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {112--117}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763027}, doi = {10.1109/DATE.2011.5763027}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KernST11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KesturDN11, author = {Srinidhi Kestur and Dharav Dantara and Vijaykrishnan Narayanan}, title = {{SHARC:} {A} streaming model for {FPGA} accelerators and its application to Saliency}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1237--1242}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763198}, doi = {10.1109/DATE.2011.5763198}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KesturDN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhatibA11, author = {Mohammed G. Khatib and Leon Abelmann}, title = {Buffering implications for the design space of streaming {MEMS} storage}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {253--256}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763268}, doi = {10.1109/DATE.2011.5763268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KhatibA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimCSY11, author = {Dusung Kim and Maciej J. Ciesielski and Kyuho Shim and Seiyang Yang}, title = {Temporal parallel simulation: {A} fast gate-level {HDL} simulation using higher level models}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1584--1589}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763251}, doi = {10.1109/DATE.2011.5763251}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimCSY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimCY11, author = {Dusung Kim and Maciej J. Ciesielski and Seiyang Yang}, title = {A new distributed event-driven gate-level {HDL} simulation by accurate prediction}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {547--550}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763280}, doi = {10.1109/DATE.2011.5763280}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimYLAJ11, author = {Dongki Kim and Sungjoo Yoo and Sunggu Lee and Jung Ho Ahn and Hyunuk Jung}, title = {A quantitative analysis of performance benefits of 3D die stacking on mobile and embedded SoC}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1333--1338}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763214}, doi = {10.1109/DATE.2011.5763214}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimYLAJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KlobedanzK011, author = {Kay Klobedanz and Andreas K{\"{o}}nig and Wolfgang M{\"{u}}ller}, title = {A reconfiguration approach for fault-tolerant FlexRay networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {82--87}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763022}, doi = {10.1109/DATE.2011.5763022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KlobedanzK011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KobayashiH11, author = {Susumu Kobayashi and Kenichi Horiuchi}, title = {An LOCV-based static timing analysis considering spatial correlations of power supply variations}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {559--562}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763283}, doi = {10.1109/DATE.2011.5763283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KobayashiH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KochteW11, author = {Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {SAT-based fault coverage evaluation in the presence of unknown values}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1303--1308}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763209}, doi = {10.1109/DATE.2011.5763209}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KochteW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KolpeZS11, author = {T. Kolpe and Antonia Zhai and Sachin S. Sapatnekar}, title = {Enabling improved power management in multicore processors through clustered {DVFS}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {293--298}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763052}, doi = {10.1109/DATE.2011.5763052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KolpeZS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KondratyevLMW11, author = {Alex Kondratyev and Luciano Lavagno and Mike Meyer and Yosinori Watanabe}, title = {Realistic performance-constrained pipelining in high-level synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1382--1387}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763223}, doi = {10.1109/DATE.2011.5763223}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KondratyevLMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KongYD11, author = {Fanxin Kong and Wang Yi and Qingxu Deng}, title = {Energy-efficient scheduling of real-time tasks on cluster-based multicores}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1135--1140}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763190}, doi = {10.1109/DATE.2011.5763190}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KongYD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KozhikkottuVRD11, author = {Vivek Joy Kozhikkottu and Rangharajan Venkatesan and Anand Raghunathan and Sujit Dey}, title = {{VESPA:} Variability emulation for System-on-Chip performance analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {2--7}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763007}, doi = {10.1109/DATE.2011.5763007}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KozhikkottuVRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KrauseP11, author = {Philipp Klaus Krause and Ilia Polian}, title = {Adaptive voltage over-scaling for resilient applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {944--949}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763153}, doi = {10.1109/DATE.2011.5763153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KrauseP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarRPB11, author = {Amit Kumar and Sudhakar M. Reddy and Irith Pomeranz and Bernd Becker}, title = {Hyper-graph based partitioning to reduce {DFT} cost for pre-bond 3D-IC testing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1424--1429}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763230}, doi = {10.1109/DATE.2011.5763230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KumarRPB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KunduS11, author = {Sandip Kundu and Aswin Sreedhar}, title = {Modeling manufacturing process variation for design and test}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1147--1152}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763192}, doi = {10.1109/DATE.2011.5763192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KunduS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KunzGW11, author = {Leonardo Kunz and Gustavo Gir{\~{a}}o and Fl{\'{a}}vio Rech Wagner}, title = {Improving the efficiency of a hardware transactional memory on an NoC-based MPSoC}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1168--1171}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763305}, doi = {10.1109/DATE.2011.5763305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KunzGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KuppSM11, author = {Nathan Kupp and Mustapha Slamani and Yiorgos Makris}, title = {Correlating inline data with final test outcomes in analog/RF devices}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {812--817}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763138}, doi = {10.1109/DATE.2011.5763138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KuppSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KyrkouTT11, author = {Christos Kyrkou and Christos Ttofis and Theocharis Theocharides}, title = {Depth-directed hardware object detection}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1442--1447}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763233}, doi = {10.1109/DATE.2011.5763233}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KyrkouTT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LafiLJ11, author = {Walid Lafi and Didier Lattard and Ahmed Amine Jerraya}, title = {A 3D reconfigurable platform for 4G telecom applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {555--558}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763282}, doi = {10.1109/DATE.2011.5763282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LafiLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LandrockOCKA11, author = {Clinton K. Landrock and Badr Omrane and Yindar Chuo and Bozena Kaminska and Jeydmer Aristizabal}, title = {2D and 3D integration with organic and silicon electronics}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {899--904}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763301}, doi = {10.1109/DATE.2011.5763301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LandrockOCKA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LangeWK11, author = {Holger Lange and Thorsten Wink and Andreas Koch}, title = {{MARC} {II:} {A} parametrized speculative multi-ported memory subsystem for reconfigurable computers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1352--1357}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763218}, doi = {10.1109/DATE.2011.5763218}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LangeWK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeS11, author = {Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {Component-based design for the future}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1029}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763168}, doi = {10.1109/DATE.2011.5763168}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeeS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeupersEMSTC11, author = {Rainer Leupers and Lieven Eeckhout and Grant Martin and Frank Schirrmeister and Nigel P. Topham and Xiaotao Chen}, title = {Virtual Manycore platforms: Moving towards 100+ processor cores}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {715--720}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763121}, doi = {10.1109/DATE.2011.5763121}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeupersEMSTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiMY11, author = {Yu Li and Terrence S. T. Mak and Alex Yakovlev}, title = {Redressing timing issues for speed-independent circuits in deep submicron age}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1376--1381}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763222}, doi = {10.1109/DATE.2011.5763222}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiMY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiZY11, author = {Lin Li and Youtao Zhang and Jun Yang}, title = {Proactive recovery for {BTI} in high-k {SRAM} cells}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {992--997}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763161}, doi = {10.1109/DATE.2011.5763161}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuHRG11, author = {Bo Liu and Ying He and Patrick Reynaert and Georges G. E. Gielen}, title = {Global optimization of integrated transformers for high frequency microwave circuits using a Gaussian process based surrogate model}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1101--1106}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763181}, doi = {10.1109/DATE.2011.5763181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuHRG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuOXL11, author = {Tiantian Liu and Alex Orailoglu and Chun Jason Xue and Minming Li}, title = {Register allocation for simultaneous reduction of energy and peak temperature on registers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {20--25}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763010}, doi = {10.1109/DATE.2011.5763010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuOXL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuS11, author = {Frank Liu and Xiaokang Shi}, title = {An efficient mask optimization method based on homotopy continuation technique}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1053--1058}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763173}, doi = {10.1109/DATE.2011.5763173}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuSTV11, author = {Lingyi Liu and David Sheridan and William Tuohy and Shobha Vasudevan}, title = {Towards coverage closure: Using GoldMine assertions for generating design validation stimulus}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {173--178}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763038}, doi = {10.1109/DATE.2011.5763038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuSTV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuV11, author = {Lingyi Liu and Shobha Vasudevan}, title = {Efficient validation input generation in {RTL} by hybridized source code analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1596--1601}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763253}, doi = {10.1109/DATE.2011.5763253}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuX11, author = {Xiao Liu and Qiang Xu}, title = {On multiplexed signal tracing for post-silicon debug}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {685--690}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763116}, doi = {10.1109/DATE.2011.5763116}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoCWT11, author = {Chen Kang Lo and Li{-}Chun Chen and Meng{-}Huan Wu and Ren{-}Song Tsay}, title = {Cycle-count-accurate processor modeling for fast and accurate system-level simulation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {341--346}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763060}, doi = {10.1109/DATE.2011.5763060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LoCWT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LopezMBPGE11, author = {Carolina Mora Lopez and Silke Musa and Carmen Bartic and Robert Puers and Georges G. E. Gielen and Wolfgang Eberle}, title = {Systematic design of a programmable low-noise {CMOS} neural interface for cell activity recording}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {818--823}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763139}, doi = {10.1109/DATE.2011.5763139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LopezMBPGE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuHCT11, author = {Jianchao Lu and Vinayak Honkote and Xin Chen and Baris Taskin}, title = {Steiner tree based rotary clock routing with bounded skew and capacitive load balancing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {455--460}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763079}, doi = {10.1109/DATE.2011.5763079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuHCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuJTL11, author = {Feng Lu and Russ Joseph and Goce Trajcevski and Song Liu}, title = {Efficient parameter variation sampling for architecture simulations}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1578--1583}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763250}, doi = {10.1109/DATE.2011.5763250}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuJTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuPRR11, author = {Chao Lu and Sang Phill Park and Vijay Raghunathan and Kaushik Roy}, title = {Stage number optimization for switched capacitor power converters in micro-scale energy harvesting}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {770--775}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763131}, doi = {10.1109/DATE.2011.5763131}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuPRR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LukasiewyczCM11, author = {Martin Lukasiewycz and Samarjit Chakraborty and Paul Milbredt}, title = {FlexRay switch scheduling - {A} networking concept for electric vehicles}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {76--81}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763021}, doi = {10.1109/DATE.2011.5763021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LukasiewyczCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LungHKC11, author = {Chiao{-}Ling Lung and Yi{-}Lun Ho and Ding{-}Ming Kwai and Shih{-}Chieh Chang}, title = {Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {8--13}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763008}, doi = {10.1109/DATE.2011.5763008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LungHKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaW11, author = {Kun Ma and Kaijie Wu}, title = {{LOEDAR:} {A} low cost error detection and recovery scheme for {ECC}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1010--1015}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763164}, doi = {10.1109/DATE.2011.5763164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MadaniTCCD11, author = {Nariman Moezzi Madani and Thorlindur Thorolfsson and Joseph Crop and Patrick Chiang and W. Rhett Davis}, title = {An energy-efficient 64-QAM {MIMO} detector for emerging wireless standards}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {246--251}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763050}, doi = {10.1109/DATE.2011.5763050}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MadaniTCCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MahlknechtKGW11, author = {Stefan Mahlknecht and Tom J. Kazmierski and Christoph Grimm and Leran Wang}, title = {Wireless communication and energy harvesting in automobiles}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1042--1047}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763171}, doi = {10.1109/DATE.2011.5763171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MahlknechtKGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaricauG11, author = {Elie Maricau and Georges G. E. Gielen}, title = {Stochastic circuit reliability analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1285--1290}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763206}, doi = {10.1109/DATE.2011.5763206}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaricauG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MatischekHGH11, author = {Rainer Matischek and Thomas Herndl and Christoph Grimm and Jan Haase}, title = {Real-time wireless communication in automotive applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1036--1041}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763170}, doi = {10.1109/DATE.2011.5763170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MatischekHGH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MatsudaI11, author = {Akitoshi Matsuda and Tohru Ishihara}, title = {Developing an integrated verification and debug methodology}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {503--504}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763087}, doi = {10.1109/DATE.2011.5763087}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MatsudaI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MazzilloFFMRZ11, author = {Massimo Mazzillo and Pier Giorgio Fallica and Elisa Ficarra and A. Messina and Mario Francesco Romeo and Roberto Zafalon}, title = {Solid state photodetectors for nuclear medical imaging applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {511--512}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763091}, doi = {10.1109/DATE.2011.5763091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MazzilloFFMRZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MedwedM11, author = {Marcel Medwed and Stefan Mangard}, title = {Arithmetic logic units with high error detection rates to counteract fault attacks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1644--1649}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763261}, doi = {10.1109/DATE.2011.5763261}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MedwedM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MerrettAWZRMRLFA11, author = {Michael Merrett and Plamen Asenov and Yangang Wang and Mark Zwolinski and Dave Reid and Campbell Millar and Scott Roy and Zhenyu Liu and Stephen B. Furber and Asen Asenov}, title = {Modelling circuit performance variations due to statistical variability: Monte Carlo static timing analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1537--1540}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763329}, doi = {10.1109/DATE.2011.5763329}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MerrettAWZRMRLFA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeyerGCLS11, author = {Brett H. Meyer and Nishant J. George and Benton H. Calhoun and John C. Lach and Kevin Skadron}, title = {Reducing the cost of redundant execution in safety-critical systems using relaxed dedication}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1249--1254}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763200}, doi = {10.1109/DATE.2011.5763200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeyerGCLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeyerNHBSGSB11, author = {Joachim Meyer and Juanjo Noguera and Michael H{\"{u}}bner and Lars Braun and Oliver Sander and R. M. Gil and Rodney Stewart and J{\"{u}}rgen Becker}, title = {Fast Start-up for Spartan-6 FPGAs using Dynamic Partial Reconfiguration}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1542--1547}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763244}, doi = {10.1109/DATE.2011.5763244}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeyerNHBSGSB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeynardRFGHD11, author = {Olivier Meynard and Denis R{\'{e}}al and Florent Flament and Sylvain Guilley and Naofumi Homma and Jean{-}Luc Danger}, title = {Enhancement of simple electro-magnetic attacks by pre-characterization in frequency domain and demodulation techniques}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1004--1009}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763163/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MeynardRFGHD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MichelFP11, author = {Luc Michel and Nicolas Fournel and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, title = {Speeding-up {SIMD} instructions dynamic binary translation in embedded processor simulation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {277--280}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763274}, doi = {10.1109/DATE.2011.5763274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MichelFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Micheli11, author = {Giovanni De Micheli}, title = {Logic synthesis and physical design: Quo vadis?}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {50}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763015}, doi = {10.1109/DATE.2011.5763015}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Micheli11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MicheliGBVC11, author = {Giovanni De Micheli and Sara S. Ghoreishizadeh and Cristina Boero and Francesco Valgimigli and Sandro Carrara}, title = {An integrated platform for advanced diagnostics}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1454--1459}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763235}, doi = {10.1109/DATE.2011.5763235}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MicheliGBVC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MirandaZDR11, author = {Miguel Miranda and Paul Zuber and Petr Dobrovoln{\'{y}} and Philippe Roussel}, title = {Variability aware modeling for yield enhancement of {SRAM} and logic}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1153--1158}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763193}, doi = {10.1109/DATE.2011.5763193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MirandaZDR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MirhoseiniK11, author = {Azalia Mirhoseini and Farinaz Koushanfar}, title = {HypoEnergy. Hybrid supercapacitor-battery power-supply optimization for Energy efficiency}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {887--890}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763298}, doi = {10.1109/DATE.2011.5763298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MirhoseiniK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MistryAFH11, author = {Jatin N. Mistry and Bashir M. Al{-}Hashimi and David Flynn and Stephen Hill}, title = {Sub-clock power-gating technique for minimising leakage power during active mode}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {106--111}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763026}, doi = {10.1109/DATE.2011.5763026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MistryAFH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiteaMHJ11, author = {Oliver Mitea and Markus Meissner and Lars Hedrich and P. Jores}, title = {Automated constraint-driven topology synthesis for analog circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1662--1665}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763264}, doi = {10.1109/DATE.2011.5763264}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MiteaMHJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiyaseWAFYK11, author = {Kohei Miyase and Xiaoqing Wen and Masao Aso and Hiroshi Furukawa and Yuta Yamato and Seiji Kajihara}, title = {Transition-Time-Relation based capture-safety checking for at-speed scan test generation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {895--898}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763300}, doi = {10.1109/DATE.2011.5763300}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MiyaseWAFYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MohapatraCRR11, author = {Debabrata Mohapatra and Vinay K. Chippa and Anand Raghunathan and Kaushik Roy}, title = {Design of voltage-scalable meta-functions for approximate computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {950--955}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763154}, doi = {10.1109/DATE.2011.5763154}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MohapatraCRR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MontagA11, author = {Pascal Montag and Sebastian Altmeyer}, title = {Precise {WCET} calculation in highly variant real-time systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {920--925}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763149}, doi = {10.1109/DATE.2011.5763149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MontagA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MotaS11, author = {Pedro Fonseca da Mota and Jos{\'{e}} Machado da Silva}, title = {A true power detector for {RF} {PA} built-in calibration and testing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {365--370}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763064}, doi = {10.1109/DATE.2011.5763064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MotaS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuWLLZCXD11, author = {Shuai Mu and Chenxi Wang and Ming Liu and Dongdong Li and Maohua Zhu and Xiaoliang Chen and Xiang Xie and Yangdong Deng}, title = {Evaluating the potential of graphics processors for high performance embedded computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {709--714}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763120}, doi = {10.1109/DATE.2011.5763120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MuWLLZCXD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MurugappaABJ11, author = {Purushotham Murugappa and Rachid Al{-}Khayat and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, title = {A flexible high throughput multi-ASIP architecture for {LDPC} and turbo decoding}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {228--233}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763047}, doi = {10.1109/DATE.2011.5763047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MurugappaABJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NalamCAC11, author = {Satyanand Nalam and Vikas Chandra and Robert C. Aitken and Benton H. Calhoun}, title = {Dynamic write limited minimum operating voltage for nanoscale SRAMs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {467--472}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763081}, doi = {10.1109/DATE.2011.5763081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NalamCAC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayananZT11, author = {Rajeev Narayanan and Mohamed H. Zaki and Sofi{\`{e}}ne Tahar}, title = {Ensuring correctness of analog circuits in presence of noise and process variations using pattern matching}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1188--1191}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763310}, doi = {10.1109/DATE.2011.5763310}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NarayananZT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NejadMG11, author = {Ashkan Beyranvand Nejad and Matias Escudero Martinez and Kees Goossens}, title = {An {FPGA} bridge preserving traffic quality of service for on-chip network-based systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {425--430}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763074}, doi = {10.1109/DATE.2011.5763074}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NejadMG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NicolaidisBZ11, author = {Michael Nicolaidis and Thierry Bonnoit and Nacer{-}Eddine Zergainoh}, title = {Eliminating speed penalty in {ECC} protected memories}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1614--1619}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763256}, doi = {10.1109/DATE.2011.5763256}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NicolaidisBZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NigamTZBM11, author = {Ashish Nigam and Qin Tang and Amir Zjajo and Michel Berkelaar and Nick van der Meijs}, title = {Pseudo circuit model for representing uncertainty in waveforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1521--1524}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763325}, doi = {10.1109/DATE.2011.5763325}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NigamTZBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Niu11, author = {Linwei Niu}, title = {System-level energy-efficient scheduling for hard real-time embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {281--284}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763275}, doi = {10.1109/DATE.2011.5763275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Niu11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OnizawaMH11, author = {Naoya Onizawa and Atsushi Matsumoto and Takahiro Hanyu}, title = {Interconnect-fault-resilient delay-insensitive asynchronous communication link based on current-flow monitoring}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {776--781}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763132}, doi = {10.1109/DATE.2011.5763132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OnizawaMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PalframanKL11, author = {David J. Palframan and Nam Sung Kim and Mikko H. Lipasti}, title = {Time redundant parity for low-cost transient error detection}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {52--57}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763017}, doi = {10.1109/DATE.2011.5763017}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PalframanKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanHHL11, author = {Songjun Pan and Yu Hu and Xing Hu and Xiaowei Li}, title = {A cost-effective substantial-impact-filter based method to tolerate voltage emergencies}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {311--315}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763055}, doi = {10.1109/DATE.2011.5763055}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PanHHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PandeCPMBMG11, author = {Partha Pratim Pande and Fabien Clermidy and Diego Puschini and Imen Mansouri and Paul Bogdan and Radu Marculescu and Amlan Ganguly}, title = {Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms?}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1656--1661}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763263}, doi = {10.1109/DATE.2011.5763263}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PandeCPMBMG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PangrleBCDJ11, author = {Barry M. Pangrle and John Biggs and Cristophe Clavel and Olivier Domerego and Knut M. Just}, title = {Beyond {UPF} {\&} {CPF:} Low-power design and verification}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {252}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763051/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PangrleBCDJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Papa11, author = {Carmelo Papa}, title = {Smart systems at {ST}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1230}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763196}, doi = {10.1109/DATE.2011.5763196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Papa11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkYL11, author = {Hyunsun Park and Sungjoo Yoo and Sunggu Lee}, title = {A novel tag access scheme for low power {L2} cache}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {655--660}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763108}, doi = {10.1109/DATE.2011.5763108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkYL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PasettiCTSDSF11, author = {Giuseppe Pasetti and Nico Costantino and Francesco Tinfena and Riccardo Serventi and Paolo D'Abramo and Sergio Saponara and Luca Fanucci}, title = {Characterization of an Intelligent Power Switch for {LED} driving with control of wiring parasitics effects}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1119--1120}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763184}, doi = {10.1109/DATE.2011.5763184}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PasettiCTSDSF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaternaACPDB11, author = {Francesco Paterna and Andrea Acquaviva and Alberto Caprara and Francesco Papariello and Giuseppe Desoli and Luca Benini}, title = {An efficient on-line task allocation algorithm for QoS and energy efficiency in multicore multimedia platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {100--105}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763025}, doi = {10.1109/DATE.2011.5763025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaternaACPDB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PavlenkoWSKDSG11, author = {Evgeny Pavlenko and Markus Wedler and Dominik Stoffel and Wolfgang Kunz and Alexander Dreyer and Frank Seelisch and Gert{-}Martin Greuel}, title = {{STABLE:} {A} new {QF-BV} {SMT} solver for hard verification problems combining Boolean reasoning with computer algebra}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {155--160}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763035}, doi = {10.1109/DATE.2011.5763035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PavlenkoWSKDSG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PenolazziSH11, author = {Sandro Penolazzi and Ingo Sander and Ahmed Hemani}, title = {Predicting bus contention effects on energy and performance in multi-processor SoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1196--1199}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763312}, doi = {10.1109/DATE.2011.5763312}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PenolazziSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PerathonerLT11, author = {Simon Perathoner and Kai Lampka and Lothar Thiele}, title = {Composing heterogeneous components for system-wide performance analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {842--847}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763143}, doi = {10.1109/DATE.2011.5763143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PerathonerLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PhadkeN11, author = {Sujay Phadke and Satish Narayanasamy}, title = {{MLP} aware heterogeneous memory system}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {956--961}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763155}, doi = {10.1109/DATE.2011.5763155}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PhadkeN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pomeranz11, author = {Irith Pomeranz}, title = {Built-in generation of functional broadside tests}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1297--1302}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763208}, doi = {10.1109/DATE.2011.5763208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Pomeranz11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PontarelliOSZ11, author = {Salvatore Pontarelli and Marco Ottavi and Adelio Salsano and Kamran Zarrineh}, title = {Feedback based droop mitigation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {879--882}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763296}, doi = {10.1109/DATE.2011.5763296}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PontarelliOSZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PorquetGS11, author = {Jo{\"{e}}l Porquet and Alain Greiner and Christian Schwarz}, title = {NoC-MPU: {A} secure architecture for flexible co-hosting on shared memory MPSoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {591--594}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763291}, doi = {10.1109/DATE.2011.5763291}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PorquetGS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QiaoCL11, author = {Peng Qiao and Henk Corporaal and Menno Lindwer}, title = {A 0.964mW digital hearing aid system}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {883--886}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763297}, doi = {10.1109/DATE.2011.5763297}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QiaoCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RabaeyMCSTGWW11, author = {Jan M. Rabaey and Michael Mark and David Chen and Christopher Sutardja and Chongxuan Tang and Suraj Gowda and Mark Wagner and Dan Werthimer}, title = {Powering and communicating with mm-size implants}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {722--727}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763123}, doi = {10.1109/DATE.2011.5763123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RabaeyMCSTGWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahimiLKB11, author = {Abbas Rahimi and Igor Loi and Mohammad Reza Kakoee and Luca Benini}, title = {A fully-synthesizable single-cycle interconnection network for Shared-L1 processor clusters}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {491--496}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763085}, doi = {10.1109/DATE.2011.5763085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RahimiLKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahmanTS11, author = {Mohammad Rahman and Hiran Tennakoon and Carl Sechen}, title = {Power reduction via near-optimal library-based cell-size selection}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {867--870}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763293}, doi = {10.1109/DATE.2011.5763293}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RahmanTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiYBCT11, author = {Devendra Rai and Hoeseok Yang and Iuliana Bacivarov and Jian{-}Jia Chen and Lothar Thiele}, title = {Worst-case temperature analysis for real-time systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {631--636}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763104}, doi = {10.1109/DATE.2011.5763104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiYBCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RazaghiG11, author = {Parisa Razaghi and Andreas Gerstlauer}, title = {Host-compiled multicore {RTOS} simulator for embedded real-time software development}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {222--227}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763046}, doi = {10.1109/DATE.2011.5763046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RazaghiG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReddyCBJ11, author = {Pallavi Reddy and Fabien Clermidy and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, title = {A low complexity stopping criterion for reducing power consumption in turbo decoders}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {649--654}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763107}, doi = {10.1109/DATE.2011.5763107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReddyCBJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReimerPSB11, author = {Sven Reimer and Florian Pigorsch and Christoph Scholl and Bernd Becker}, title = {Integration of orthogonal {QBF} solving techniques}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {149--154}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763034}, doi = {10.1109/DATE.2011.5763034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReimerPSB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RekikADMN11, author = {Ahmed Amine Rekik and Florence Aza{\"{\i}}s and Norbert Dumas and Fr{\'{e}}d{\'{e}}rick Mailly and Pascal Nouet}, title = {An electrical test method for {MEMS} convective accelerometers: Development and evaluation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {806--811}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763137}, doi = {10.1109/DATE.2011.5763137}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RekikADMN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RemondNBM11, author = {Eric Remond and Eric Nercessian and Christophe Bernicot and Rayan Mina}, title = {Mathematical approach based on a "Design of Experiment" to simulate process variations}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1486--1490}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763241}, doi = {10.1109/DATE.2011.5763241}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RemondNBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RinaudoGCMP11, author = {Salvatore Rinaudo and Giuliana Gangemi and Andrea Calimera and Alberto Macii and Massimo Poncino}, title = {Moving to Green {ICT:} From stand-alone power-aware {IC} design to an integrated approach to energy efficient design for heterogeneous electronic systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1127--1128}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763188}, doi = {10.1109/DATE.2011.5763188}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RinaudoGCMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RossiTSM11, author = {Daniele Rossi and N. Timoncini and M. Spica and Cecilia Metra}, title = {Error correcting code analysis for cache memory high reliability and performance}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1620--1625}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763257}, doi = {10.1109/DATE.2011.5763257}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RossiTSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoyBC11, author = {Sudip Roy and Bhargab B. Bhattacharya and Krishnendu Chakrabarty}, title = {Waste-aware dilution and mixing of biochemical samples with digital microfluidic biochips}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1059--1064}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763174}, doi = {10.1109/DATE.2011.5763174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RoyBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoyRM11, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Theoretical modeling of the Itoh-Tsujii Inversion algorithm for enhanced performance on k-LUT based FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1231--1236}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763197}, doi = {10.1109/DATE.2011.5763197}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RoyRM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabatelliSFR11, author = {Simone Sabatelli and Francesco Sechi and Luca Fanucci and Alessandro Rocchi}, title = {A sensor fusion algorithm for an integrated angular position estimation with inertial measurement units}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {273--276}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763273}, doi = {10.1109/DATE.2011.5763273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabatelliSFR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabrySATLSBTBM11, author = {Mohamed M. Sabry and Arvind Sridhar and David Atienza and Yuksel Temiz and Yusuf Leblebici and S. Szczukiewicz and Navid Borhani and John Richard Thome and Thomas Brunschwiler and Bruno Michel}, title = {Towards thermally-aware design of 3D MPSoCs with inter-tier cooling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1466--1471}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763237}, doi = {10.1109/DATE.2011.5763237}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabrySATLSBTBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SafarESS11, author = {Mona Safar and M. Watheq El{-}Kharashi and Mohamed Shalan and Ashraf Salem}, title = {A reconfigurable, pipelined, conflict directed jumping search {SAT} solver}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1243--1248}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763199}, doi = {10.1109/DATE.2011.5763199}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SafarESS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchenkelaarsVG11, author = {Thijs Schenkelaars and Bart Vermeulen and Kees Goossens}, title = {Optimal scheduling of switched FlexRay networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {926--931}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763150}, doi = {10.1109/DATE.2011.5763150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchenkelaarsVG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShafiqueBAH11, author = {Muhammad Shafique and Lars Bauer and Waheed Ahmed and J{\"{o}}rg Henkel}, title = {Minority-Game-based resource allocation for run-time reconfigurable multi-core processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1261--1266}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763202}, doi = {10.1109/DATE.2011.5763202}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShafiqueBAH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShahRK11, author = {Hardik Shah and Andreas Raabe and Alois C. Knoll}, title = {Priority division: {A} high-speed shared-memory bus arbitration with bounded latency}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1497--1500}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763319}, doi = {10.1109/DATE.2011.5763319}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShahRK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShanbhagS11, author = {Naresh R. Shanbhag and Andrew C. Singer}, title = {System-assisted analog mixed-signal design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1491--1496}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763242}, doi = {10.1109/DATE.2011.5763242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShanbhagS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinDLWJ11, author = {Jeonghee Shin and John A. Darringer and Guojie Luo and Alan J. Weger and Charles L. Johnson}, title = {Early chip planning cockpit}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {863--866}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763292}, doi = {10.1109/DATE.2011.5763292}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShinDLWJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinG11, author = {Doochul Shin and Sandeep K. Gupta}, title = {A new circuit simplification method for error tolerant applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1566--1571}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763248}, doi = {10.1109/DATE.2011.5763248}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShinG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinKSCWP11, author = {Donghwa Shin and Younghyun Kim and Jaeam Seo and Naehyuck Chang and Yanzhi Wang and Massoud Pedram}, title = {Battery-supercapacitor hybrid system for high-rate pulsed load applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {875--878}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763295}, doi = {10.1109/DATE.2011.5763295}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShinKSCWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SieglHGB11, author = {Sebastian Siegl and Kai{-}Steffen Hielscher and Reinhard German and Christian Berger}, title = {Formal specification and systematic model-driven testing of embedded automotive systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {118--123}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763028}, doi = {10.1109/DATE.2011.5763028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SieglHGB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sifakis11, author = {Joseph Sifakis}, title = {Methods and tools for component-based system design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1022}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763166}, doi = {10.1109/DATE.2011.5763166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Sifakis11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinghSG11, author = {Mohit Singh and Mahendra Sakare and Shalabh Gupta}, title = {Testing of high-speed DACs using {PRBS} generation with "Alternate-Bit-Tapping"}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {377--382}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763066}, doi = {10.1109/DATE.2011.5763066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinghSG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinhaP11, author = {Rohit Sinha and Hiren D. Patel}, title = {Abstract state machines as an intermediate representation for high-level synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1406--1411}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763227}, doi = {10.1109/DATE.2011.5763227}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinhaP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoekenWD11, author = {Mathias Soeken and Robert Wille and Rolf Drechsler}, title = {Verifying dynamic aspects of {UML} models}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1077--1082}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763177}, doi = {10.1109/DATE.2011.5763177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SoekenWD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SommerKHSS11, author = {Ralf Sommer and Dominik Krausse and Eckhard Hennig and Eric Schaefer and C. Sporrer}, title = {A new method for automated generation of compensation networks - The {EDA} Designer Finger}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1666--1672}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763265}, doi = {10.1109/DATE.2011.5763265}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SommerKHSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SreedharK11, author = {Aswin Sreedhar and Sandip Kundu}, title = {On design of test structures for lithographic process corner identification}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {800--805}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763136}, doi = {10.1109/DATE.2011.5763136}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SreedharK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SreedharK11a, author = {Aswin Sreedhar and Sandip Kundu}, title = {Physically unclonable functions for embeded security based on lithographic variation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1632--1637}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763259}, doi = {10.1109/DATE.2011.5763259}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SreedharK11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StattelmannBR11, author = {Stefan Stattelmann and Oliver Bringmann and Wolfgang Rosenstiel}, title = {Fast and accurate resource conflict simulation for performance analysis of multi-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {210--215}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763044}, doi = {10.1109/DATE.2011.5763044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StattelmannBR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SterponeCMWF11, author = {Luca Sterpone and Luigi Carro and Debora Matos and Stephan Wong and F. Fakhar}, title = {A new reconfigurable clock-gating technique for low power SRAM-based FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {752--757}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763128}, doi = {10.1109/DATE.2011.5763128}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SterponeCMWF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StranoGLFGB11, author = {Alessandro Strano and Crisp{\'{\i}}n G{\'{o}}mez Requena and Daniele Ludovici and Michele Favalli and Mar{\'{\i}}a Engracia G{\'{o}}mez and Davide Bertozzi}, title = {Exploiting Network-on-Chip structural redundancy for a cooperative and scalable built-in self-test architecture}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {661--666}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763109}, doi = {10.1109/DATE.2011.5763109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StranoGLFGB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Struzyna11, author = {Markus Struzyna}, title = {Flow-based partitioning and position constraints in {VLSI} placement}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {607--612}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763100}, doi = {10.1109/DATE.2011.5763100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Struzyna11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunYW11, author = {Zhenxin Sun and Chi{-}Tsai Yeh and Weng{-}Fai Wong}, title = {A {UML} 2-based hardware-software co-design framework for body sensor network applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1505--1508}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763321}, doi = {10.1109/DATE.2011.5763321}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SunYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TendulkarPNKNK11, author = {Pranav Tendulkar and Vassilis Papaefstathiou and George Nikiforos and Stamatis G. Kavadias and Dimitrios S. Nikolopoulos and Manolis Katevenis}, title = {Fine-grain OpenMP runtime support with explicit communication hardware primitives}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {891--894}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763299}, doi = {10.1109/DATE.2011.5763299}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TendulkarPNKNK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThapliyalR11, author = {Himanshu Thapliyal and N. Ranganathan}, title = {A new reversible design of {BCD} adder}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1180--1183}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763308}, doi = {10.1109/DATE.2011.5763308}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ThapliyalR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TinoK11, author = {Anita Tino and Gul N. Khan}, title = {Multi-objective Tabu Search based topology generation technique for application-specific Network-on-Chip architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {485--490}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763084}, doi = {10.1109/DATE.2011.5763084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TinoK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TraulsenAH11, author = {Claus Traulsen and T. Amende and Reinhard von Hanxleden}, title = {Compiling SyncCharts to Synchronous {C}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {563--566}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763284}, doi = {10.1109/DATE.2011.5763284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TraulsenAH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TsaiLCKCK11, author = {Tsung{-}Ying Tsai and Ren{-}Jie Lee and Ching{-}Yu Chin and Chung{-}Yi Kuan and Hung{-}Ming Chen and Yoji Kajitani}, title = {On routing fixed escaped boundary pins for high speed boards}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {461--466}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763080}, doi = {10.1109/DATE.2011.5763080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TsaiLCKCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TsengHWFC11, author = {Chun{-}Kai Tseng and Shi{-}Yu Huang and Chia{-}Chien Weng and Shan{-}Chien Fang and Ji{-}Jan Chen}, title = {Black-box leakage power modeling for cell library and {SRAM} compiler}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {637--642}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763105}, doi = {10.1109/DATE.2011.5763105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TsengHWFC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TsukiyamaF11, author = {Shuji Tsukiyama and Masahiro Fukui}, title = {An algorithm to improve accuracy of criticality in statistical static timing analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1529--1532}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763327}, doi = {10.1109/DATE.2011.5763327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TsukiyamaF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VasicekS11, author = {Zdenek Vas{\'{\i}}cek and Luk{\'{a}}s Sekanina}, title = {A global postsynthesis optimization method for combinational circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1525--1528}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763326}, doi = {10.1109/DATE.2011.5763326}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VasicekS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VatajeluF11, author = {Elena I. Vatajelu and Joan Figueras}, title = {Robustness analysis of 6T SRAMs in memory retention mode under {PVT} variations}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {980--985}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763159}, doi = {10.1109/DATE.2011.5763159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VatajeluF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VidalLGDG11, author = {Jorgiano Vidal and Florent de Lamotte and Guy Gogniat and Jean{-}Philippe Diguet and S{\'{e}}bastien Guillet}, title = {Dynamic applications on reconfigurable systems: From {UML} model design to FPGAs implementation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1208--1211}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763315}, doi = {10.1109/DATE.2011.5763315}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VidalLGDG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VissersNN11, author = {Kees A. Vissers and Stephen Neuendorffer and Juanjo Noguera}, title = {Building real-time {HDTV} applications in FPGAs using processors, {AXI} interfaces and high level synthesis tools}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {848--850}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763144}, doi = {10.1109/DATE.2011.5763144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VissersNN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WagnerL11, author = {Ilya Wagner and Shih{-}Lien Lu}, title = {Distributed hardware matcher framework for SoC survivability}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {305--310}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763054}, doi = {10.1109/DATE.2011.5763054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WagnerL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Wang11, author = {Guibin Wang}, title = {Coordinate strip-mining and kernel fusion to lower power consumption on {GPU}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1218--1219}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763317}, doi = {10.1109/DATE.2011.5763317}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Wang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangCC11, author = {Ping{-}Ying Wang and Hsiu{-}Ming Chang and Kwang{-}Ting Cheng}, title = {An all-digital built-in self-test technique for transfer function characterization of {RF} PLLs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {359--364}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763063}, doi = {10.1109/DATE.2011.5763063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangKAWMA11, author = {Leran Wang and Tom J. Kazmierski and Bashir M. Al{-}Hashimi and Alex S. Weddell and Geoff V. Merrett and Ivo N. Ayala{-}Garcia}, title = {Accelerated simulation of tunable vibration energy harvesting systems using a linearised state-space technique}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1267--1272}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763203}, doi = {10.1109/DATE.2011.5763203}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangKAWMA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLH11, author = {Zhonglei Wang and Kun Lu and Andreas Herkersdorf}, title = {An approach to improve accuracy of source-level TLMs of embedded software}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {216--221}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763045}, doi = {10.1109/DATE.2011.5763045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLQS11, author = {Yi Wang and Duo Liu and Zhiwei Qin and Zili Shao}, title = {An endurance-enhanced Flash Translation Layer via reuse for {NAND} flash memory storage systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {14--19}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763009}, doi = {10.1109/DATE.2011.5763009}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangLQS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangNKWRLMB11, author = {Xinmu Wang and Seetharam Narasimhan and Aswin Raghav Krishna and Francis G. Wolff and Srihari Rajgopal and Te{-}Hao Lee and Mehran Mehregany and Swarup Bhunia}, title = {High-temperature ({\textgreater}500{\textdegree}C) reconfigurable computing using silicon carbide {NEMS} switches}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1065--1070}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763175}, doi = {10.1109/DATE.2011.5763175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangNKWRLMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangWT11, author = {Peng{-}Chih Wang and Meng{-}Huan Wu and Ren{-}Song Tsay}, title = {{DOM:} {A} Data-dependency-Oriented Modeling approach for efficient simulation of {OS} preemptive scheduling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {335--340}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763059}, doi = {10.1109/DATE.2011.5763059}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangWT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangZHLL11, author = {Ying Wang and Lei Zhang and Yinhe Han and Huawei Li and Xiaowei Li}, title = {Flex memory: Exploiting and managing abundant off-chip optical bandwidth}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {968--973}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763157}, doi = {10.1109/DATE.2011.5763157}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangZHLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WannerBZAGS11, author = {Lucas Francisco Wanner and Rahul Balani and Sadaf Zahedi and Charwak Apte and Puneet Gupta and Mani B. Srivastava}, title = {Variability-aware duty cycle scheduling in long running embedded sensing systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {131--136}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763031}, doi = {10.1109/DATE.2011.5763031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WannerBZAGS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeddellMA11, author = {Alex S. Weddell and Geoff V. Merrett and Bashir M. Al{-}Hashimi}, title = {Ultra low-power photovoltaic {MPPT} technique for indoor and outdoor wireless sensor nodes}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {905--908}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763302}, doi = {10.1109/DATE.2011.5763302}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WeddellMA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeisWLB11, author = {Christian Weis and Norbert Wehn and Igor Loi and Luca Benini}, title = {Design space exploration for 3D-stacked DRAMs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {389--394}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763068}, doi = {10.1109/DATE.2011.5763068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WeisWLB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WelpK11, author = {Tobias Welp and Andreas Kuehlmann}, title = {An approach for dynamic selection of synthesis transformations based on Markov Decision Processes}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1533--1536}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763328}, doi = {10.1109/DATE.2011.5763328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WelpK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WilleKD11, author = {Robert Wille and Oliver Kesz{\"{o}}cze and Rolf Drechsler}, title = {Determining the minimal number of lines for large reversible circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1204--1207}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763314}, doi = {10.1109/DATE.2011.5763314}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WilleKD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WinterF11, author = {Markus Winter and Gerhard P. Fettweis}, title = {Guaranteed service virtual channel allocation in NoCs for run-time task scheduling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {419--424}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763073}, doi = {10.1109/DATE.2011.5763073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WinterF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Winterholer11, author = {Markus Winterholer}, title = {Embedded software debug and test: Needs and requirements for innovations in debugging}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {721}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763122/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Winterholer11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WohSDKSBM11, author = {Mark Woh and Sudhir Satpathy and Ronald G. Dreslinski and Danny Kershaw and Dennis Sylvester and David T. Blaauw and Trevor N. Mudge}, title = {Low power interconnects for {SIMD} computers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {600--605}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763098}, doi = {10.1109/DATE.2011.5763098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WohSDKSBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WolfG11, author = {Pieter van der Wolf and Jeroen Geuzebroek}, title = {SoC infrastructures for predictable system integration}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {857--862}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763146}, doi = {10.1109/DATE.2011.5763146}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WolfG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Wright11, author = {P. K. Wright}, title = {Panel: What does the power industry need from the {EDA} industry and what is the {EDA} industry doing about it?}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1541}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5763243/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Wright11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuDL11, author = {Tai{-}Hsuan Wu and Azadeh Davoodi and Jeff T. Linderoth}, title = {Power-driven global routing for multi-supply voltage domains}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {443--448}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763077}, doi = {10.1109/DATE.2011.5763077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WuDL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuM11, author = {Kai{-}Chiang Wu and Diana Marculescu}, title = {Aging-aware timing analysis and optimization considering path sensitization}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1572--1577}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763249}, doi = {10.1109/DATE.2011.5763249}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WuM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuWWZLXY11, author = {Tianji Wu and Di Wu and Yu Wang and Xiaorui Zhang and Hong Luo and Ningyi Xu and Huazhong Yang}, title = {Gemma in April: {A} matrix-like parallel programming architecture on OpenCL}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {703--708}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763119}, doi = {10.1109/DATE.2011.5763119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WuWWZLXY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuDJX11, author = {Cong Xu and Xiangyu Dong and Norman P. Jouppi and Yuan Xie}, title = {Design implications of memristor-based {RRAM} cross-point structures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {734--739}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763125}, doi = {10.1109/DATE.2011.5763125}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuDJX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuPM11, author = {Hu Xu and Vasilis F. Pavlidis and Giovanni De Micheli}, title = {Analytical heat transfer model for thermal through-silicon vias}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {395--400}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763069}, doi = {10.1109/DATE.2011.5763069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuPM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XueJZZ11, author = {Licheng Xue and Weixing Ji and Qi Zuo and Yang Zhang}, title = {Floorplanning exploration and performance evaluation of a new Network-on-Chip}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {625--630}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763103}, doi = {10.1109/DATE.2011.5763103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XueJZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Yakovlev11, author = {Alex Yakovlev}, title = {Energy-modulated computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1340--1345}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763216}, doi = {10.1109/DATE.2011.5763216}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Yakovlev11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YanC11, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen}, title = {Obstacle-aware multiple-source rectilinear Steiner tree with electromigration and IR-drop avoidance}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {449--454}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763078}, doi = {10.1109/DATE.2011.5763078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YanC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangM11, author = {Xuebei Yang and Kartik Mohanram}, title = {Robust 6T Si tunneling transistor {SRAM} design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {740--745}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763126}, doi = {10.1109/DATE.2011.5763126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangO11, author = {Chengmo Yang and Alex Orailoglu}, title = {Frugal but flexible multicore topologies in support of resource variation-driven adaptivity}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1255--1260}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763201}, doi = {10.1109/DATE.2011.5763201}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangSSL11, author = {Bo Yang and Amit Sanghani and Shantanu Sarangi and Chunsheng Liu}, title = {A clock-gating based capture power droop reduction methodology for at-speed scan testing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {197--203}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763042}, doi = {10.1109/DATE.2011.5763042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangSSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YeHL11, author = {Jing Ye and Yu Hu and Xiaowei Li}, title = {On diagnosis of multiple faults using compacted responses}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {679--684}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763115}, doi = {10.1109/DATE.2011.5763115}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YeHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YehHWL11, author = {Yu{-}Fu Yeh and Chung{-}Yang Huang and Chi{-}An Wu and Hsin{-}Cheng Lin}, title = {Speeding Up MPSoC virtual platform simulation by Ultra Synchronization Checking Method}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {353--358}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763062}, doi = {10.1109/DATE.2011.5763062}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YehHWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YipYLD11, author = {Tsunwai Gary Yip and Philip Yeung and Ming Li and Deborah Dressler}, title = {Challenges in designing high speed memory subsystem for mobile applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {509--510}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763090}, doi = {10.1109/DATE.2011.5763090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YipYLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YoonLJPKPC11, author = {Jonghee W. Yoon and Jongeun Lee and Jaewan Jung and Sanghyun Park and Yongjoo Kim and Yunheung Paek and Doosan Cho}, title = {I\({}^{\mbox{2}}\)CRF: Incremental interconnect customization for embedded reconfigurable fabrics}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1346--1351}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763217}, doi = {10.1109/DATE.2011.5763217}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YoonLJPKPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuH11, author = {Chien{-}Chih Yu and John P. Hayes}, title = {Trigonometric method to handle realistic error probabilities in logic circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {64--69}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763019}, doi = {10.1109/DATE.2011.5763019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YuH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZadeganICL11, author = {Farrokh Ghani Zadegan and Urban Ingelsson and Gunnar Carlsson and Erik Larsson}, title = {Design automation for {IEEE} {P1687}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1412--1417}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763228}, doi = {10.1109/DATE.2011.5763228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZadeganICL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZaidiGH11, author = {Yaseen Zaidi and Christoph Grimm and Jan Haase}, title = {Simulation based tuning of system specification}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1273--1278}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763204}, doi = {10.1109/DATE.2011.5763204}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZaidiGH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZattSBH11, author = {Bruno Zatt and Muhammad Shafique and Sergio Bampi and J{\"{o}}rg Henkel}, title = {Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1448--1453}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763234}, doi = {10.1109/DATE.2011.5763234}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZattSBH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangHCW11, author = {Zheng Zhang and Xiang Hu and Chung{-}Kuan Cheng and Ngai Wong}, title = {A block-diagonal structured model reduction scheme for power grid networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {44--49}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763014}, doi = {10.1109/DATE.2011.5763014}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangHCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangHYG11, author = {Wei Zhang and Jiale Huang and Shengqi Yang and Pallav Gupta}, title = {Case study: Alleviating hotspots and improving chip reliability via carbon nanotube thermal interface}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1071--1076}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763176}, doi = {10.1109/DATE.2011.5763176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangHYG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangT11, author = {Xuehui Zhang and Mohammad Tehranipoor}, title = {{RON:} An on-chip ring oscillator network for hardware Trojan detection}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1638--1643}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763260}, doi = {10.1109/DATE.2011.5763260}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoDX11, author = {Jishen Zhao and Xiangyu Dong and Yuan Xie}, title = {An energy-efficient 3D {CMP} design with fine-grained voltage scaling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {539--542}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763278}, doi = {10.1109/DATE.2011.5763278}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhaoDX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoK11, author = {Chenxu Zhao and Tom J. Kazmierski}, title = {An extension to SystemC-A to support mixed-technology systems with distributed components}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1278--1284}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763205}, doi = {10.1109/DATE.2011.5763205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhaoK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhiLZYZZ11, author = {Yanling Zhi and Wai{-}Shing Luk and Hai Zhou and Changhao Yan and Hengliang Zhu and Xuan Zeng}, title = {An efficient algorithm for multi-domain clock skew scheduling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1364--1369}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763220}, doi = {10.1109/DATE.2011.5763220}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhiLZYZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZiermannTS11, author = {Tobias Ziermann and J{\"{u}}rgen Teich and Zoran Salcic}, title = {DynOAA - Dynamic offset adaptation algorithm for improving response times of {CAN} systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {269--272}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763272}, doi = {10.1109/DATE.2011.5763272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZiermannTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZukoskiCM11, author = {Andrew Zukoski and Mihir R. Choudhury and Kartik Mohanram}, title = {Reliability-driven don't care assignment for logic synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1560--1565}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763247}, doi = {10.1109/DATE.2011.5763247}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZukoskiCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2011, title = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5754459/proceeding}, isbn = {978-1-61284-208-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.