Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2001.bht:"
@inproceedings{DBLP:conf/date/AcquavivaBR01, author = {Andrea Acquaviva and Luca Benini and Bruno Ricc{\`{o}}}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {An adaptive algorithm for low-power streaming multimedia processing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {273--279}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915037}, doi = {10.1109/DATE.2001.915037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AcquavivaBR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkgulM01, author = {Bilge Saglam Akgul and Vincent John Mooney III}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {System-on-a-chip processor synchronization support in hardware}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {633--641}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915090}, doi = {10.1109/DATE.2001.915090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkgulM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Al-ArsG01, author = {Zaid Al{-}Ars and Ad J. van de Goor}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Static and dynamic behavior of memory cell array opens and shorts in embedded DRAMs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {496--503}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915069}, doi = {10.1109/DATE.2001.915069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Al-ArsG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Axelsson01, author = {Jakob Axelsson}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Methods and tools for systems engineering of automotive electronic architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {112}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367109}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Axelsson01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzaisBBR01, author = {Florence Aza{\"{\i}}s and Serge Bernard and Yves Bertrand and Michel Renovell}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Implementation of a linear histogram {BIST} for ADCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {590--595}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915083}, doi = {10.1109/DATE.2001.915083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AzaisBBR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BadarogluHGDMGEB01, author = {Mustafa Badaroglu and Marc van Heijningen and Vincent Gravot and St{\'{e}}phane Donnay and Hugo De Man and Georges G. E. Gielen and Marc Engels and Ivo Bolsens}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {High-level simulation of substrate noise generation from large digital circuits with multiple supplies}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {326--330}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915044}, doi = {10.1109/DATE.2001.915044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BadarogluHGDMGEB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaghdadiLZJ01, author = {Amer Baghdadi and Damien Lyonnard and Nacer{-}Eddine Zergainoh and Ahmed Amine Jerraya}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {An efficient architecture model for systematic design of application-specific multiprocessor SoC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {55--63}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915001}, doi = {10.1109/DATE.2001.915001}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaghdadiLZJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BayraktarogluO01, author = {Ismet Bayraktaroglu and Alex Orailoglu}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Diagnosis for scan-based {BIST:} reaching deep into the signatures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {102--111}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915008}, doi = {10.1109/DATE.2001.915008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BayraktarogluO01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Bazargan-SabetI01, author = {Pirouz Bazargan{-}Sabet and Fabrice Ilponse}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Modeling crosstalk noise for deep submicron verification tools}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {530--534}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915074}, doi = {10.1109/DATE.2001.915074}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Bazargan-SabetI01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeattieP01, author = {Michael W. Beattie and Lawrence T. Pileggi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient inductance extraction via windowing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {430--436}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915059}, doi = {10.1109/DATE.2001.915059}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeattieP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BekooijEWB01, author = {Marco Bekooij and Loek J. M. Engels and Albert van der Werf and Natalino G. Bus{\'{a}}}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Functional units with conditional input/output behavior in {VLIW} processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {822}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915171}, doi = {10.1109/DATE.2001.915171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BekooijEWB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BenabdenbiMM01, author = {Mounir Benabdenbi and Walid Maroufi and Meryem Marzouki}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Testing TAPed cores and wrapped cores with the same test access mechanism}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {150--155}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915016}, doi = {10.1109/DATE.2001.915016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BenabdenbiMM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeniniCMMPS01, author = {Luca Benini and Giuliano Castelli and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Extending lifetime of portable systems by battery scheduling}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {197--203}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915024}, doi = {10.1109/DATE.2001.915024}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeniniCMMPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BensoCNP01, author = {Alfredo Benso and Stefano Di Carlo and Giorgio Di Natale and Paolo Prinetto}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{SEU} effect analysis in an open-source router via a distributed fault injection environment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {219--225}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915028}, doi = {10.1109/DATE.2001.915028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BensoCNP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BertoniBF01, author = {Guido Bertoni and Luca Breveglieri and Pasqualina Fragneto}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient finite field digital-serial multiplier architecture for cryptography applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {812}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915150}, doi = {10.1109/DATE.2001.915150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BertoniBF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Burdiek01, author = {Bernhard Burdiek}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Generation of optimum test stimuli for nonlinear analog circuits using nonlinear - programming and time-domain sensitivities}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {603--609}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915085}, doi = {10.1109/DATE.2001.915085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Burdiek01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CabodiCQ01, author = {Gianpiero Cabodi and Paolo Camurati and Stefano Quer}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Biasing symbolic search by means of dynamic activity profiles}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {9--15}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914993}, doi = {10.1109/DATE.2001.914993}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CabodiCQ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CappuccinoC01, author = {Gregorio Cappuccino and Giuseppe Cocorullo}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{CMOS} sizing rule for high performance long interconnects}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {817}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915165}, doi = {10.1109/DATE.2001.915165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CappuccinoC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CasavantGLMWA01, author = {Albert E. Casavant and Aarti Gupta and S. Liu and Akira Mukaiyama and Kazutoshi Wakabayashi and Pranav Ashar}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Property-specific witness graph generation for guided simulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {799}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915124}, doi = {10.1109/DATE.2001.915124}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CasavantGLMWA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Castro-LopezFDR01, author = {Rafael Castro{-}L{\'{o}}pez and Francisco V. Fern{\'{a}}ndez and Manuel Delgado{-}Restituto and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Retargeting of mixed-signal blocks for SoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {772--775}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915118}, doi = {10.1109/DATE.2001.915118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Castro-LopezFDR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChandraC01, author = {Anshuman Chandra and Krishnendu Chakrabarty}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient test data compression and decompression for system-on-a-chip using internal scan chains and Golomb coding}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {145--149}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915015}, doi = {10.1109/DATE.2001.915015}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChandraC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangHM01, author = {Chih{-}Wei Jim Chang and Bo Hu and Malgorzata Marek{-}Sadowska}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {In-place delay constrained power optimization using functional symmetries}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915052}, doi = {10.1109/DATE.2001.915052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChangHM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CharestRAB01, author = {Luc Charest and Michel Reid and El Mostapha Aboulhamid and Guy Bois}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A methodology for interfacing open source systemC with a third party software}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {16}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914994}, doi = {10.1109/DATE.2001.914994}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CharestRAB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Chen01, author = {Tom Chen}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {On the impact of on-chip inductance on signal nets under the influence of power grid noise}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {451--459}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915062}, doi = {10.1109/DATE.2001.915062}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Chen01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CherubalC01, author = {Sasikumar Cherubal and Abhijit Chatterjee}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Test generation based diagnosis of device parameters for analog circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {596--602}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915084}, doi = {10.1109/DATE.2001.915084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CherubalC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CheungWC01, author = {Chak{-}Chung Cheung and Yu{-}Liang Wu and David Ihsin Cheng}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Further improve circuit partitioning using {GBAW} logic perturbation techniques}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {233--239}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915031}, doi = {10.1109/DATE.2001.915031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CheungWC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CheynetNVRRV01, author = {Ph. Cheynet and Bogdan Nicolescu and Raoul Velazco and Maurizio Rebaudengo and Matteo Sonza Reorda and Massimo Violante}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {System safety through automatic high-level code transformations: an experimental evaluation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {297--301}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915040}, doi = {10.1109/DATE.2001.915040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CheynetNVRRV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChiusanoCPW01, author = {Silvia Chiusano and Stefano Di Carlo and Paolo Prinetto and Hans{-}Joachim Wunderlich}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {On applying the set covering model to reseeding}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {156--161}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915017}, doi = {10.1109/DATE.2001.915017}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChiusanoCPW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CichonB01, author = {Gordon Cichon and Winthir Brunnbauer}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Annotated data types for addressed token passing networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {801}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915127}, doi = {10.1109/DATE.2001.915127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CichonB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ConstantinidesCL01, author = {George A. Constantinides and Peter Y. K. Cheung and Wayne Luk}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Heuristic datapath allocation for multiple wordlength systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {791--797}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915122}, doi = {10.1109/DATE.2001.915122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ConstantinidesCL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CornoRSV01, author = {Fulvio Corno and Matteo Sonza Reorda and Giovanni Squillero and Massimo Violante}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {On the test of microprocessor {IP} cores}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {209--213}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915026}, doi = {10.1109/DATE.2001.915026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CornoRSV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasguptaCNKC01, author = {Pallab Dasgupta and P. P. Chakrabarti and Amit Nandi and Sekar Krishna and Arindam Chakrabarti}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Abstraction of word-level linear arithmetic functions from bit-level component descriptions}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {4--8}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914992}, doi = {10.1109/DATE.2001.914992}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DasguptaCNKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DemmelerG01, author = {Thilo Demmeler and Paolo Giusto}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A universal communication model for an automotive system integration platform}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {47--54}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915000}, doi = {10.1109/DATE.2001.915000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DemmelerG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DessoukyKLG01, author = {Mohamed Dessouky and Andreas Kaiser and Marie{-}Minerve Lou{\"{e}}rat and Alain Greiner}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Analog design for reuse - case study: very low-voltage sigma-delta modulator}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {353--360}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915049}, doi = {10.1109/DATE.2001.915049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DessoukyKLG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DielissenMBHSHW01, author = {John Dielissen and Jef L. van Meerbergen and Marco Bekooij and Fran{\c{c}}oise Harmsze and Sergej Sawitzki and Jos Huisken and Albert van der Werf}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Power-efficient layered turbo decoder processor}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {246--251}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915033}, doi = {10.1109/DATE.2001.915033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DielissenMBHSHW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Doboli01, author = {Alex Doboli}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Integrated hardware-software co-synthesis for design of embedded systems under power and latency constraints}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {612--619}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915087}, doi = {10.1109/DATE.2001.915087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Doboli01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoboliV01, author = {Alex Doboli and Ranga Vemuri}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A regularity-based hierarchical symbolic analysis method for large-scale analog networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {806}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915132}, doi = {10.1109/DATE.2001.915132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DoboliV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DorschW01, author = {Rainer Dorsch and Hans{-}Joachim Wunderlich}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Using mission logic for embedded testing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {805}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915131}, doi = {10.1109/DATE.2001.915131}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DorschW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DrozdL01, author = {Alexander V. Drozd and M. V. Lobachev}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient on-line testing method for a floating-point adder}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {307--313}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915042}, doi = {10.1109/DATE.2001.915042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DrozdL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EconomakosOPPP01, author = {George Economakos and Petros Oikonomakos and Ioannis Panagopoulos and Ioannis Poulakis and George K. Papakonstantinou}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Behavioral synthesis with systemC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {21--25}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914995}, doi = {10.1109/DATE.2001.914995}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EconomakosOPPP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EspejoEMO01, author = {Jos{\'{e}} Alberto Espejo and Luis Entrena and Enrique San Mill{\'{a}}n and Emilio Ol{\'{\i}}as}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Generalized reasoning scheme for redundancy addition and removal logic optimization}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {391--397}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915054}, doi = {10.1109/DATE.2001.915054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EspejoEMO01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FavalliM01, author = {Michele Favalli and Cecilia Metra}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Optimization of error detecting codes for the detection of crosstalk originated errors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {290--296}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915039}, doi = {10.1109/DATE.2001.915039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FavalliM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FeketeKT01, author = {S{\'{a}}ndor P. Fekete and Ekkehard K{\"{o}}hler and J{\"{u}}rgen Teich}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Optimal {FPGA} module placement with temporal precedence constraints}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {658--667}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915093}, doi = {10.1109/DATE.2001.915093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FeketeKT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrandiFSFF01, author = {Fabrizio Ferrandi and G. Ferrara and Donatella Sciuto and Alessandro Fin and Franco Fummi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Functional test generation for behaviorally sequential models}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {403--410}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915056}, doi = {10.1109/DATE.2001.915056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerrandiFSFF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Fiori01, author = {Franco Fiori}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Susceptibility of analog cells to substrate interference}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {814}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915153}, doi = {10.1109/DATE.2001.915153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Fiori01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FioriM01, author = {Franco Fiori and Francesco Musolino}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Analysis of {EME} produced by a microcontroller operation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {341--347}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915047}, doi = {10.1109/DATE.2001.915047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FioriM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GajskiVRGBPECJ01, author = {Daniel Gajski and Eugenio Villar and Wolfgang Rosenstiel and Vassilios Gerousis and D. Barton and Jonas Plantin and S. E. Ericsson and Patrizia Cavalloro and Gjalt G. de Jong}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{C/C++:} progress or deadlock in system-level specification}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {136--137}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367120}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GajskiVRGBPECJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaoW01, author = {Youxin Gao and D. F. Wong}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A graph based algorithm for optimal buffer insertion under accurate delay models}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {535--539}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915075}, doi = {10.1109/DATE.2001.915075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GaoW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GarnicaLH01, author = {Oscar Garnica and Juan Lanchares and Rom{\'{a}}n Hermida}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A pseudo delay-insensitive timing model to synthesizing low-power asynchronous circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {810}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915146}, doi = {10.1109/DATE.2001.915146}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GarnicaLH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GauthierYJ01, author = {Lovic Gauthier and Sungjoo Yoo and Ahmed Amine Jerraya}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Automatic generation and targeting of application specific operating systems and embedded systems software}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {679--685}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915098}, doi = {10.1109/DATE.2001.915098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GauthierYJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GerfersM01, author = {Friedel Gerfers and Yiannos Manoli}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A design strategy for low-voltage low-power continuous-time sigma-delta {A/D} converters}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {361--369}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915050}, doi = {10.1109/DATE.2001.915050}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GerfersM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GianiSHA01, author = {Ashish Giani and Shuo Sheng and Michael S. Hsiao and Vishwani D. Agrawal}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient spectral techniques for sequential {ATPG}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {204--208}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915025}, doi = {10.1109/DATE.2001.915025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GianiSHA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GielenSCMR01, author = {Georges G. E. Gielen}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Design challenges and emerging {EDA} solutions in mixed-signal {IC} design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {694--695}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367850}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GielenSCMR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GiustoMH01, author = {Paolo Giusto and Grant Martin and Edwin A. Harcourt}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Reliable estimation of execution time of embedded software}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {580--589}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915082}, doi = {10.1109/DATE.2001.915082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GiustoMH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoldbergPB01, author = {Evguenii I. Goldberg and Mukul R. Prasad and Robert K. Brayton}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Using {SAT} for combinational equivalence checking}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {114--121}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915010}, doi = {10.1109/DATE.2001.915010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GoldbergPB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrunDN01, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Access pattern based local memory customization for low power embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {778--784}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915120}, doi = {10.1109/DATE.2001.915120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrunDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HajjarCMAB01, author = {Amjad Hajjar and Tom Chen and Isabelle Munn and Anneliese Amschler Andrews and Maria Bjorkman}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {High quality behavioral verification using statistical stopping criteria}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {411--419}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915057}, doi = {10.1109/DATE.2001.915057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HajjarCMAB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Hartenstein01, author = {Reiner W. Hartenstein}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A decade of reconfigurable computing: a visionary retrospective}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {642--649}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915091}, doi = {10.1109/DATE.2001.915091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Hartenstein01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HashizumeIYT01, author = {Masaki Hashizume and Masahiro Ichimiya and Hiroyuki Yotsuyanagi and Takeomi Tamesada}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{CMOS} open defect detection by supply current test}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {509}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915071}, doi = {10.1109/DATE.2001.915071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HashizumeIYT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeringLM01, author = {Klaus Hering and Jork L{\"{o}}ser and Jens Markwardt}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {dibSIM: a parallel functional logic simulator allowing dynamic load balancing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {472--478}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915066}, doi = {10.1109/DATE.2001.915066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeringLM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HettichT01, author = {G. Hettich and Thomas Thurner}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Vehicle electric/electronic architecture - one of the most important challenges for OEM's}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {112--113}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915009}, doi = {10.1109/DATE.2001.915009}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HettichT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HoffmanKM01, author = {Andreas Hoffmann and Tim Kogel and Heinrich Meyr}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A framework for fast hardware-software co-simulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {760--765}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915114}, doi = {10.1109/DATE.2001.915114}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HoffmanKM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HoffmannNPBM01, author = {Andreas Hoffmann and Achim Nohl and Stefan Pees and Gunnar Braun and Heinrich Meyr}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Generating production quality software development tools using a machine description language}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {674--678}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915097}, doi = {10.1109/DATE.2001.915097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HoffmannNPBM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsiehCP01, author = {Cheng{-}Ta Hsieh and Lung{-}sheng Chen and Massoud Pedram}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Microprocessor power analysis by labeled simulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915022}, doi = {10.1109/DATE.2001.915022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsiehCP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangM01, author = {Zhining Huang and Sharad Malik}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Managing dynamic reconfiguration overhead in systems-on-a-chip design using reconfigurable datapaths and optimized interconnection networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {735}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915110}, doi = {10.1109/DATE.2001.915110}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IrionKVW01, author = {Alexander Irion and Gundolf Kiefer and Harald P. E. Vranken and Hans{-}Joachim Wunderlich}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Circuit partitioning for efficient logic {BIST} synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {86--91}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915005}, doi = {10.1109/DATE.2001.915005}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IrionKVW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IyerM01, author = {Anoop Iyer and Diana Marculescu}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Power aware microarchitecture resource scaling}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {190--196}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915023}, doi = {10.1109/DATE.2001.915023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IyerM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JerrayaM01, author = {Ahmed Amine Jerraya and G{\'{e}}rard Matheron}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Electronic system design methodology: Europe's positioning}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {720--721}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367903}, timestamp = {Fri, 29 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JerrayaM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JingnanVH01, author = {Xu Jingnan and Jo{\~{a}}o C. Vital and Nuno Horta}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A Skill-based library for retargetable embedded analog cores}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {768--769}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915116}, doi = {10.1109/DATE.2001.915116}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JingnanVH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JozwiakC01, author = {Lech J{\'{o}}zwiak and Artur Chojnacki}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {High-quality sub-function construction in functional decomposition based on information relationship measures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {383--390}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915053}, doi = {10.1109/DATE.2001.915053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JozwiakC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JungYC01, author = {Jinyong Jung and Sungjoo Yoo and Kiyoung Choi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Performance improvement of multi-processor systems cosimulation based on {SW} analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {749--753}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915112}, doi = {10.1109/DATE.2001.915112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JungYC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KoranneG01, author = {Sandeep Koranne and Om Prakash Gangwal}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {On automatic analysis of geometrically proximate nets in {VSLI} layout}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {818}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915166}, doi = {10.1109/DATE.2001.915166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KoranneG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KralicekJG01, author = {P. Kralicek and Werner John and Heyno Garbe}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Modeling electromagnetic emission of integrated circuits for system analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {336--340}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915046}, doi = {10.1109/DATE.2001.915046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KralicekJG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KulkarniGMCM01, author = {Chidamber Kulkarni and C. Ghez and Miguel Miranda and Francky Catthoor and Hugo De Man}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Cache conscious data layout organization for embedded multimedia applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {686--693}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915099}, doi = {10.1109/DATE.2001.915099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KulkarniGMCM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KuterB01, author = {Joachim K{\"{u}}ter and Erich Barke}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Architecture driven partitioning}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {479--487}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915067}, doi = {10.1109/DATE.2001.915067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KuterB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaiW01, author = {Minghorng Lai and D. F. Wong}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Slicing tree is a complete floorplan representation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {228--232}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915030}, doi = {10.1109/DATE.2001.915030}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LaiW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LarssonP01, author = {Erik Larsson and Zebo Peng}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {An integrated system-on-chip test framework}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {138--144}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915014}, doi = {10.1109/DATE.2001.915014}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LarssonP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LechnerRH01, author = {Andreas Lechner and Andrew Richardson and B. Hermes}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Towards a better understanding of failure modes and test requirements of ADCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {803}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915129}, doi = {10.1109/DATE.2001.915129}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LechnerRH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiW01, author = {Jin{-}Fu Li and Cheng{-}Wen Wu}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Memory fault diagnosis by syndrome compression}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {97--101}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915007}, doi = {10.1109/DATE.2001.915007}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LienigJA01, author = {Jens Lienig and Goeran Jerke and Thorsten Adler}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {AnalogRouter: a new approach of current-driven routing for analog circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {819}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915167}, doi = {10.1109/DATE.2001.915167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LienigJA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuP01, author = {Xun Liu and Marios C. Papaefthymiou}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A static power estimation methodolodgy for IP-based design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {280--289}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915038}, doi = {10.1109/DATE.2001.915038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuWHL01, author = {Yi{-}Yu Liu and Kuo{-}Hua Wang and TingTing Hwang and C. L. Liu}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Binary decision diagram with minimum expected path length}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {708--712}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915105}, doi = {10.1109/DATE.2001.915105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuWHL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LockCM01, author = {A. Lock and Raul Camposano and Heinrich Meyr}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {The programmable platform: does one size fit all?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {226--227}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367192}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LockCM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MacchiaruloBM01, author = {Luca Macchiarulo and Luca Benini and Enrico Macii}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {On-the-fly layout generation for {PTL} macrocells}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {546--551}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915077}, doi = {10.1109/DATE.2001.915077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MacchiaruloBM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MadridPAR01, author = {Natividad Mart{\'{\i}}nez Madrid and Eduardo J. Peral{\'{\i}}as and Antonio J. Acosta and Adoraci{\'{o}}n Rueda}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Analog/mixed-signal {IP} modeling for design reuse}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {766--767}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915115}, doi = {10.1109/DATE.2001.915115}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MadridPAR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Mandapati01, author = {Anand Mandapati}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Implementation of the {ATI} flipper chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {697--698}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915102}, doi = {10.1109/DATE.2001.915102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Mandapati01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarculescuN01, author = {Radu Marculescu and Amit Nandi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Probabilistic application modeling for system-level perfromance analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {572--579}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915081}, doi = {10.1109/DATE.2001.915081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarculescuN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MartinSZBM01, author = {Ting Zhang and Luca Benini and Giovanni De Micheli}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Component selection and matching for IP-based design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {40--46}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914999}, doi = {10.1109/DATE.2001.914999}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MartinSZBM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MinatoI01, author = {Shin{-}ichi Minato and Shinya Ishihara}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Streaming {BDD} manipulation for large-scale combinatorial problems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {702--707}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915104}, doi = {10.1109/DATE.2001.915104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MinatoI01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoyaML01, author = {Jos{\'{e}} Manuel Moya and Francisco Moya and Juan Carlos L{\'{o}}pez}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A hardware-software operating system for heterogeneous designs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {820}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915169}, doi = {10.1109/DATE.2001.915169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoyaML01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NaiduJ01, author = {Srinath R. Naidu and E. T. A. F. Jacobs}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Minimizing stand-by leakage power in static {CMOS} circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {370--376}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915051}, doi = {10.1109/DATE.2001.915051}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NaiduJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NamSR01, author = {Gi{-}Joon Nam and Karem A. Sakallah and Rob A. Rutenbar}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A boolean satisfiability-based incremental rerouting approach with application to FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {560--565}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915079}, doi = {10.1109/DATE.2001.915079}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NamSR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Narita01, author = {Susumu Narita}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{SH-4} {RISC} microprocessor for multimedia, game machine}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {699--701}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915103}, doi = {10.1109/DATE.2001.915103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Narita01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NayakHCB01, author = {Anshuman Nayak and Malay Haldar and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Precision and error analysis of {MATLAB} applications during automated hardware synthesis for FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {722--728}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915108}, doi = {10.1109/DATE.2001.915108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NayakHCB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeauMR01, author = {Cassondra Neau and Khurram Muhammad and Kaushik Roy}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Low complexity {FIR} filters using factorization of perturbed coefficients}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {268--272}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915036}, doi = {10.1109/DATE.2001.915036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NeauMR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NguyenJ01, author = {Luong Nguyen and Vincent Janicot}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Simulation method to extract characteristics for digital wireless communication systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {176--181}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915021}, doi = {10.1109/DATE.2001.915021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NguyenJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NicolescuYJ01, author = {Gabriela Nicolescu and Sungjoo Yoo and Ahmed Amine Jerraya}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Mixed-level cosimulation for fine gradual refinement of communication in SoC design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {754--759}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915113}, doi = {10.1109/DATE.2001.915113}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NicolescuYJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NicoliciA01, author = {Nicola Nicolici and Bashir M. Al{-}Hashimi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Testability trade-offs for {BIST} {RTL} data paths: the case for three dimensional design space}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {802}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915128}, doi = {10.1109/DATE.2001.915128}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NicoliciA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NogueraB01, author = {Juanjo Noguera and Rosa M. Badia}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A {HW/SW} partitioning algorithm for dynamically reconfigurable architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {729}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915109}, doi = {10.1109/DATE.2001.915109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NogueraB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NovikovG01, author = {Yakov Novikov and Evguenii I. Goldberg}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {An efficient learning procedure for multiple implication checks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {127--135}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915012}, doi = {10.1109/DATE.2001.915012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NovikovG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OlbrichRB01, author = {Markus Olbrich and Achim Rein and Erich Barke}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {An improved hierarchical classification algorithm for structural analysis of integrated circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {807}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915134}, doi = {10.1109/DATE.2001.915134}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OlbrichRB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OuaissV01, author = {Iyad Ouaiss and Ranga Vemuri}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Hierarchical memory mapping during synthesis in FPGA-based reconfigurable computers}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {650--657}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915092}, doi = {10.1109/DATE.2001.915092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OuaissV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PandayCM01, author = {Arjun Panday and Damien Couderc and Simon Marichalar}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{AIL:} description of a global electronic architecture at the vehicle scale}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {112}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367107}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PandayCM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Parameswaran01, author = {Sri Parameswaran}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Code placement in hardware/software co-synthesis to improve performance and reduce cost}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {626--632}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915089}, doi = {10.1109/DATE.2001.915089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Parameswaran01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaschalisGKPZ01, author = {Antonis M. Paschalis and Dimitris Gizopoulos and Nektarios Kranitis and Mihalis Psarakis and Yervant Zorian}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Deterministic software-based self-testing of embedded processor cores}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {92--96}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915006}, doi = {10.1109/DATE.2001.915006}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaschalisGKPZ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PasseroneWL01, author = {Claudio Passerone and Yosinori Watanabe and Luciano Lavagno}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Generation of minimal size code for scheduling graphs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {668--673}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915096}, doi = {10.1109/DATE.2001.915096}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PasseroneWL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaulinKB01, author = {Pierre G. Paulin and Faraydon Karim and Paul Bromley}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Network processors: a perspective on market requirements, processor architectures and embedded {S/W} tools}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {420--429}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915058}, doi = {10.1109/DATE.2001.915058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaulinKB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PiguetRO01, author = {Christian Piguet and Marc Renaudin and Thierry J.{-}F. Omn{\'{e}}s}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Low-power systems on chips (SOCs)}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {488}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915068}, doi = {10.1109/DATE.2001.915068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PiguetRO01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PintoMEJ01, author = {Carlos A. Alba Pinto and Bart Mesman and Koen van Eijk and Jochen A. G. Jess}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Constraint satisfaction for storage files with Fifos or stacks during scheduling}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {824}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915176}, doi = {10.1109/DATE.2001.915176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PintoMEJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PomeranzR01, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Sequence reordering to improve the levels of compaction achievable by static compaction procedures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {214--218}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915027}, doi = {10.1109/DATE.2001.915027}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PomeranzR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PomeranzR01a, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Definitions of the numbers of detections of target faults and their effectiveness in guiding test generation for high defect coverage}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {504--508}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915070}, doi = {10.1109/DATE.2001.915070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PomeranzR01a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QuasemG01, author = {Md. Saffat Quasem and Sandeep K. Gupta}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Exact fault simulation for systems on Silicon that protects each core's intellectual property}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {804}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915130}, doi = {10.1109/DATE.2001.915130}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/QuasemG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RedaS01, author = {Sherief Reda and A. Salem}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Combinational equivalence checking using Boolean satisfiability and binary decision diagrams}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {122--126}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915011}, doi = {10.1109/DATE.2001.915011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RedaS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RioRMPR01, author = {Roc{\'{\i}}o del R{\'{\i}}o and Josep Llu{\'{\i}}s de la Rosa and Fernando Medeiro and Maria Belen P{\'{e}}rez{-}Verd{\'{u}} and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Top-down design of a xDSL 14-bit 4MS/s sigma-delta modulator in digital {CMOS} technology}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {348--352}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915048}, doi = {10.1109/DATE.2001.915048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RioRMPR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RonaK01, author = {Marco Rona and Gunter Krampl}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Modelling SoC devices for virtual test using {VHDL}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {770--771}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915117}, doi = {10.1109/DATE.2001.915117}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RonaK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoussellePBMV01, author = {C. Rousselle and Matthias Pflanz and A. Behling and T. Mohaupt and Heinrich Theodor Vierhaus}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A register-transfer-level fault simulator for permanent and transient faults in embedded processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {811}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915148}, doi = {10.1109/DATE.2001.915148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RoussellePBMV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RufHGKRM01, author = {J{\"{u}}rgen Ruf and Dirk W. Hoffmann and Joachim Gerlach and Thomas Kropf and Wolfgang Rosenstiel and Wolfgang M{\"{u}}ller}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {The simulation semantics of systemC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {64--70}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915002}, doi = {10.1109/DATE.2001.915002}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RufHGKRM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RufHKR01, author = {J{\"{u}}rgen Ruf and Dirk W. Hoffmann and Thomas Kropf and Wolfgang Rosenstiel}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Simulation-guided property checking based on a multi-valued AR-automata}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {742--748}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915111}, doi = {10.1109/DATE.2001.915111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RufHKR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Ruiz-de-ClavijoJBAV01, author = {Paulino Ruiz{-}de{-}Clavijo and Jorge Juan{-}Chico and Manuel J. Bellido and Antonio J. Acosta and Manuel Valencia{-}Barrero}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{HALOTIS:} high accuracy LOgic TIming simulator with inertial and degradation delay model}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {467--471}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915065}, doi = {10.1109/DATE.2001.915065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Ruiz-de-ClavijoJBAV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SaitohAT01, author = {Makoto Saitoh and Masaaki Azuma and Atsushi Takahashi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Clustering based fast clock scheduling for light clock-tree}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {240--245}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915032}, doi = {10.1109/DATE.2001.915032}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SaitohAT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SamiSSZZ01, author = {Mariagiovanna Sami and Donatella Sciuto and Cristina Silvano and Vittorio Zaccaria and Roberto Zafalon}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Exploiting data forwarding to reduce the power budget of {VLIW} embedded processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {252--257}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915034}, doi = {10.1109/DATE.2001.915034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SamiSSZZ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SarkarK01, author = {Probir Sarkar and Cheng{-}Kok Koh}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Repeater block planning under simultaneous delay and transition time constraints}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {540--545}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915076}, doi = {10.1109/DATE.2001.915076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SarkarK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchmidtJKTN01, author = {Eike Schmidt and Gerd Jochens and Lars Kruse and Frans Theeuwen and Wolfgang Nebel}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Automatic nonlinear memory power modelling}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {808}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915135}, doi = {10.1109/DATE.2001.915135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchmidtJKTN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SerdarS01, author = {Tatjana Serdar and Carl Sechen}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Automatic datapath tile placement and routing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {552--559}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915078}, doi = {10.1109/DATE.2001.915078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SerdarS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinKC01, author = {Dongkun Shin and Jihong Kim and Naehyuck Chang}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {An operation rearrangement technique for power optimization in {VLIM} instruction fetch}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {809}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915137}, doi = {10.1109/DATE.2001.915137}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShinKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SiegmundM01, author = {Robert Siegmund and Dietmar M{\"{u}}ller}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {SystemCSV - an extension of SystemC for mixed multi-level communication modeling and interface-based system design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {26--33}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914996}, doi = {10.1109/DATE.2001.914996}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SiegmundM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SilvaSAGLSTSRNSW01, author = {Julio Leao da Silva Jr. and J. Shamberger and M. Josie Ammer and Chunlong Guo and Suet{-}Fei Li and Rahul C. Shah and Tim Tuan and Michael Sheets and Jan M. Rabaey and Borivoje Nikolic and Alberto L. Sangiovanni{-}Vincentelli and Paul K. Wright}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Design methodology for PicoRadio networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {314--325}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915043}, doi = {10.1109/DATE.2001.915043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SilvaSAGLSTSRNSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StaaB01, author = {Peter van Staa and Thomas Beck}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Embedded tutorial: current trends in the design of automotive electronic systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {38--39}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.914998}, doi = {10.1109/DATE.2001.914998}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StaaB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StaaBHPMKH01, author = {Hans{-}Ulrich Heidbrink}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Data management: limiter or accelerator for electronic design creativity}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {162--163}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367132}, timestamp = {Tue, 26 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StaaBHPMKH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StaverenV01, author = {Arie van Staveren and Chris J. M. Verhoeven}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Order determination for frequency compensation of negative-feedback systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {815}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915155}, doi = {10.1109/DATE.2001.915155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StaverenV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StuikysZDM01, author = {Vytautas Stuikys and Giedrius Ziberkas and Robertas Damasevicius and Giedrius Majauskas}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Two approaches for developing generic components in {VHDL}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {800}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915126}, doi = {10.1109/DATE.2001.915126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StuikysZDM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SvarstadNJ01, author = {Kjetil Svarstad and Gabriela Nicolescu and Ahmed Amine Jerraya}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {A model for describing communication between aggregate objects in the specification and design of embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {77--85}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915004}, doi = {10.1109/DATE.2001.915004}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SvarstadNJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TagoHINSY01, author = {Haruyuki Tago and Kazuhiro Hashimoto and Nobuyuki Ikumi and Masato Nagamatsu and Masakazu Suzuoki and Yasuyuki Yamamoto}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{CPU} for PlayStation 2}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {696}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915101}, doi = {10.1109/DATE.2001.915101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TagoHINSY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TeicaRV01, author = {Elena Teica and Rajesh Radhakrishnan and Ranga Vemuri}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {On the verification of synthesized designs using automatically generated transformational witnesses}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {798}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915123}, doi = {10.1109/DATE.2001.915123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TeicaRV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TerechkoPE01, author = {Andrei Sergeevich Terechko and Evert{-}Jan D. Pol and Jos T. J. van Eijndhoven}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{PRMDL:} a machine description language for clustered {VLIW} architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {821}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915170}, doi = {10.1109/DATE.2001.915170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TerechkoPE01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThorntonD01, author = {Mitchell A. Thornton and Rolf Drechsler}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Spectral decision diagrams using graph transformations}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {713--719}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915106}, doi = {10.1109/DATE.2001.915106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ThorntonD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UbarJP01, author = {Raimund Ubar and Artur Jutman and Zebo Peng}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Timing simulation of digital circuits with binary decision diagrams}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {460--466}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915063}, doi = {10.1109/DATE.2001.915063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/UbarJP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VanasscheGS01, author = {Piet Vanassche and Georges G. E. Gielen and Willy M. C. Sansen}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient time-domain simulation of telecom frontends using a complex damped exponential signal model}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {169--175}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915020}, doi = {10.1109/DATE.2001.915020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VanasscheGS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VandersteenWRSDEB01, author = {Gerd Vandersteen and Piet Wambacq and Yves Rolain and Johan Schoukens and St{\'{e}}phane Donnay and Marc Engels and Ivo Bolsens}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient bit-error-rate estimation of multicarrier transceivers}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {164--168}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915019}, doi = {10.1109/DATE.2001.915019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VandersteenWRSDEB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VareaA01, author = {Mauricio Varea and Bashir M. Al{-}Hashimi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Dual transitions petri net based modelling technique for embedded systems specification}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {566--571}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915080}, doi = {10.1109/DATE.2001.915080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VareaA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WahlAMR01, author = {Michael G. Wahl and Anthony P. Ambler and Christoph Maa{\ss} and Mohammed Rahman}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {From {DFT} to systems test - a model based cost optimization tool}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {302--306}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915041}, doi = {10.1109/DATE.2001.915041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WahlAMR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WambacqVPREYLD01, author = {Piet Wambacq and Gerd Vandersteen and Joel R. Phillips and Jaijeet S. Roychowdhury and Wolfgang Eberle and Baolin Yang and David E. Long and Alper Demir}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{CAD} for {RF} circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {520--529}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915073}, doi = {10.1109/DATE.2001.915073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WambacqVPREYLD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WernerGWR01, author = {Chr. Werner and Ralf Goettsche and A. W{\"{o}}rner and Ulrich Ramacher}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Crosstalk noise in future digital {CMOS} circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {331--335}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915045}, doi = {10.1109/DATE.2001.915045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WernerGWR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Wilson01, author = {Ron Wilson}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Managing the SoC design challenge with "Soft" hardware}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {610--611}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915086}, doi = {10.1109/DATE.2001.915086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Wilson01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WongMYCMPCLV01, author = {Chun Wong and Paul Marchal and Peng Yang and Francky Catthoor and Hugo De Man and Aggeliki S. Prayati and Nathalie Cossement and Rudy Lauwereins and Diederik Verkest}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Task concurrency management methodology summary}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {813}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915151}, doi = {10.1109/DATE.2001.915151}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WongMYCMPCLV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WormLW01, author = {Alexander Worm and Holger Lamm and Norbert Wehn}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Design of low-power high-speed maximum a priori decoder architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {258--267}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915035}, doi = {10.1109/DATE.2001.915035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WormLW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XieW01, author = {Yuan Xie and Wayne H. Wolf}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Allocation and scheduling of conditional task graph in hardware/software co-synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {620--625}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915088}, doi = {10.1109/DATE.2001.915088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XieW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuM01, author = {Qinwei Xu and Pinaki Mazumder}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Efficient and passive modeling of transmission lines by using differential quadrature method}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {437--444}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915060}, doi = {10.1109/DATE.2001.915060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YeungHMMZ01, author = {C. Yeung and Anssi Haverinen and Graham Matthews and Jonathan Morris and Jauher Zaidi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Standard bus vs. bus wrapper: what is the best solution for future SoC integration?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {776--777}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367970}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YeungHMMZ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YildizSV01, author = {Erhan Yildiz and Arie van Staveren and Chris J. M. Verhoeven}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Minimizing the number of floating bias voltage sources with integer linear programming}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {816}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915163}, doi = {10.1109/DATE.2001.915163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YildizSV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuK01, author = {Qingjian Yu and Ernest S. Kuh}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Explicit formulas and efficient algorithm for moment computation of coupled {RC} trees with lumped and distributed elements}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {445--450}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915061}, doi = {10.1109/DATE.2001.915061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YuK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZengABA01, author = {Jing Zeng and Magdy S. Abadir and Jayanta Bhadra and Jacob A. Abraham}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Full chip false timing path identification: applications to the PowerPCTM microprocessors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {514--519}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915072}, doi = {10.1109/DATE.2001.915072}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZengABA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZengKC01, author = {Zhihong Zeng and Priyank Kalla and Maciej J. Ciesielski}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {{LPSAT:} a unified approach to {RTL} satisfiability}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {398--402}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915055}, doi = {10.1109/DATE.2001.915055}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZengKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Zhu01, author = {Jianwen Zhu}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {MetaRTL: raising the abstraction level of {RTL} design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {71--76}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915003}, doi = {10.1109/DATE.2001.915003}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Zhu01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Zhu01a, author = {Jianwen Zhu}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Static memory allocation by pointer analysis and coloring}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {785--790}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915121}, doi = {10.1109/DATE.2001.915121}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Zhu01a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZolfyMN01, author = {Mina Zolfy and Shahrzad Mirkhani and Zainalabedin Navabi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Adaptation of an event-driven simulation environment to sequentially propagated concurrent fault simulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {823}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915173}, doi = {10.1109/DATE.2001.915173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZolfyMN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZorianPTTPDSMR01, author = {Yervant Zorian and Paolo Prinetto and Jo{\~{a}}o Paulo Teixeira and Isabel C. Teixeira and Carlos Eduardo Pereira and Oct{\'{a}}vio P{\'{a}}scoa Dias and Jorge Semi{\~{a}}o and Peter Muhmenthaler and W. Radermacher}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Embedded tutorial: {TRP:} integrating embedded test and {ATE}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {34--37}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://dl.acm.org/citation.cfm?id=367081}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZorianPTTPDSMR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2001, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7307/proceeding}, isbn = {0-7695-0993-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.