Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/dac/dac2008.bht:"
@inproceedings{DBLP:conf/dac/Abdollahi08, author = {Afshin Abdollahi}, editor = {Limor Fix}, title = {Signature based Boolean matching in the presence of don't cares}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {642--647}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391635}, doi = {10.1145/1391469.1391635}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Abdollahi08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Abu-RahmaCWCYA08, author = {Mohamed H. Abu{-}Rahma and Kinshuk Chowdhury and Joseph Wang and Zhiqin Chen and Sei Seung Yoon and Mohab Anis}, editor = {Limor Fix}, title = {A methodology for statistical estimation of read access yield in SRAMs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {205--210}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391522}, doi = {10.1145/1391469.1391522}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Abu-RahmaCWCYA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AhmadiZ08, author = {Arash Ahmadi and Mark Zwolinski}, editor = {Limor Fix}, title = {Symbolic noise analysis approach to computational hardware optimization}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {391--396}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391573}, doi = {10.1145/1391469.1391573}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AhmadiZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AlkabaniK08, author = {Yousra Alkabani and Farinaz Koushanfar}, editor = {Limor Fix}, title = {N-variant {IC} design: methodology and applications}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {546--551}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391606}, doi = {10.1145/1391469.1391606}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AlkabaniK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AlkabaniMKP08, author = {Yousra Alkabani and Tammara Massey and Farinaz Koushanfar and Miodrag Potkonjak}, editor = {Limor Fix}, title = {Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {606--609}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391624}, doi = {10.1145/1391469.1391624}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AlkabaniMKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BaertGB08, author = {Rogier Baert and Eddy de Greef and Erik Brockmeyer}, editor = {Limor Fix}, title = {An automatic scratch pad memory management tool and {MPEG-4} encoder case study}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {201--204}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391520}, doi = {10.1145/1391469.1391520}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BaertGB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BalkanQV08, author = {Aydin O. Balkan and Gang Qu and Uzi Vishkin}, editor = {Limor Fix}, title = {An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {435--440}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391583}, doi = {10.1145/1391469.1391583}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BalkanQV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BastaniCWA08, author = {Pouria Bastani and Nicholas Callegari and Li{-}C. Wang and Magdy S. Abadir}, editor = {Limor Fix}, title = {Statistical diagnosis of unmodeled systematic timing effects}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {355--360}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391566}, doi = {10.1145/1391469.1391566}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BastaniCWA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BastaniKWC08, author = {Pouria Bastani and Kip Killpack and Li{-}C. Wang and Eli Chiprout}, editor = {Limor Fix}, title = {Speedpath prediction based on learning from a small set of examples}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {217--222}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391524}, doi = {10.1145/1391469.1391524}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BastaniKWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BauerSH08, author = {Lars Bauer and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Limor Fix}, title = {Run-time instruction set selection in a transmutable embedded processor}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {56--61}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391486}, doi = {10.1145/1391469.1391486}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BauerSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Bautista08, author = {Jerry Bautista}, editor = {Limor Fix}, title = {Tera-scale computing and interconnect challenges}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {665--667}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391641}, doi = {10.1145/1391469.1391641}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Bautista08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Beers08, author = {Robert Beers}, editor = {Limor Fix}, title = {Pre-RTL formal verification: an intel experience}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {806--811}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391675}, doi = {10.1145/1391469.1391675}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Beers08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BharathES08, author = {Krishna Bharath and Ege Engin and Madhavan Swaminathan}, editor = {Limor Fix}, title = {Automatic package and board decoupling capacitor placement using genetic algorithms and {M-FDM}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {560--565}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391611}, doi = {10.1145/1391469.1391611}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BharathES08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BijanskyA08, author = {Stephen Bijansky and Adnan Aziz}, editor = {Limor Fix}, title = {TuneFPGA: post-silicon tuning of dual-Vdd FPGAs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {796--799}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391672}, doi = {10.1145/1391469.1391672}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BijanskyA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BournoutianO08, author = {Garo Bournoutian and Alex Orailoglu}, editor = {Limor Fix}, title = {Miss reduction in embedded processors through dynamic, power-friendly cache design}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {304--309}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391546}, doi = {10.1145/1391469.1391546}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BournoutianO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BrockmanLKKM08, author = {Jay B. Brockman and Sheng Li and Peter M. Kogge and Amit Kashyap and Mohammad M. Mojarradi}, editor = {Limor Fix}, title = {Design of a mask-programmable memory/multiplier array using {G4-FET} technology}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {337--338}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391555}, doi = {10.1145/1391469.1391555}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BrockmanLKKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CaoFHS08, author = {Zhen Cao and Brian Foo and Lei He and Mihaela van der Schaar}, editor = {Limor Fix}, title = {Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {179--184}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391516}, doi = {10.1145/1391469.1391516}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CaoFHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CaseKMB08, author = {Michael L. Case and Victor N. Kravets and Alan Mishchenko and Robert K. Brayton}, editor = {Limor Fix}, title = {Merging nodes under sequential observability}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {540--545}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391605}, doi = {10.1145/1391469.1391605}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CaseKMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CatanzaroKS08, author = {Bryan Catanzaro and Kurt Keutzer and Bor{-}Yiing Su}, editor = {Limor Fix}, title = {Parallelizing {CAD:} a timely research agenda for {EDA}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {12--17}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391475}, doi = {10.1145/1391469.1391475}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CatanzaroKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CengCSSLAMIK08, author = {Jianjiang Ceng and Jer{\'{o}}nimo Castrill{\'{o}}n and Weihua Sheng and Hanno Scharw{\"{a}}chter and Rainer Leupers and Gerd Ascheid and Heinrich Meyr and Tsuyoshi Isshiki and Hiroaki Kunieda}, editor = {Limor Fix}, title = {{MAPS:} an integrated framework for MPSoC application parallelization}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {754--759}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391663}, doi = {10.1145/1391469.1391663}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CengCSSLAMIK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangHHLWL08, author = {Chia{-}Ming Chang and Shih{-}Hsu Huang and Yuan{-}Kai Ho and Jia{-}Zong Lin and Hsin{-}Po Wang and Yu{-}Sheng Lu}, editor = {Limor Fix}, title = {Type-matching clock tree for zero skew clock gating}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {714--719}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391653}, doi = {10.1145/1391469.1391653}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChangHHLWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangWSC08, author = {Po{-}Chun Chang and I{-}Wei Wu and Jean Jyh{-}Jiun Shann and Chung{-}Ping Chung}, editor = {Limor Fix}, title = {{ETAHM:} an energy-aware task allocation algorithm for heterogeneous multiprocessor}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {776--779}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391667}, doi = {10.1145/1391469.1391667}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangWSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChaudhuriGFHD08, author = {Sumanta Chaudhuri and Sylvain Guilley and Florent Flament and Philippe Hoogvorst and Jean{-}Luc Danger}, editor = {Limor Fix}, title = {An 8x8 run-time reconfigurable {FPGA} embedded in a SoC}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {120--125}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391500}, doi = {10.1145/1391469.1391500}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChaudhuriGFHD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CheeKMPSBSRWS08, author = {Yuen{-}Hui Chee and Mike Koplow and Michael Mark and Nathan Pletcher and Mike Seeman and Fred L. Burghardt and Dan Steingart and Jan M. Rabaey and Paul K. Wright and Seth Sanders}, editor = {Limor Fix}, title = {PicoCube: a 1 cm\({}^{\mbox{3}}\) sensor node powered by harvested energy}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {114--119}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391499}, doi = {10.1145/1391469.1391499}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CheeKMPSBSRWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCP08, author = {Tung{-}Chieh Chen and Ashutosh Chakraborty and David Z. Pan}, editor = {Limor Fix}, title = {An integrated nonlinear placement framework with congestion and porosity aware buffer planning}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {702--707}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391651}, doi = {10.1145/1391469.1391651}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenLC08, author = {Tai{-}Chen Chen and Guang{-}Wan Liao and Yao{-}Wen Chang}, editor = {Limor Fix}, title = {Predictive formulae for {OPC} with applications to lithography-friendly routing}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {510--515}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391599}, doi = {10.1145/1391469.1391599}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenLSK08, author = {Guangyu Chen and Feihui Li and Seung Woo Son and Mahmut T. Kandemir}, editor = {Limor Fix}, title = {Application mapping for chip multiprocessors}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {620--625}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391628}, doi = {10.1145/1391469.1391628}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenLSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenXY08, author = {Yan Chen and Fei Xie and Jin Yang}, editor = {Limor Fix}, title = {Optimizing automatic abstraction refinement for generalized symbolic trajectory evaluation}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {143--148}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391508}, doi = {10.1145/1391469.1391508}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenXY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengLLCC08, author = {Chih{-}Chi Cheng and Chia{-}Hua Lin and Chung{-}Te Li and Samuel C. Chang and Liang{-}Gee Chen}, editor = {Limor Fix}, title = {iVisual: an intelligent visual sensor SoC with 2790fps {CMOS} image sensor and 205GOPS/W vision processor}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {90--95}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391495}, doi = {10.1145/1391469.1391495}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChengLLCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoLKC08, author = {Taeg Sang Cho and Kyeong{-}Jae Lee and Jing Kong and Anantha P. Chandrakasan}, editor = {Limor Fix}, title = {The design of a low power carbon nanotube chemical sensor system}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {84--89}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391494}, doi = {10.1145/1391469.1391494}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoLKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoYBP08, author = {Minsik Cho and Kun Yuan and Yongchan Ban and David Z. Pan}, editor = {Limor Fix}, title = {{ELIAD:} efficient lithography aware detailed router with compact post-OPC printability prediction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {504--509}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391598}, doi = {10.1145/1391469.1391598}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoYBP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChongP08, author = {Yee Jern Chong and Sri Parameswaran}, editor = {Limor Fix}, title = {Rapid application specific floating-point unit generation with bit-alignment}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {62--67}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391487}, doi = {10.1145/1391469.1391487}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChongP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoudhuryYGM08, author = {Mihir R. Choudhury and Youngki Yoon and Jing Guo and Kartik Mohanram}, editor = {Limor Fix}, title = {Technology exploration for graphene nanoribbon FETs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {272--277}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391539}, doi = {10.1145/1391469.1391539}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoudhuryYGM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CookS08, author = {Henry Cook and Kevin Skadron}, editor = {Limor Fix}, title = {Predictive design space exploration using genetically programmed response surfaces}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {960--965}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391711}, doi = {10.1145/1391469.1391711}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CookS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CoskunRG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Kenny C. Gross}, editor = {Limor Fix}, title = {Temperature management in multiprocessor SoCs using online learning}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {890--893}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391693}, doi = {10.1145/1391469.1391693}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CoskunRG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Cummings08, author = {Clifford E. Cummings}, editor = {Limor Fix}, title = {SystemVerilog implicit port enhancements accelerate system design {\&} verification}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {231--236}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391528}, doi = {10.1145/1391469.1391528}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Cummings08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CzajkowskiB08, author = {Tomasz S. Czajkowski and Stephen Dean Brown}, editor = {Limor Fix}, title = {Functionally linear decomposition and synthesis of logic circuits for FPGAs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {18--23}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391477}, doi = {10.1145/1391469.1391477}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CzajkowskiB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DargaSM08, author = {Paul T. Darga and Karem A. Sakallah and Igor L. Markov}, editor = {Limor Fix}, title = {Faster symmetry discovery using sparsity of symmetries}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {149--154}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391509}, doi = {10.1145/1391469.1391509}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DargaSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DasV08, author = {Angan Das and Ranga Vemuri}, editor = {Limor Fix}, title = {Topology synthesis of analog circuits based on adaptively generated building blocks}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {44--49}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391483}, doi = {10.1145/1391469.1391483}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DasV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DasikaDFMB08, author = {Ganesh S. Dasika and Shidhartha Das and Kevin Fan and Scott A. Mahlke and David M. Bull}, editor = {Limor Fix}, title = {{DVFS} in loop accelerators using {BLADES}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {894--897}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391694}, doi = {10.1145/1391469.1391694}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DasikaDFMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DavisTYZ08, author = {John D. Davis and Zhangxi Tan and Fang Yu and Lintao Zhang}, editor = {Limor Fix}, title = {A practical reconfigurable hardware accelerator for Boolean satisfiability solvers}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {780--785}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391669}, doi = {10.1145/1391469.1391669}, timestamp = {Mon, 27 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DavisTYZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DongLY08, author = {Wei Dong and Peng Li and Xiaoji Ye}, editor = {Limor Fix}, title = {WavePipe: parallel transient simulation of analog and digital circuits on multi-core shared-memory machines}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {238--243}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391531}, doi = {10.1145/1391469.1391531}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DongLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DongWSXLC08, author = {Xiangyu Dong and Xiaoxia Wu and Guangyu Sun and Yuan Xie and Hai Li and Yiran Chen}, editor = {Limor Fix}, title = {Circuit and microarchitecture evaluation of 3D stacking magnetic {RAM} {(MRAM)} as a universal memory replacement}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {554--559}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391610}, doi = {10.1145/1391469.1391610}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DongWSXLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DuanZK08, author = {Chunjie Duan and Chengyu Zhu and Sunil P. Khatri}, editor = {Limor Fix}, title = {Forbidden transition free crosstalk avoidance {CODEC} design}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {986--991}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391717}, doi = {10.1145/1391469.1391717}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DuanZK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/EguroH08, author = {Kenneth Eguro and Scott Hauck}, editor = {Limor Fix}, title = {Enhancing timing-driven {FPGA} placement for pipelined netlists}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {34--37}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391480}, doi = {10.1145/1391469.1391480}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/EguroH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/El-MoselhyEW08, author = {Tarek A. El{-}Moselhy and Ibrahim M. Elfadel and David Widiger}, editor = {Limor Fix}, title = {Efficient algorithm for the computation of on-chip capacitance sensitivities with respect to a large set of parameters}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {906--911}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391699}, doi = {10.1145/1391469.1391699}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/El-MoselhyEW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ElmWIZLM08, author = {Melanie Elm and Hans{-}Joachim Wunderlich and Michael E. Imhof and Christian G. Zoellin and Jens Leenstra and Nicolas M{\"{a}}ding}, editor = {Limor Fix}, title = {Scan chain clustering for test power reduction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {828--833}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391680}, doi = {10.1145/1391469.1391680}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ElmWIZLM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FaruqueKH08, author = {Mohammad Abdullah Al Faruque and Rudolf Krist and J{\"{o}}rg Henkel}, editor = {Limor Fix}, title = {{ADAM:} run-time agent-based distributed application mapping for on-chip communication}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {760--765}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391664}, doi = {10.1145/1391469.1391664}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FaruqueKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FaviC08, author = {Claudio Favi and Edoardo Charbon}, editor = {Limor Fix}, title = {Techniques for fully integrated intra-/inter-chip optical communication}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {343--344}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391558}, doi = {10.1145/1391469.1391558}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FaviC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FeldmannA08, author = {Peter Feldmann and Soroush Abbaspour}, editor = {Limor Fix}, title = {Towards a more physical approach to gate modeling for timing, noise, and power}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {453--455}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391587}, doi = {10.1145/1391469.1391587}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FeldmannA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FeldmannASSBG08, author = {Peter Feldmann and Soroush Abbaspour and Debjit Sinha and Gregory Schaeffer and Revanta Banerji and Hemlata Gupta}, editor = {Limor Fix}, title = {Driver waveform computation for timing analysis with multiple voltage threshold driver models}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {425--428}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391580}, doi = {10.1145/1391469.1391580}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FeldmannASSBG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FraerKM08, author = {Ranan Fraer and Gila Kamhi and Muhammad K. Mhameed}, editor = {Limor Fix}, title = {A new paradigm for synthesis and propagation of clock gating conditions}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {658--663}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391638}, doi = {10.1145/1391469.1391638}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FraerKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FranzonDSLOTMLDBSO08, author = {Paul D. Franzon and W. Rhett Davis and Michael B. Steer and Steve Lipa and Eun Chu Oh and Thorlindur Thorolfsson and Samson Melamed and Sonali Luniya and Tad Doxsee and Stephen Berkeley and Ben Shani and Kurt Obermiller}, editor = {Limor Fix}, title = {Design and {CAD} for 3D integrated circuits}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {668--673}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391642}, doi = {10.1145/1391469.1391642}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FranzonDSLOTMLDBSO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GanaiG08, author = {Malay K. Ganai and Aarti Gupta}, editor = {Limor Fix}, title = {Tunneling and slicing: towards scalable {BMC}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {137--142}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391507}, doi = {10.1145/1391469.1391507}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GanaiG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GandikotaBS08, author = {Ravikishore Gandikota and David T. Blaauw and Dennis Sylvester}, editor = {Limor Fix}, title = {Modeling crosstalk in statistical static timing analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {974--979}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391715}, doi = {10.1145/1391469.1391715}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GandikotaBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GaoKKLAM08, author = {Lei Gao and Kingshuk Karuri and Stefan Kraemer and Rainer Leupers and Gerd Ascheid and Heinrich Meyr}, editor = {Limor Fix}, title = {Multiprocessor performance estimation using hybrid simulation}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {325--330}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391552}, doi = {10.1145/1391469.1391552}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GaoKKLAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GargNK08, author = {Rajesh Garg and Charu Nagpal and Sunil P. Khatri}, editor = {Limor Fix}, title = {A fast, analytical estimator for the SEU-induced pulse width in combinational designs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {918--923}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391702}, doi = {10.1145/1391469.1391702}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GargNK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Garland08, author = {Michael Garland}, editor = {Limor Fix}, title = {Sparse matrix computations on manycore GPU's}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {2--6}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391473}, doi = {10.1145/1391469.1391473}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Garland08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GerstlauerPSGNAN08, author = {Andreas Gerstlauer and Junyu Peng and Dongwan Shin and Daniel Gajski and Atsushi Nakamura and Dai Araki and Yuuji Nishihara}, editor = {Limor Fix}, title = {Specify-explore-refine {(SER):} from specification to implementation}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {586--591}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391617}, doi = {10.1145/1391469.1391617}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GerstlauerPSGNAN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GinsburgC08, author = {Brian P. Ginsburg and Anantha P. Chandrakasan}, editor = {Limor Fix}, title = {The mixed signal optimum energy point: voltage and parallelism}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {244--249}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391532}, doi = {10.1145/1391469.1391532}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GinsburgC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GoelV08, author = {Amit Goel and Sarma B. K. Vrudhula}, editor = {Limor Fix}, title = {Statistical waveform and current source based standard cell models for accurate timing analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {227--230}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391526}, doi = {10.1145/1391469.1391526}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GoelV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GolsonC08, author = {Steve Golson and Pete Churchill}, editor = {Limor Fix}, title = {Flow engineering for physical implementation: theory and practice}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {1}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391471}, doi = {10.1145/1391469.1391471}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GolsonC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GoraczkoLLMPZ08, author = {Michel Goraczko and Jie Liu and Dimitrios Lymberopoulos and Slobodan Matic and Bodhi Priyantha and Feng Zhao}, editor = {Limor Fix}, title = {Energy-optimal software partitioning in heterogeneous multiprocessor embedded systems}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {191--196}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391518}, doi = {10.1145/1391469.1391518}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GoraczkoLLMPZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GorjiaraG08, author = {Bita Gorjiara and Daniel Gajski}, editor = {Limor Fix}, title = {Automatic architecture refinement techniques for customizing processing elements}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {379--384}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391571}, doi = {10.1145/1391469.1391571}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GorjiaraG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuC08, author = {Yan Gu and Samarjit Chakraborty}, editor = {Limor Fix}, title = {Control theory-based {DVS} for interactive 3D games}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {740--745}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391659}, doi = {10.1145/1391469.1391659}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GulatiK08, author = {Kanupriya Gulati and Sunil P. Khatri}, editor = {Limor Fix}, title = {Towards acceleration of fault simulation using graphics processing units}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {822--827}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391679}, doi = {10.1145/1391469.1391679}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GulatiK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaK08, author = {Puneet Gupta and Andrew B. Kahng}, editor = {Limor Fix}, title = {Bounded-lifetime integrated circuits}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {347--348}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391560}, doi = {10.1145/1391469.1391560}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuzeyWLF08, author = {Onur Guzey and Li{-}C. Wang and Jeremy R. Levitt and Harry Foster}, editor = {Limor Fix}, title = {Functional test selection based on unsupervised support vector analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {262--267}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391536}, doi = {10.1145/1391469.1391536}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuzeyWLF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Haensch08, author = {Wilfried Haensch}, editor = {Limor Fix}, title = {Why should we do 3D integration?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {674--675}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391643}, doi = {10.1145/1391469.1391643}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Haensch08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HaldarSPDG08, author = {Malay Haldar and Gagandeep Singh and Saurabh Prabhakar and Basant Dwivedi and Antara Ghosh}, editor = {Limor Fix}, title = {Construction of concrete verification models from {C++}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {942--947}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391707}, doi = {10.1145/1391469.1391707}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HaldarSPDG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HamersE08, author = {Juan Hamers and Lieven Eeckhout}, editor = {Limor Fix}, title = {Automated hardware-independent scenario identification}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {954--959}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391710}, doi = {10.1145/1391469.1391710}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HamersE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HanSE08, author = {Ki Jin Han and Madhavan Swaminathan and Ege Engin}, editor = {Limor Fix}, title = {Electric field integral equation combined with cylindrical conduction mode basis functions for electrical modeling of three-dimensional interconnects}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {421--424}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391579}, doi = {10.1145/1391469.1391579}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HanSE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HaritanHYPWNWM08, author = {Eshel Haritan and Toshihiro Hattori and Hiroyuki Yagi and Pierre G. Paulin and Wayne H. Wolf and Achim Nohl and Drew Wingard and Mike Muller}, editor = {Limor Fix}, title = {Multicore design is the challenge! what is the solution?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {128--130}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391504}, doi = {10.1145/1391469.1391504}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HaritanHYPWNWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HaubeltSKM08, author = {Christian Haubelt and Thomas Schlichter and Joachim Keinert and Michael Meredith}, editor = {Limor Fix}, title = {SystemCoDesigner: automatic design space exploration and rapid prototyping from behavioral models}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {580--585}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391616}, doi = {10.1145/1391469.1391616}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HaubeltSKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HeloueN08, author = {Khaled R. Heloue and Farid N. Najm}, editor = {Limor Fix}, title = {Parameterized timing analysis with general delay models and arbitrary variation sources}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {403--408}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391576}, doi = {10.1145/1391469.1391576}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HeloueN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HerbertM08, author = {Sebastian Herbert and Diana Marculescu}, editor = {Limor Fix}, title = {Characterizing chip-multiprocessor variability-tolerance}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {313--318}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391550}, doi = {10.1145/1391469.1391550}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HerbertM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HoTDDGS08, author = {C. Richard Ho and Michael Theobald and Martin M. Deneroff and Ron O. Dror and Joseph Gagliardo and David E. Shaw}, editor = {Limor Fix}, title = {Early formal verification of conditional coverage points to identify intrinsically hard-to-verify logic}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {268--271}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391537}, doi = {10.1145/1391469.1391537}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HoTDDGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HomayounPMV08, author = {Houman Homayoun and Sudeep Pasricha and Mohammad A. Makhzan and Alexander V. Veidenbaum}, editor = {Limor Fix}, title = {Dynamic register file resizing and frequency scaling to improve embedded processor performance and energy-delay efficiency}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {68--71}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391488}, doi = {10.1145/1391469.1391488}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HomayounPMV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsiehH08, author = {Ming{-}Chang Hsieh and Chih{-}Tsun Huang}, editor = {Limor Fix}, title = {An embedded infrastructure of debug and trace interface for the {DSP} platform}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {866--871}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391688}, doi = {10.1145/1391469.1391688}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsiehH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuPB08, author = {Chia{-}Jui Hsu and Jos{\'{e}} Luis Pino and Shuvra S. Bhattacharyya}, editor = {Limor Fix}, title = {Multithreaded simulation for synchronous dataflow graphs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {331--336}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391553}, doi = {10.1145/1391469.1391553}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsuPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuW08, author = {Tien{-}Yuan Hsu and Ting{-}Chi Wang}, editor = {Limor Fix}, title = {A generalized network flow based algorithm for power-aware {FPGA} memory mapping}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {30--33}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391479}, doi = {10.1145/1391469.1391479}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsuW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuSMH08, author = {Yu Hu and Victor Shih and Rupak Majumdar and Lei He}, editor = {Limor Fix}, title = {{FPGA} area reduction by multi-output function based sequential resynthesis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {24--29}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391478}, doi = {10.1145/1391469.1391478}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuSMH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangSSRS08, author = {Wei Huang and Mircea R. Stan and Karthik Sankaranarayanan and Robert J. Ribando and Kevin Skadron}, editor = {Limor Fix}, title = {Many-core design from a thermal perspective}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {746--749}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391660}, doi = {10.1145/1391469.1391660}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangSSRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangYX08, author = {Lin Huang and Feng Yuan and Qiang Xu}, editor = {Limor Fix}, title = {On reliable modular testing with vulnerable test access mechanisms}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {834--839}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391681}, doi = {10.1145/1391469.1391681}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangYX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Hurst08, author = {Aaron P. Hurst}, editor = {Limor Fix}, title = {Automatic synthesis of clock gating logic with controlled netlist perturbation}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {654--657}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391637}, doi = {10.1145/1391469.1391637}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Hurst08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HurstMB08, author = {Aaron P. Hurst and Alan Mishchenko and Robert K. Brayton}, editor = {Limor Fix}, title = {Scalable min-register retiming under timing and initializability constraints}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {534--539}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391604}, doi = {10.1145/1391469.1391604}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HurstMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaiSNM08, author = {Masanori Imai and Takashi Sato and Noriaki Nakayama and Kazuya Masu}, editor = {Limor Fix}, title = {Non-parametric statistical static timing analysis: an {SSTA} framework for arbitrary distribution}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {698--701}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391649}, doi = {10.1145/1391469.1391649}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ImaiSNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JamaaALM08, author = {M. Haykel Ben Jamaa and David Atienza and Yusuf Leblebici and Giovanni De Micheli}, editor = {Limor Fix}, title = {Programmable logic circuits based on ambipolar {CNFET}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {339--340}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391556}, doi = {10.1145/1391469.1391556}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JamaaALM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JeongKPY08, author = {Kwangok Jeong and Andrew B. Kahng and Chul{-}Hong Park and Hailong Yao}, editor = {Limor Fix}, title = {Dose map and placement co-optimization for timing yield enhancement and leakage power reduction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {516--521}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391600}, doi = {10.1145/1391469.1391600}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JeongKPY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangM08, author = {Hailin Jiang and Malgorzata Marek{-}Sadowska}, editor = {Limor Fix}, title = {Power gating scheduling for power/ground noise reduction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {980--985}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391716}, doi = {10.1145/1391469.1391716}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangS08, author = {Zhanyuan Jiang and Weiping Shi}, editor = {Limor Fix}, title = {Circuit-wise buffer insertion and gate sizing algorithm with scalability}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {708--713}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391652}, doi = {10.1145/1391469.1391652}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangSC08, author = {Zhe{-}Wei Jiang and Bor{-}Yiing Su and Yao{-}Wen Chang}, editor = {Limor Fix}, title = {Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {167--172}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391513}, doi = {10.1145/1391469.1391513}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JinC08, author = {Zhanpeng Jin and Allen C. Cheng}, editor = {Limor Fix}, title = {Improve simulation efficiency using statistical benchmark subsetting: an ImplantBench case study}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {970--973}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391713}, doi = {10.1145/1391469.1391713}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JinC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JoshiCSBA08, author = {Vivek Joshi and Brian Cline and Dennis Sylvester and David T. Blaauw and Kanak Agarwal}, editor = {Limor Fix}, title = {Leakage power reduction using stress-enhanced layouts}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {912--917}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391700}, doi = {10.1145/1391469.1391700}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JoshiCSBA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungRP08, author = {Hwisung Jung and Peng Rong and Massoud Pedram}, editor = {Limor Fix}, title = {Stochastic modeling of a thermally-managed multi-core system}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {728--733}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391657}, doi = {10.1145/1391469.1391657}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JungRP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KellerTK08, author = {Igor Keller and King Ho Tam and Vinod Kariat}, editor = {Limor Fix}, title = {Challenges in gate level modeling for delay and {SI} at 65nm and below}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {468--473}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391590}, doi = {10.1145/1391469.1391590}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KellerTK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimCK08, author = {Daeik D. Kim and Choongyeun Cho and Jonghae Kim}, editor = {Limor Fix}, title = {Analog parallelism in ring-based VCOs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {341--342}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391557}, doi = {10.1145/1391469.1391557}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimKKLY08, author = {Donghyun Kim and Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Hoi{-}Jun Yoo}, editor = {Limor Fix}, title = {Vision platform for mobile intelligent robot based on 81.6 {GOPS} object recognition processor}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {96--101}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391496}, doi = {10.1145/1391469.1391496}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimKKLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KrishnaswamyMH08, author = {Smita Krishnaswamy and Igor L. Markov and John P. Hayes}, editor = {Limor Fix}, title = {On the role of timing masking in reliable logic circuit design}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {924--929}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391703}, doi = {10.1145/1391469.1391703}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KrishnaswamyMH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KshirsagarEB08, author = {Chaitanya Kshirsagar and Mohamed N. El{-}Zeftawi and Kaustav Banerjee}, editor = {Limor Fix}, title = {Analysis and implications of parasitic and screening effects on the high-frequency/RF performance of tunneling-carbon nanotube FETs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {250--255}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391533}, doi = {10.1145/1391469.1391533}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KshirsagarEB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KuehlmannBCRMN08, author = {Andreas Kuehlmann and Anjan Bose and David E. Corman and Rob A. Rutenbar and Robert M. Manning and Anna Newman}, editor = {Limor Fix}, title = {Verifying really complex systems: on earth and beyond}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {552--553}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391608}, doi = {10.1145/1391469.1391608}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KuehlmannBCRMN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KulkarniKPR08, author = {Jaydeep P. Kulkarni and Keejong Kim and Sang Phill Park and Kaushik Roy}, editor = {Limor Fix}, title = {Process variation tolerant {SRAM} array for ultra low voltage applications}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {108--113}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391498}, doi = {10.1145/1391469.1391498}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KulkarniKPR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarKS08, author = {Sanjay V. Kumar and Chandramouli V. Kashyap and Sachin S. Sapatnekar}, editor = {Limor Fix}, title = {A framework for block-based timing sensitivity analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {688--693}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391647}, doi = {10.1145/1391469.1391647}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KunduGG08, author = {Sudipta Kundu and Malay K. Ganai and Rajesh Gupta}, editor = {Limor Fix}, title = {Partial order reduction for scalable testing of systemC {TLM} designs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {936--941}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391706}, doi = {10.1145/1391469.1391706}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KunduGG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KuonR08, author = {Ian Kuon and Jonathan Rose}, editor = {Limor Fix}, title = {Automated transistor sizing for {FPGA} architecture exploration}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {792--795}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391671}, doi = {10.1145/1391469.1391671}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KuonR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KurimotoSAYOTS08, author = {Masanori Kurimoto and Hiroaki Suzuki and Rei Akiyama and Tadao Yamanaka and Haruyuki Ohkuma and Hidehiro Takata and Hirofumi Shinohara}, editor = {Limor Fix}, title = {Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {884--889}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391692}, doi = {10.1145/1391469.1391692}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KurimotoSAYOTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KwonYHMCE08, author = {Woo{-}Cheol Kwon and Sungjoo Yoo and Sung{-}Min Hong and Byeong Min and Kyu{-}Myung Choi and Soo{-}Kwan Eo}, editor = {Limor Fix}, title = {A practical approach of memory access parallelization to exploit multiple off-chip {DDR} memories}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {447--452}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391585}, doi = {10.1145/1391469.1391585}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KwonYHMCE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LaiWGLD08, author = {Ming{-}che Lai and Zhiying Wang and Lei Gao and Hongyi Lu and Kui Dai}, editor = {Limor Fix}, title = {A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {630--633}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391630}, doi = {10.1145/1391469.1391630}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LaiWGLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Larson08, author = {Kelly D. Larson}, editor = {Limor Fix}, title = {Translation of an existing VMM-based SystemVerilog testbench to {OVM}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {237}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391529}, doi = {10.1145/1391469.1391529}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Larson08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeJCHKKK08, author = {Joon Goo Lee and Dongha Jung and Jiho Chu and Seokjoong Hwang and Jong{-}Kook Kim and Janam Ku and Seon Wook Kim}, editor = {Limor Fix}, title = {Applying passive {RFID} system to wireless headphones for extreme low power consumption}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {486--491}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391594}, doi = {10.1145/1391469.1391594}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeJCHKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeJH08, author = {Ruei{-}Rung Lee and Jie{-}Hong Roland Jiang and Wei{-}Lun Hung}, editor = {Limor Fix}, title = {Bi-decomposing large Boolean functions via interpolation and satisfiability solving}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {636--641}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391634}, doi = {10.1145/1391469.1391634}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeJH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiASR08, author = {Jing Li and Charles Augustine and Sayeef S. Salahuddin and Kaushik Roy}, editor = {Limor Fix}, title = {Modeling of failure probability and statistical design of spin-torque transfer magnetic random access memory {(STT} {MRAM)} array for yield enhancement}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {278--283}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391540}, doi = {10.1145/1391469.1391540}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiASR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiBNPC08, author = {Min Li and Bruno Bougard and David Novo and Liesbet Van der Perre and Francky Catthoor}, editor = {Limor Fix}, title = {How to let instruction set processor beat {ASIC} for low power wireless baseband implementation: a system level approach}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {345--346}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391559}, doi = {10.1145/1391469.1391559}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiBNPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiL08, author = {Xin Li and Hongzhou Liu}, editor = {Limor Fix}, title = {Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {38--43}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391482}, doi = {10.1145/1391469.1391482}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiZY08, author = {Tao Li and Wenjun Zhang and Zhiping Yu}, editor = {Limor Fix}, title = {Full-chip leakage analysis in nano-scale technologies: mechanisms, variation sources, and verification}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {594--599}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391622}, doi = {10.1145/1391469.1391622}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiZY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiangM08, author = {Yun Liang and Tulika Mitra}, editor = {Limor Fix}, title = {Cache modeling in probabilistic execution time analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {319--324}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391551}, doi = {10.1145/1391469.1391551}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiangM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinL08, author = {Mark Po{-}Hung Lin and Shyh{-}Chang Lin}, editor = {Limor Fix}, title = {Analog placement based on hierarchical module clustering}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {50--55}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391484}, doi = {10.1145/1391469.1391484}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinLLKWTCC08, author = {Yu{-}Kun Lin and De{-}Wei Li and Chia{-}Chun Lin and Tzu{-}Yun Kuo and Sian{-}Jin Wu and Wei{-}Cheng Tai and Wei{-}Cheng Chang and Tian{-}Sheuan Chang}, editor = {Limor Fix}, title = {A 242mW, 10mm\({}^{\mbox{2}}\)1080p {H.264/AVC} high profile encoder chip}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {78--83}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391493}, doi = {10.1145/1391469.1391493}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinLLKWTCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinSH08, author = {Yi{-}Ting Lin and Wen{-}Chi Shiue and Ing{-}Jer Huang}, editor = {Limor Fix}, title = {A multi-resolution {AHB} bus tracer for real-time compression of forward/backward traces in a circular buffer}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {862--865}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391687}, doi = {10.1145/1391469.1391687}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuCJHZDH08, author = {Shenghua Liu and Guoqiang Chen and Tom Tong Jing and Lei He and Tianpei Zhang and Robi Dutta and Xianlong Hong}, editor = {Limor Fix}, title = {Topological routing to maximize routability for package substrate}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {566--569}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391612}, doi = {10.1145/1391469.1391612}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuCJHZDH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuMZM08, author = {Song Liu and Seda Ogrenci Memik and Yu Zhang and Gokhan Memik}, editor = {Limor Fix}, title = {A power and temperature aware {DRAM} architecture}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {878--883}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391691}, doi = {10.1145/1391469.1391691}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuMZM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuTCC08, author = {Jui{-}Hsiang Liu and Ming{-}Feng Tsai and Lumdo Chen and Charlie Chung{-}Ping Chen}, editor = {Limor Fix}, title = {Accurate and analytical statistical spatial correlation modeling for {VLSI} {DFM} applications}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {694--697}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391648}, doi = {10.1145/1391469.1391648}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuTCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LongM08, author = {Jieyi Long and Seda Ogrenci Memik}, editor = {Limor Fix}, title = {Automated design of self-adjusting pipelines}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {211--216}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391523}, doi = {10.1145/1391469.1391523}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LongM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuSHWX08, author = {Ya{-}Shuai L{\"{u}} and Li Shen and Libo Huang and Zhiying Wang and Nong Xiao}, editor = {Limor Fix}, title = {Customizing computation accelerators for extensible multi-issue processors with effective optimization techniques}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {197--200}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391519}, doi = {10.1145/1391469.1391519}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LuSHWX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LukasiewyczGHTRL08, author = {Martin Lukasiewycz and Michael Gla{\ss} and Christian Haubelt and J{\"{u}}rgen Teich and Richard Regler and Bardo Lang}, editor = {Limor Fix}, title = {Concurrent topology and routing optimization in automotive network integration}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {626--629}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391629}, doi = {10.1145/1391469.1391629}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LukasiewyczGHTRL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaestroR08, author = {Juan Antonio Maestro and Pedro Reviriego}, editor = {Limor Fix}, title = {Study of the effects of MBUs on the reliability of a 150 nm {SRAM} device}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {930--935}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391704}, doi = {10.1145/1391469.1391704}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MaestroR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MandalBMM08, author = {Suman Kalyan Mandal and Praveen Bhojwani and Saraju P. Mohanty and Rabi N. Mahapatra}, editor = {Limor Fix}, title = {IntellBatt: towards smarter battery design}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {872--877}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391690}, doi = {10.1145/1391469.1391690}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MandalBMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MattsonW08, author = {Tim Mattson and Michael Wrinn}, editor = {Limor Fix}, title = {Parallel programming: can we {PLEASE} get it right this time?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {7--11}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391474}, doi = {10.1145/1391469.1391474}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MattsonW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MenezesKA08, author = {Noel Menezes and Chandramouli V. Kashyap and Chirayu S. Amin}, editor = {Limor Fix}, title = {A "true" electrical cell model for timing, noise, and power grid verification}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {462--467}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391589}, doi = {10.1145/1391469.1391589}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MenezesKA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MilderFHP08, author = {Peter A. Milder and Franz Franchetti and James C. Hoe and Markus P{\"{u}}schel}, editor = {Limor Fix}, title = {Formal datapath representation and manipulation for implementing {DSP} transforms}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {385--390}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391572}, doi = {10.1145/1391469.1391572}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MilderFHP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Mitra08, author = {Raj S. Mitra}, editor = {Limor Fix}, title = {Strategies for mainstream usage of formal verification}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {800--805}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391674}, doi = {10.1145/1391469.1391674}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Mitra08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoffittPLA08, author = {Michael D. Moffitt and David A. Papa and Zhuo Li and Charles J. Alpert}, editor = {Limor Fix}, title = {Path smoothing via discrete optimization}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {724--727}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391655}, doi = {10.1145/1391469.1391655}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MoffittPLA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MohalikRDRSPJ08, author = {Swarup Mohalik and A. C. Rajeev and Manoj G. Dixit and S. Ramesh and P. Vijay Suman and Paritosh K. Pandya and Shengbing Jiang}, editor = {Limor Fix}, title = {Model checking based analysis of end-to-end latency in embedded, real-time systems with clock drifts}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {296--299}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391544}, doi = {10.1145/1391469.1391544}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MohalikRDRSPJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Moon08, author = {In{-}Ho Moon}, editor = {Limor Fix}, title = {Compositional verification of retiming and sequential optimizations}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {131--136}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391506}, doi = {10.1145/1391469.1391506}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Moon08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoselhyD08, author = {Tarek Moselhy and Luca Daniel}, editor = {Limor Fix}, title = {Stochastic integral equation solver for efficient variation-aware interconnect extraction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {415--420}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391578}, doi = {10.1145/1391469.1391578}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MoselhyD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoussaBJ08, author = {Hazem Moussa and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, editor = {Limor Fix}, title = {Binary de Bruijn on-chip network for a flexible multiprocessor {LDPC} decoder}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {429--434}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391582}, doi = {10.1145/1391469.1391582}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MoussaBJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NarasimhanPB08, author = {Seetharam Narasimhan and Somnath Paul and Swarup Bhunia}, editor = {Limor Fix}, title = {Collective computing based on swarm intelligence}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {349--350}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391561}, doi = {10.1145/1391469.1391561}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NarasimhanPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Ng08, author = {Kelvin Ng}, editor = {Limor Fix}, title = {Challenges in using system-level models for {RTL} verification}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {812--815}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391676}, doi = {10.1145/1391469.1391676}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Ng08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NiM08, author = {Min Ni and Seda Ogrenci Memik}, editor = {Limor Fix}, title = {Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {610--613}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391625}, doi = {10.1145/1391469.1391625}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NiM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NieuwoudtKM08, author = {Arthur Nieuwoudt and Jamil Kawa and Yehia Massoud}, editor = {Limor Fix}, title = {Automated design of tunable impedance matching networks for reconfigurable wireless applications}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {498--503}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391596}, doi = {10.1145/1391469.1391596}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NieuwoudtKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NikolovTSPPBZD08, author = {Hristo Nikolov and Mark Thompson and Todor P. Stefanov and Andy D. Pimentel and Simon Polstra and Raj Bose and Claudiu Zissulescu and Ed F. Deprettere}, editor = {Limor Fix}, title = {Daedalus: toward composable multimedia MP-SoC design}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {574--579}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391615}, doi = {10.1145/1391469.1391615}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/NikolovTSPPBZD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NowakCCR08, author = {Matt Nowak and Jose Corleto and Christopher Chun and Riko Radojcic}, editor = {Limor Fix}, title = {Holistic pathfinding: virtual wireless chip design for advanced technology and design exploration}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {593}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391620}, doi = {10.1145/1391469.1391620}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NowakCCR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OgrasMM08, author = {{\"{U}}mit Y. Ogras and Radu Marculescu and Diana Marculescu}, editor = {Limor Fix}, title = {Variation-adaptive feedback control for networks-on-chip with multiple clock domains}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {614--619}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391627}, doi = {10.1145/1391469.1391627}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OgrasMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OzisikyilmazMC08, author = {Berkin {\"{O}}zisikyilmaz and Gokhan Memik and Alok N. Choudhary}, editor = {Limor Fix}, title = {Efficient system design space exploration using machine learning techniques}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {966--969}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391712}, doi = {10.1145/1391469.1391712}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OzisikyilmazMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PaikS08, author = {Seungwhun Paik and Youngsoo Shin}, editor = {Limor Fix}, title = {Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {600--605}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391623}, doi = {10.1145/1391469.1391623}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PaikS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PangR08, author = {Yu Pang and Katarzyna Radecka}, editor = {Limor Fix}, title = {Optimizing imprecise fixed-point arithmetic circuits specified by Taylor Series through arithmetic transform}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {397--402}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391574}, doi = {10.1145/1391469.1391574}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PangR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkM08, author = {Sung{-}Boem Park and Subhasish Mitra}, editor = {Limor Fix}, title = {{IFRA:} instruction footprint recording and analysis for post-silicon bug localization in processors}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {373--378}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391569}, doi = {10.1145/1391469.1391569}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ParkM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PatelP08, author = {Krutartha Patel and Sri Parameswaran}, editor = {Limor Fix}, title = {{SHIELD:} a software hardware design methodology for security and reliability of MPSoCs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {858--861}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391686}, doi = {10.1145/1391469.1391686}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PatelP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PaulB08, author = {Somnath Paul and Swarup Bhunia}, editor = {Limor Fix}, title = {Reconfigurable computing using content addressable memory for improved performance and resource usage}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {786--791}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391670}, doi = {10.1145/1391469.1391670}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PaulB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PinckneyBDMJNHSP08, author = {Nathaniel Ross Pinckney and Thomas Barr and Michael Dayringer and Matthew McKnett and Nan Jiang and Carl Nygaard and David Money Harris and Joel Stanley and Braden Phillips}, editor = {Limor Fix}, title = {A {MIPS} {R2000} implementation}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {102--107}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391497}, doi = {10.1145/1391469.1391497}, timestamp = {Sun, 27 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PinckneyBDMJNHSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PiyachonL08, author = {Piti Piyachon and Yan Luo}, editor = {Limor Fix}, title = {Design of high performance pattern matching engine through compact deterministic finite automata}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {852--857}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391685}, doi = {10.1145/1391469.1391685}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PiyachonL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PotkonjakK08, author = {Miodrag Potkonjak and Farinaz Koushanfar}, editor = {Limor Fix}, title = {(Bio)-behavioral {CAD}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {351--352}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391562}, doi = {10.1145/1391469.1391562}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PotkonjakK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PuriJBGLM08, author = {Ruchir Puri and William H. Joyner and Shekhar Borkar and Ty Garibay and Jonathan Lotz and Robert K. Montoye}, editor = {Limor Fix}, title = {Custom is from Venus and synthesis from Mars}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {992}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391719}, doi = {10.1145/1391469.1391719}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PuriJBGLM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PuriVEWFYK08, author = {Ruchir Puri and Devadas Varma and Darvin Edwards and Alan J. Weger and Paul D. Franzon and Andrew Yang and Stephen V. Kosonocky}, editor = {Limor Fix}, title = {Keeping hot chips cool: are {IC} thermal problems hot air?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {634--635}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391632}, doi = {10.1145/1391469.1391632}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PuriVEWFYK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/QianR08, author = {Weikang Qian and Marc D. Riedel}, editor = {Limor Fix}, title = {The synthesis of robust polynomial arithmetic with stochastic logic}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {648--653}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391636}, doi = {10.1145/1391469.1391636}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/QianR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RajaVBG08, author = {S. Raja and F. Varadi and Murat R. Becer and Joao Geada}, editor = {Limor Fix}, title = {Transistor level gate modeling for accurate and fast timing, noise, and power analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {456--461}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391588}, doi = {10.1145/1391469.1391588}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RajaVBG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RajaramP08, author = {Anand Rajaram and David Z. Pan}, editor = {Limor Fix}, title = {Robust chip-level clock tree synthesis for {SOC} designs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {720--723}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391654}, doi = {10.1145/1391469.1391654}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RajaramP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ReddyPL08, author = {Sudhakar M. Reddy and Irith Pomeranz and Chen Liu}, editor = {Limor Fix}, title = {On tests to detect via opens in digital {CMOS} circuits}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {840--845}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391682}, doi = {10.1145/1391469.1391682}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ReddyPL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ReshadiGG08, author = {Mehrdad Reshadi and Bita Gorjiara and Daniel Gajski}, editor = {Limor Fix}, title = {C-based design flow: a case study on {G.729A} for voice over internet protocol (VoIP)}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {72--75}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391489}, doi = {10.1145/1391469.1391489}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ReshadiGG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ReyKRCVKT08, author = {Juan C. Rey and Andreas Kuehlmann and Jan M. Rabaey and Cormac Conroy and Ted Vucurevich and Ikuya Kawasaki and Tuna B. Tarim}, editor = {Limor Fix}, title = {Next generation wireless-multimedia devices: who is up for the challenge?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {353--354}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391564}, doi = {10.1145/1391469.1391564}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ReyKRCVKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ReyNKKAHCS08, author = {Juan C. Rey and N. S. Nagaraj and Andrew B. Kahng and Fabian Klass and Rob Aitken and Cliff Hou and Luigi Capodieci and Vivek Singh}, editor = {Limor Fix}, title = {{DFM} in practice: hit or hype?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {898--899}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391696}, doi = {10.1145/1391469.1391696}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ReyNKKAHCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoyKM08, author = {Jarrod A. Roy and Farinaz Koushanfar and Igor L. Markov}, editor = {Limor Fix}, title = {Protecting bus-based hardware {IP} by secret sharing}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {846--851}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391684}, doi = {10.1145/1391469.1391684}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RoyKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SapatnekarHKDKMPS08, author = {Sachin S. Sapatnekar and Eshel Haritan and Kurt Keutzer and Anirudh Devgan and Desmond Kirkpatrick and Stephen Meier and Duaine Pryor and Tom Spyrou}, editor = {Limor Fix}, title = {Reinventing {EDA} with manycore processors}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {126--127}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391502}, doi = {10.1145/1391469.1391502}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SapatnekarHKDKMPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SauerGL08, author = {Christian Sauer and Matthias Gries and Hans{-}Peter L{\"{o}}b}, editor = {Limor Fix}, title = {SystemClick: a domain-specific framework for early exploration using functional performance models}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {480--485}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391593}, doi = {10.1145/1391469.1391593}, timestamp = {Thu, 19 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SauerGL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SavolainenR08, author = {Risto Savolainen and Tero Rissa}, editor = {Limor Fix}, title = {Standard interfaces in mobile terminals: increasing the efficiency of device design and accelerating innovation}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {592}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391619}, doi = {10.1145/1391469.1391619}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SavolainenR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SchnerrBVR08, author = {J{\"{u}}rgen Schnerr and Oliver Bringmann and Alexander Viehl and Wolfgang Rosenstiel}, editor = {Limor Fix}, title = {High-performance timing simulation of embedded software}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {290--295}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391543}, doi = {10.1145/1391469.1391543}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SchnerrBVR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SenNSC08, author = {Shreyas Sen and Vishwanath Natarajan and Rajarajan Senguttuvan and Abhijit Chatterjee}, editor = {Limor Fix}, title = {Pro-VIZOR: process tunable virtually zero margin low power adaptive {RF} for wireless systems}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {492--497}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391595}, doi = {10.1145/1391469.1391595}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SenNSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SenOA08, author = {Alper Sen and Vinit Ogale and Magdy S. Abadir}, editor = {Limor Fix}, title = {Predictive runtime verification of multi-processor SoCs in SystemC}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {948--953}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391708}, doi = {10.1145/1391469.1391708}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SenOA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SenguptaS08, author = {Dipanjan Sengupta and Resve A. Saleh}, editor = {Limor Fix}, title = {Application-driven floorplan-aware voltage island design}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {155--160}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391511}, doi = {10.1145/1391469.1391511}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SenguptaS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SparksWBLCPHR08, author = {Tiffany Sparks and Pete Weitzner and Luc Burgun and Russell Lefevre and Todd Cutler and Clayton Parker and Vicki Hadfield and Chris Rowen}, editor = {Limor Fix}, title = {Election year: what the electronics industry needs---and can expect---from the incoming administration}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {76--77}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391491}, doi = {10.1145/1391469.1391491}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SparksWBLCPHR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SridharanGM08, author = {Ranjani Sridharan and Nikhil Gupta and Rabi N. Mahapatra}, editor = {Limor Fix}, title = {Feedback-controlled reliability-aware power management for real-time embedded systems}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {185--190}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391517}, doi = {10.1145/1391469.1391517}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SridharanGM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuhendraM08, author = {Vivy Suhendra and Tulika Mitra}, editor = {Limor Fix}, title = {Exploring locking {\&} partitioning for predictable shared caches on multi-cores}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {300--303}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391545}, doi = {10.1145/1391469.1391545}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SuhendraM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TamPB08, author = {Wing Chiu Tam and Osei Poku and R. D. (Shawn) Blanton}, editor = {Limor Fix}, title = {Precise failure localization using automated layout analysis of diagnosis candidates}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {367--372}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391568}, doi = {10.1145/1391469.1391568}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TamPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TarjanBS08, author = {David Tarjan and Michael Boyer and Kevin Skadron}, editor = {Limor Fix}, title = {Federation: repurposing scalar cores for out-of-order instruction issue}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {772--775}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391666}, doi = {10.1145/1391469.1391666}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TarjanBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TehHT08, author = {Siew{-}Hong Teh and Chun{-}Huat Heng and Arthur Tay}, editor = {Limor Fix}, title = {Design-process integration for performance-based {OPC} framework}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {522--527}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391601}, doi = {10.1145/1391469.1391601}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TehHT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Trihy08, author = {Richard Trihy}, editor = {Limor Fix}, title = {Addressing library creation challenges from recent Liberty extensions}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {474--479}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391591}, doi = {10.1145/1391469.1391591}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Trihy08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TurumellaS08, author = {Babu Turumella and Mukesh Sharma}, editor = {Limor Fix}, title = {Assertion-based verification of a 32 thread SPARC\({}^{\mbox{TM}}\) {CMT} microprocessor}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {256--261}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391535}, doi = {10.1145/1391469.1391535}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TurumellaS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UrardMGC08, author = {Pascal Urard and Asma Maalej and Roberto Guizzetti and Nitin Chawla}, editor = {Limor Fix}, title = {Leveraging sequential equivalence checking to enable system-level to {RTL} flows}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {816--821}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391677}, doi = {10.1145/1391469.1391677}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/UrardMGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VeetilSB08, author = {Vineeth Veetil and Dennis Sylvester and David T. Blaauw}, editor = {Limor Fix}, title = {Efficient Monte Carlo based incremental statistical timing analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {676--681}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391645}, doi = {10.1145/1391469.1391645}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VeetilSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Vucurevich08, author = {Ted Vucurevich}, editor = {Limor Fix}, title = {3-D semiconductor's: more from Moore}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {664}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391640}, doi = {10.1145/1391469.1391640}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Vucurevich08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangLZTYTCN08, author = {Yi Wang and Wai{-}Shing Luk and Xuan Zeng and Jun Tao and Changhao Yan and Jiarong Tong and Wei Cai and Jia Ni}, editor = {Limor Fix}, title = {Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {223--226}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391525}, doi = {10.1145/1391469.1391525}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangLZTYTCN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangZ08, author = {Jia Wang and Hai Zhou}, editor = {Limor Fix}, title = {An efficient incremental algorithm for min-area retiming}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {528--533}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391603}, doi = {10.1145/1391469.1391603}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XuC08, author = {Tao Xu and Krishnendu Chakrabarty}, editor = {Limor Fix}, title = {Broadcast electrode-addressing for pin-constrained multi-functional digital microfluidic biochips}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {173--178}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391514}, doi = {10.1145/1391469.1391514}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XuC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YagiRKHTMSDM08, author = {Hiroyuki Yagi and Wolfgang Roesner and Tim Kogel and Eshel Haritan and Hidekazu Tangi and Michael McNamara and Gary Smith and Nikil D. Dutt and Giovanni Mancini}, editor = {Limor Fix}, title = {{ESL} hand-off: fact or {EDA} fiction?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {310--312}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391548}, doi = {10.1145/1391469.1391548}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YagiRKHTMSDM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YanC08, author = {Jackey Z. Yan and Chris Chu}, editor = {Limor Fix}, title = {DeFer: deferred decision making enabled fixed-outline floorplanner}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {161--166}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391512}, doi = {10.1145/1391469.1391512}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YanC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YanZTCM08, author = {Boyuan Yan and Lingfei Zhou and Sheldon X.{-}D. Tan and Jie Chen and Bruce McGaughy}, editor = {Limor Fix}, title = {DeMOR: decentralized model order reduction of linear networks with massive ports}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {409--414}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391577}, doi = {10.1145/1391469.1391577}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YanZTCM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YeLNC08, author = {Yun Ye and Frank Liu and Sani R. Nassif and Yu Cao}, editor = {Limor Fix}, title = {Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {900--905}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391698}, doi = {10.1145/1391469.1391698}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YeLNC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YeZP08, author = {Zuochang Ye and Zhenhai Zhu and Joel R. Phillips}, editor = {Limor Fix}, title = {Generalized Krylov recycling methods for solution of multiple related linear equation systems in electromagnetic analysis}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {682--687}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391646}, doi = {10.1145/1391469.1391646}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YeZP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YeoLK08, author = {Inchoon Yeo and Chih Chun Liu and Eun Jung Kim}, editor = {Limor Fix}, title = {Predictive dynamic thermal management for multicore systems}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {734--739}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391658}, doi = {10.1145/1391469.1391658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YeoLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuB08, author = {Xiaochun Yu and R. D. (Shawn) Blanton}, editor = {Limor Fix}, title = {Multiple defect diagnosis using no assumptions on failing pattern characteristics}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {361--366}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391567}, doi = {10.1145/1391469.1391567}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuP08, author = {Chenjie Yu and Peter Petrov}, editor = {Limor Fix}, title = {Latency and bandwidth efficient communication through system customization for embedded multiprocessors}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {766--771}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391665}, doi = {10.1145/1391469.1391665}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuhSYC08, author = {Ping{-}Hung Yuh and Sachin S. Sapatnekar and Chia{-}Lin Yang and Yao{-}Wen Chang}, editor = {Limor Fix}, title = {A progressive-ILP based routing algorithm for cross-referencing biochips}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {284--289}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391541}, doi = {10.1145/1391469.1391541}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuhSYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangGT08, author = {Zhen Zhang and Alain Greiner and Sami Taktak}, editor = {Limor Fix}, title = {A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {441--446}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391584}, doi = {10.1145/1391469.1391584}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangGT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangYZDKDKC08, author = {Ling Zhang and Wenjian Yu and Haikun Zhu and Alina Deutsch and George A. Katopis and Daniel M. Dreps and Ernest S. Kuh and Chung{-}Kuan Cheng}, editor = {Limor Fix}, title = {Low power passive equalizer optimization using tritonic step response}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {570--573}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391613}, doi = {10.1145/1391469.1391613}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangYZDKDKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouYP08, author = {Xiangrong Zhou and Chenjie Yu and Peter Petrov}, editor = {Limor Fix}, title = {Compiler-driven register re-assignment for register file power-density and temperature reduction}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {750--753}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391661}, doi = {10.1145/1391469.1391661}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhouYP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2008, editor = {Limor Fix}, title = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, publisher = {{ACM}}, year = {2008}, url = {http://dl.acm.org/citation.cfm?id=1391469}, isbn = {978-1-60558-115-6}, timestamp = {Wed, 30 Nov 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.