Search dblp for Publications

export results for "toc:db/conf/ats/ats2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/ats/0001BFS19,
  author       = {Binod Kumar and
                  Atul Kumar Bhosale and
                  Masahiro Fujita and
                  Virendra Singh},
  title        = {Validating Multi-Processor Cache Coherence Mechanisms under Diminished
                  Observability},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {99--104},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00019},
  doi          = {10.1109/ATS47505.2019.00019},
  timestamp    = {Tue, 14 Jan 2020 13:20:27 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/0001BFS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/AraujoPMFK19,
  author       = {Leandro Santiago de Ara{\'{u}}jo and
                  Vinay C. Patil and
                  Leandro Augusto Justen Marzulo and
                  Felipe Maia Galv{\~{a}}o Fran{\c{c}}a and
                  Sandip Kundu},
  title        = {Efficient Testing of Physically Unclonable Functions for Uniqueness},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {117--122},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00022},
  doi          = {10.1109/ATS47505.2019.00022},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/AraujoPMFK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChakrabortyAM19,
  author       = {Anirban Chakraborty and
                  Manaar Alam and
                  Debdeep Mukhopadhyay},
  title        = {Deep Learning Based Diagnostics for Rowhammer Protection of {DRAM}
                  Chips},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {86--91},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00016},
  doi          = {10.1109/ATS47505.2019.00016},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/ChakrabortyAM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChattopadhyayKR19,
  author       = {Saranyu Chattopadhyay and
                  Preeti Kumari and
                  Biswajit Ray and
                  Rajat Subhra Chakraborty},
  title        = {Machine Learning Assisted Accurate Estimation of Usage Duration and
                  Manufacturer for Recycled and Counterfeit Flash Memory Detection},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {49--54},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-1},
  doi          = {10.1109/ATS47505.2019.000-1},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/ChattopadhyayKR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChenH19,
  author       = {Ching{-}Yuan Chen and
                  Jiun{-}Lang Huang},
  title        = {Reinforcement-Learning-Based Test Program Generation for Software-Based
                  Self-Test},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {73--78},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00013},
  doi          = {10.1109/ATS47505.2019.00013},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChenH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChooOIIMDKH19,
  author       = {Hau Sim Choo and
                  Chia Yee Ooi and
                  Michiko Inoue and
                  Nordinah Ismail and
                  Mehrdad Moghbel and
                  Sreedharan Baskara Dass and
                  Chee Hoo Kok and
                  Fawnizu Azmadi Hussin},
  title        = {Machine-Learning-Based Multiple Abstraction-Level Detection of Hardware
                  Trojan Inserted at Register-Transfer Level},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {98},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00018},
  doi          = {10.1109/ATS47505.2019.00018},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/ChooOIIMDKH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChoudhuryMS19,
  author       = {Avishek Choudhury and
                  Brototi Mondal and
                  Biplab K. Sikdar},
  title        = {Latency Aware Fault Tolerant Cache in Multicore Using Dynamic Remapping
                  Clusters},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {79},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00014},
  doi          = {10.1109/ATS47505.2019.00014},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChoudhuryMS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DebD19,
  author       = {Arighna Deb and
                  Debesh K. Das},
  title        = {Detailed Fault Model for Physical Quantum Circuits},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {153--158},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00028},
  doi          = {10.1109/ATS47505.2019.00028},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/DebD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DrechlserG19,
  author       = {Rolf Drechsler and
                  Daniel Gro{\ss}e},
  title        = {Ensuring Correctness of Next Generation Devices: From Reconfigurable
                  to Self-Learning Systems},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {159--164},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00029},
  doi          = {10.1109/ATS47505.2019.00029},
  timestamp    = {Thu, 25 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/DrechlserG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GhoshMCRG19,
  author       = {Sourav Ghosh and
                  Dolan Maity and
                  Arijit Chowdhury and
                  Surajit Kumar Roy and
                  Chandan Giri},
  title        = {Iterative Parallel Test to Detect and Diagnose Multiple Defects for
                  Digital Microfluidic Biochip},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {147--152},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00027},
  doi          = {10.1109/ATS47505.2019.00027},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GhoshMCRG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HiramotoOT19,
  author       = {Yushiro Hiramoto and
                  Satoshi Ohtake and
                  Hiroshi Takahashi},
  title        = {A Built-In Self-Diagnostic Mechanism for Delay Faults Based on Self-Generation
                  of Expected Signatures},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {31--36},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-4},
  doi          = {10.1109/ATS47505.2019.000-4},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HiramotoOT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Huang19,
  author       = {Tsung{-}Chu Huang},
  title        = {Self-Checking Residue Number System for Low-Power Reliable Neural
                  Network},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {37--42},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-3},
  doi          = {10.1109/ATS47505.2019.000-3},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/Huang19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KokOIMDCIH19,
  author       = {Chee Hoo Kok and
                  Chia Yee Ooi and
                  Michiko Inoue and
                  Mehrdad Moghbel and
                  Sreedharan Baskara Dass and
                  Hau Sim Choo and
                  Nordinah Ismail and
                  Fawnizu Azmadi Hussin},
  title        = {Net Classification Based on Testability and Netlist Structural Features
                  for Hardware Trojan Detection},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {105--110},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00020},
  doi          = {10.1109/ATS47505.2019.00020},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/KokOIMDCIH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KumarDKKCM19,
  author       = {Vinay B. Y. Kumar and
                  Suman Deb and
                  Rupesh Kumar and
                  Mustafa Khairallah and
                  Anupam Chattopadhyay and
                  Avi Mendelson},
  title        = {Recruiting Fault Tolerance Techniques for Microprocessor Security},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {80--85},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00015},
  doi          = {10.1109/ATS47505.2019.00015},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KumarDKKCM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/LuSSL019,
  author       = {Renjie Lu and
                  Haihua Shen and
                  Yu Su and
                  Huawei Li and
                  Xiaowei Li},
  title        = {GramsDet: Hardware Trojan Detection Based on Recurrent Neural Network},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {111--116},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00021},
  doi          = {10.1109/ATS47505.2019.00021},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/LuSSL019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MillicanSRA19,
  author       = {Spencer K. Millican and
                  Yang Sun and
                  Soham Roy and
                  Vishwani D. Agrawal},
  title        = {Applying Neural Networks to Delay Fault Testing: Test Point Insertion
                  and Random Circuit Training},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-7},
  doi          = {10.1109/ATS47505.2019.000-7},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MillicanSRA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MomtazC19,
  author       = {Md Imran Momtaz and
                  Abhijit Chatterjee},
  title        = {Hierarchical State Space Checks for Errors in Sensors, Actuators and
                  Control of Nonlinear Systems: Diagnosis and Compensation},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {141--146},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00026},
  doi          = {10.1109/ATS47505.2019.00026},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MomtazC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MondalCPSB19,
  author       = {Manobendra Nath Mondal and
                  Animesh Basak Chowdhury and
                  Manjari Pradhan and
                  Susmita Sur{-}Kolay and
                  Bhargab B. Bhattacharya},
  title        = {Fault Coverage of a Test Set on Structure-Preserving Siblings of a
                  Circuit-Under-Test},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {25--30},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-5},
  doi          = {10.1109/ATS47505.2019.000-5},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MondalCPSB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MuduliS19,
  author       = {Sujit Kumar Muduli and
                  Pramod Subramanyan},
  title        = {Towards Verifiably Secure Systems-on-Chip Platforms},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {92--97},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00017},
  doi          = {10.1109/ATS47505.2019.00017},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MuduliS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/OzenO19,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Sanity-Check: Boosting the Reliability of Safety-Critical Deep Neural
                  Network Applications},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-8},
  doi          = {10.1109/ATS47505.2019.000-8},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/OzenO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/RohanBK19,
  author       = {Aditya Rohan and
                  Kanad Basu and
                  Ramesh Karri},
  title        = {Can Monitoring System State + Counting Custom Instruction Sequences
                  Aid Malware Detection?},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {61--66},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00007},
  doi          = {10.1109/ATS47505.2019.00007},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/RohanBK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/S0SJBS19,
  author       = {Vineesh V. S. and
                  Binod Kumar and
                  Rushikesh Shinde and
                  Akshay Jaiswal and
                  Harsh Bhargava and
                  Virendra Singh},
  title        = {Orion: {A} Technique to Prune State Space Search Directions for Guidance-Based
                  Formal Verification},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {123--128},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00023},
  doi          = {10.1109/ATS47505.2019.00023},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/S0SJBS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SanyalPDB19,
  author       = {Sayandeep Sanyal and
                  Amit Patra and
                  Pallab Dasgupta and
                  Mayukh Bhattacharya},
  title        = {A Structured Approach for Rapid Identification of Fault-Sensitive
                  Nets in Analog Circuits},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {135--140},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00025},
  doi          = {10.1109/ATS47505.2019.00025},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SanyalPDB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SilvaBH019,
  author       = {Felipe Augusto da Silva and
                  Ahmet Cagri Bagbaba and
                  Said Hamdioui and
                  Christian Sauer},
  title        = {Combining Fault Analysis Technologies for {ISO26262} Functional Safety
                  Verification},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {129--134},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00024},
  doi          = {10.1109/ATS47505.2019.00024},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/SilvaBH019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/WangWTCLKP19,
  author       = {Naixing Wang and
                  Chen Wang and
                  Kun{-}Han Tsai and
                  Wu{-}Tung Cheng and
                  Xijiang Lin and
                  Mark Kassab and
                  Irith Pomeranz},
  title        = {{TEA:} {A} Test Generation Algorithm for Designs with Timing Exceptions},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {19--24},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-6},
  doi          = {10.1109/ATS47505.2019.000-6},
  timestamp    = {Wed, 22 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/WangWTCLKP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/Wu0LCVRHY19,
  author       = {Cheng{-}Hung Wu and
                  Yu Huang and
                  Kuen{-}Jong Lee and
                  Wu{-}Tung Cheng and
                  Gaurav Veda and
                  Sudhakar M. Reddy and
                  Chun{-}Cheng Hu and
                  Chong{-}Siao Ye},
  title        = {Deep Learning Based Test Compression Analyzer},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-9},
  doi          = {10.1109/ATS47505.2019.000-9},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/Wu0LCVRHY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/YanWLCSY0W19,
  author       = {Aibin Yan and
                  Zhen Wu and
                  Lu Lu and
                  Zhili Chen and
                  Jie Song and
                  Zuobin Ying and
                  Patrick Girard and
                  Xiaoqing Wen},
  title        = {Novel Radiation Hardened Latch Design with Cost-Effectiveness for
                  Safety-Critical Terrestrial Applications},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-2},
  doi          = {10.1109/ATS47505.2019.000-2},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/YanWLCSY0W19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/YanWZHCYW019,
  author       = {Aibin Yan and
                  Zhen Wu and
                  Jun Zhou and
                  Yuanjie Hu and
                  Yan Chen and
                  Zuobin Ying and
                  Xiaoqing Wen and
                  Patrick Girard},
  title        = {Design of a Sextuple Cross-Coupled {SRAM} Cell with Optimized Access
                  Operations for Highly Reliable Terrestrial Applications},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {55--60},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00006},
  doi          = {10.1109/ATS47505.2019.00006},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/YanWZHCYW019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ats/2019,
  title        = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8942393/proceeding},
  isbn         = {978-1-7281-2695-1},
  timestamp    = {Tue, 14 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics