Search dblp for Publications

export results for "toc:db/conf/asscc/asscc2015.bht:"

 download as .bib file

@inproceedings{DBLP:conf/asscc/AgarwalIRPSAC15,
  author       = {Saurabh Agarwal and
                  Mark Ingels and
                  Michal Rakowski and
                  Marianna Pantouvaki and
                  Michiel Steyaert and
                  Philippe P. Absil and
                  Joris Van Campenhout},
  title        = {Wavelength locking of a Si ring modulator using an integrated drop-port
                  {OMA} monitoring circuit},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387457},
  doi          = {10.1109/ASSCC.2015.7387457},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/AgarwalIRPSAC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/AnMYCM15,
  author       = {Fengwei An and
                  Keisuke Mihara and
                  Shogo Yamazaki and
                  Lei Chen and
                  Hans J{\"{u}}rgen Mattausch},
  title        = {Word-parallel associative memory for k-nearest-neighbor with configurable
                  storage space of reference vectors},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387456},
  doi          = {10.1109/ASSCC.2015.7387456},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/AnMYCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/AngevarePSSM15,
  author       = {Jan A. Angevare and
                  Lorenzo Pedala and
                  Ugur Sonmez and
                  Fabio Sebastiano and
                  Kofi A. A. Makinwa},
  title        = {A 2800-{\(\mu\)}m2 thermal-diffusivity temperature sensor with VCO-based
                  readout in 160-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387444},
  doi          = {10.1109/ASSCC.2015.7387444},
  timestamp    = {Fri, 11 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/AngevarePSSM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/BaeJPCJ15,
  author       = {Woo{-}Rham Bae and
                  Haram Ju and
                  Kwanseo Park and
                  Sung{-}Yong Cho and
                  Deog{-}Kyoon Jeong},
  title        = {A 7.6 mW, 214-fs {RMS} jitter 10-GHz phase-locked loop for 40-Gb/s
                  serial link transmitter based on two-stage ring oscillator in 65-nm
                  {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387448},
  doi          = {10.1109/ASSCC.2015.7387448},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/BaeJPCJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChaeHPKKSCJK15,
  author       = {Joo{-}Hyung Chae and
                  Gi{-}Moon Hong and
                  Jihwan Park and
                  Mino Kim and
                  Hyeongjun Ko and
                  Woo{-}Yeol Shin and
                  Hankyu Chi and
                  Deog{-}Kyoon Jeong and
                  Suhwan Kim},
  title        = {A 1.74mW/GHz 0.11-2.5GHz fast-locking, jitter-reducing, 180{\textdegree}
                  phase-shift digital {DLL} with a window phase detector for {LPDDR4}
                  memory controllers},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387434},
  doi          = {10.1109/ASSCC.2015.7387434},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChaeHPKKSCJK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChenPKCL15,
  author       = {Li{-}Yang Chen and
                  Pen{-}Jui Peng and
                  Chiro Kao and
                  Yu{-}Lun Chen and
                  Jri Lee},
  title        = {CW/FMCW/pulse radar engines for 24/26GHz multi-standard applications
                  in 65nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387439},
  doi          = {10.1109/ASSCC.2015.7387439},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChenPKCL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChenTCTL15,
  author       = {Huan{-}Sheng Chen and
                  Hung{-}Yu Tsai and
                  Li{-}Xuan Chuo and
                  Yu{-}Kai Tsai and
                  Liang{-}Hung Lu},
  title        = {A 5.2-GHz full-integrated {RF} front-end by {T/R} switch, LNA, and
                  {PA} co-design with 3.2-dB {NF} and +25.9-dBm output power},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387494},
  doi          = {10.1109/ASSCC.2015.7387494},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChenTCTL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChiangHL15,
  author       = {Chi{-}Huan Chiang and
                  Chang{-}Cheng Huang and
                  Shen{-}Iuan Liu},
  title        = {A digital bang-bang phase-locked loop with bandwidth calibration},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387450},
  doi          = {10.1109/ASSCC.2015.7387450},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChiangHL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Cho15,
  author       = {Sangyeun Cho},
  title        = {Fast memory and storage architectures for the big data era},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387515},
  doi          = {10.1109/ASSCC.2015.7387515},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Cho15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChoiPPPKKPK15,
  author       = {Sungwook Choi and
                  KyuTae Park and
                  Marco Passerini and
                  HeeJoung Park and
                  DoYoung Kim and
                  ChiHyun Kim and
                  Kunwoo Park and
                  Jinwoong Kim},
  title        = {A cell current compensation scheme for 3D {NAND} {FLASH} memory},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387432},
  doi          = {10.1109/ASSCC.2015.7387432},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChoiPPPKKPK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChuYHSLWCLLLT15,
  author       = {Li{-}Cheng Chu and
                  Te{-}Fu Yang and
                  Ru{-}Yu Huang and
                  Yi{-}Ping Su and
                  Chiun{-}He Lin and
                  Chin{-}Long Wey and
                  Ke{-}Horng Chen and
                  Ying{-}Hsi Lin and
                  Chao{-}Cheng Lee and
                  Jian{-}Ru Lin and
                  Tsung{-}Yen Tsai},
  title        = {200nA low quiescent current deep-standby mode in 28nm {DC-DC} buck
                  converter for active implantable medical devices},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387458},
  doi          = {10.1109/ASSCC.2015.7387458},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChuYHSLWCLLLT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/DuSTZKSX15,
  author       = {Y. J. Du and
                  W. Su and
                  S. Tolunay and
                  L. Zhang and
                  M. Kaynak and
                  R. Scholz and
                  Yong{-}Zhong Xiong},
  title        = {220GHz wide-band {MEMS} switch in standard BiCMOS technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387512},
  doi          = {10.1109/ASSCC.2015.7387512},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/DuSTZKSX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ErbagciLCALM15,
  author       = {Burak Erbagci and
                  Fangfei Liu and
                  Cagla Cakir and
                  Nail Etkin Can Akkaya and
                  Ruby B. Lee and
                  Ken Mai},
  title        = {A 32kB secure cache memory with dynamic replacement mapping in 65nm
                  bulk {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387501},
  doi          = {10.1109/ASSCC.2015.7387501},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ErbagciLCALM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/FanCC15,
  author       = {Tsun{-}Yuan Fan and
                  Tsung{-}Yi Chou and
                  Wen{-}Hua Chang},
  title        = {A 0.11mm{\unicode{8257}}2 150mW 10GBase-T transmitter in 28nm {CMOS}
                  process},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387467},
  doi          = {10.1109/ASSCC.2015.7387467},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/FanCC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Hidaka15,
  author       = {Hideto Hidaka},
  title        = {How future mobility meets {IT:} Cyber-physical system designs revisit
                  semiconductor technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387514},
  doi          = {10.1109/ASSCC.2015.7387514},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Hidaka15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HongPPY15,
  author       = {Injoon Hong and
                  Seongwook Park and
                  Junyoung Park and
                  Hoi{-}Jun Yoo},
  title        = {A 1.9nJ/pixel embedded deep neural network processor for high speed
                  visual attention in a mobile vision recognition SoC},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387453},
  doi          = {10.1109/ASSCC.2015.7387453},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/HongPPY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HsuL15,
  author       = {Chen{-}Kai Hsu and
                  Tai{-}Cheng Lee},
  title        = {A single-channel 10-b 400-MS/s 8.7-mW pipeline {ADC} in a 90-nm technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387465},
  doi          = {10.1109/ASSCC.2015.7387465},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HsuL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HuangDC15,
  author       = {Hai Huang and
                  Ling Du and
                  Yun Chiu},
  title        = {A 1.2-GS/s 8-bit two-step {SAR} {ADC} in 65-nm {CMOS} with passive
                  residue transfer},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387462},
  doi          = {10.1109/ASSCC.2015.7387462},
  timestamp    = {Mon, 18 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HuangDC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HuangLW15,
  author       = {Yi{-}Chieh Huang and
                  Che{-}Fu Liang and
                  Ping{-}Ying Wang},
  title        = {A 1V fractional-N {PLL} with nonlinearity-insensitive modulator},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387447},
  doi          = {10.1109/ASSCC.2015.7387447},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HuangLW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/IshiiHNTT15,
  author       = {Tomoya Ishii and
                  Shogo Hachiya and
                  Sheyang Ning and
                  Masahiro Tanaka and
                  Ken Takeuchi},
  title        = {0.6 {V} operation, 26{\%} smaller voltage ripple, 9{\%} energy efficient
                  boost converter with adaptively optimized comparator bias-current
                  for ReRAM program in low power IoT embedded applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387433},
  doi          = {10.1109/ASSCC.2015.7387433},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/IshiiHNTT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/JangLTH15,
  author       = {Sheng{-}Lyang Jang and
                  Wen{-}Cheng Lai and
                  Shune{-}Shing Tzeng and
                  Ching{-}Wen Hsue},
  title        = {A wide-band divide-by-3 injection-locked frequency divider using tunable
                  {MOS} resistor},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387497},
  doi          = {10.1109/ASSCC.2015.7387497},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/JangLTH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/JeongCKJKBCJJ15,
  author       = {Gyu{-}Seob Jeong and
                  Sang{-}Hyeok Chu and
                  Yoonsoo Kim and
                  Sungchun Jang and
                  Sungwoo Kim and
                  Woo{-}Rham Bae and
                  Sung{-}Yong Cho and
                  Haram Ju and
                  Deog{-}Kyoon Jeong},
  title        = {A 20 Gb/s 0.4 pJ/b energy-efficient transmitter driver architecture
                  utilizing constant Gm},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387466},
  doi          = {10.1109/ASSCC.2015.7387466},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/JeongCKJKBCJJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/JiaCKZWMW15,
  author       = {Haikun Jia and
                  Baoyong Chi and
                  Lixue Kuang and
                  Wei Zhu and
                  Zhiping Wang and
                  Feng Ma and
                  Zhihua Wang},
  title        = {A frequency doubling two-path phased-array {FMCW} radar transceiver
                  in 65nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387438},
  doi          = {10.1109/ASSCC.2015.7387438},
  timestamp    = {Wed, 10 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/JiaCKZWMW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/JiangM15,
  author       = {Junfeng Jiang and
                  Kofi A. A. Makinwa},
  title        = {A multi-path {CMOS} Hall sensor with integrated ripple reduction loops},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387504},
  doi          = {10.1109/ASSCC.2015.7387504},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/JiangM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimHKJCLPSR15,
  author       = {Hyeon{-}June Kim and
                  Sun{-}Il Hwang and
                  Ji{-}Wook Kwon and
                  Dong{-}Hwan Jin and
                  Byoung Soo Choi and
                  Sang{-}Gwon Lee and
                  Jong{-}Ho Park and
                  Jang{-}Kyoo Shin and
                  Seung{-}Tak Ryu},
  title        = {Delta readout scheme for image-dependent power savings in a {CMOS}
                  image sensor with multi-column-parallel {SAR} ADCs},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387503},
  doi          = {10.1109/ASSCC.2015.7387503},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KimHKJCLPSR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimJCK15,
  author       = {Sung{-}Yong Kim and
                  Xuefan Jin and
                  Jung{-}Hoon Chun and
                  Kee{-}Won Kwon},
  title        = {A digital {DLL} with 4-cycle lock time and 1/4 NAND-delay accuracy},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387472},
  doi          = {10.1109/ASSCC.2015.7387472},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KimJCK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimSRY15,
  author       = {Hyunki Kim and
                  Kiseok Song and
                  Taehwan Roh and
                  Hoi{-}Jun Yoo},
  title        = {A 95{\%} accurate EEG-connectome processor for a mental health monitoring
                  system},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387479},
  doi          = {10.1109/ASSCC.2015.7387479},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KimSRY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KuoH15,
  author       = {Chia{-}Chi Kuo and
                  Chih{-}Cheng Hsieh},
  title        = {A 132dB {DR} readout {IC} with pulse width modulation for {IR} focal
                  plane arrays},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387502},
  doi          = {10.1109/ASSCC.2015.7387502},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KuoH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KuoHWS15,
  author       = {Liang{-}Ting Kuo and
                  Chun{-}Chih Hou and
                  Meng{-}Hsuan Wu and
                  Yun{-}Shiang Shu},
  title        = {A 1V 9pA analog front end with compressed sensing for electrocardiogram
                  monitoring},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387484},
  doi          = {10.1109/ASSCC.2015.7387484},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KuoHWS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KwakN15,
  author       = {Jaehwa Kwak and
                  Borivoje Nikolic},
  title        = {A 550-2260MHz self-adjustable clock generator in 28nm {FDSOI}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387471},
  doi          = {10.1109/ASSCC.2015.7387471},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/KwakN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LaiSLHKYWLCL15,
  author       = {Kelvin Yi{-}Tse Lai and
                  Ming{-}Feng Shiu and
                  Yi{-}Wen Lu and
                  Yingchieh Ho and
                  Yu{-}Chi Kao and
                  Yu{-}Tao Yang and
                  Gary Wang and
                  Keng{-}Ming Liu and
                  Hsie{-}Chia Chang and
                  Chen{-}Yi Lee},
  title        = {A field-programmable lab-on-a-chip with built-in self-test circuit
                  and low-power sensor-fusion solution in 0.35{\(\mu\)}m standard {CMOS}
                  process},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387477},
  doi          = {10.1109/ASSCC.2015.7387477},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LaiSLHKYWLCL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LeiMLM15,
  author       = {Ka{-}Meng Lei and
                  Pui{-}In Mak and
                  Man{-}Kay Law and
                  Rui Paulo Martins},
  title        = {A {\(\mu\)}NMR {CMOS} transceiver using a butterfly-coil input for
                  integration with a digital microfluidic device inside a portable magnet},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387476},
  doi          = {10.1109/ASSCC.2015.7387476},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/LeiMLM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Li15,
  author       = {Leo Li},
  title        = {{IC} challenges in 5G},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387430},
  doi          = {10.1109/ASSCC.2015.7387430},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Li15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiLCZMC15,
  author       = {Guansheng Li and
                  Wooram Lee and
                  Delong Cui and
                  Bo Zhang and
                  Afshin Momtaz and
                  Jun Cao},
  title        = {Standing wave based clock distribution technique with application
                  to a 10 {\texttimes} 11 Gbps transceiver in 28 nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387451},
  doi          = {10.1109/ASSCC.2015.7387451},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/LiLCZMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiWDVRDDDHPT15,
  author       = {Meng Li and
                  Jan{-}Willem Weijers and
                  Veerle Derudder and
                  Ilse Vos and
                  Maxim Rykunov and
                  Steven Dupont and
                  Peter Debacker and
                  Andy Dewilde and
                  Yanxiang Huang and
                  Liesbet Van der Perre and
                  Wim Van Thillo},
  title        = {An energy efficient 18Gbps {LDPC} decoding processor for 802.11ad
                  in 28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387473},
  doi          = {10.1109/ASSCC.2015.7387473},
  timestamp    = {Thu, 18 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/LiWDVRDDDHPT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LinLCPCKCLHL15,
  author       = {Yen{-}Ting Lin and
                  Shin{-}Chi Lai and
                  Shin{-}Hao Chen and
                  Shen{-}Yu Peng and
                  Ke{-}Horng Chen and
                  Sheng Kang and
                  Kevin Cheng and
                  Ying{-}Hsi Lin and
                  Chen{-}Chih Huang and
                  Chao{-}Cheng Lee},
  title        = {99.4{\%} peak audio signal recovery rate and ultra-low 0.32dB matching
                  error with 10Hz high resolution filter fitting wearable aided speech
                  compensation system},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387500},
  doi          = {10.1109/ASSCC.2015.7387500},
  timestamp    = {Mon, 02 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LinLCPCKCLHL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiuCSUM15,
  author       = {Jianwei Liu and
                  Chi{-}Hang Chan and
                  Sai{-}Weng Sin and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {A 89fJ-FOM 6-bit 3.4GS/s flash {ADC} with 4x time-domain interpolation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387463},
  doi          = {10.1109/ASSCC.2015.7387463},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/LiuCSUM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiuLRW15,
  author       = {Dang Liu and
                  Xiaofeng Liu and
                  Woogeun Rhee and
                  Zhihua Wang},
  title        = {A 7.6mW 2Gb/s proximity transmitter for smartphone-mirrored display
                  applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387460},
  doi          = {10.1109/ASSCC.2015.7387460},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LiuLRW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiuLYW15,
  author       = {Zhao{-}yang Liu and
                  Liyuan Liu and
                  Jie Yang and
                  Nanjian Wu},
  title        = {A fully-integrated 860-GHz {CMOS} terahertz sensor},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387437},
  doi          = {10.1109/ASSCC.2015.7387437},
  timestamp    = {Sun, 01 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LiuLYW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/MaruyamaTYI15,
  author       = {Masahiko Maruyama and
                  Shigenari Taguchi and
                  Masafumi Yamanoue and
                  Kunihiko Iizuka},
  title        = {A 24-bit multi-functional sensor analog front end employing low noise
                  biasing technique with 8.2nV/{\(\surd\)}Hz input referred noise},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387485},
  doi          = {10.1109/ASSCC.2015.7387485},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/MaruyamaTYI15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/MiyaokaTKKMSKAI15,
  author       = {Hiroki Miyaoka and
                  Futoshi Terasawa and
                  Masahiro Kudo and
                  Hideki Kano and
                  Atsushi Matsuda and
                  Noriaki Shirai and
                  Shigeaki Kawai and
                  Tomoyuki Arai and
                  Yutaka Ide and
                  Kazuhiro Terashima and
                  Hirohito Higashi and
                  Tomokazu Higuchi and
                  Naoaki Naka},
  title        = {A 28-Gb/s 4.5-pJ/bit transceiver with 1-tap decision feedback equalizer
                  in 28-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387468},
  doi          = {10.1109/ASSCC.2015.7387468},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/MiyaokaTKKMSKAI15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/MohammadiR15,
  author       = {Babak Mohammadi and
                  Joachim Neves Rodrigues},
  title        = {Ultra low energy and area efficient charge pump with automatic clock
                  controller in 65 nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387491},
  doi          = {10.1109/ASSCC.2015.7387491},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/MohammadiR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/NakanoNNTOK15,
  author       = {Shinsuke Nakano and
                  Masafumi Nogawa and
                  Hideyuki Nosaka and
                  Akira Tsuchiya and
                  Hidetoshi Onodera and
                  Shunji Kimura},
  title        = {A 25-Gb/s 480-mW {CMOS} modulator driver using area-efficient 3D inductor
                  peaking},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387470},
  doi          = {10.1109/ASSCC.2015.7387470},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/NakanoNNTOK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/OuZP15,
  author       = {Tai{-}Chuan Ou and
                  Zhengya Zhang and
                  Marios C. Papaefthymiou},
  title        = {A 934MHz 9Gb/s 3.2pJ/b/iteration charge-recovery {LDPC} decoder with
                  in-package inductors},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387474},
  doi          = {10.1109/ASSCC.2015.7387474},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/OuZP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/OzakiHAKN15,
  author       = {Toshihiro Ozaki and
                  Tetsuya Hirose and
                  Hiroki Asano and
                  Nobutaka Kuroki and
                  Masahiro Numa},
  title        = {A fully-integrated, high-conversion-ratio and dual-output voltage
                  boost converter with {MPPT} for low-voltage energy harvesting},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387486},
  doi          = {10.1109/ASSCC.2015.7387486},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/OzakiHAKN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ParvaisWMVTSNOA15,
  author       = {Bertrand Parvais and
                  Piet Wambacq and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean and
                  Ken Sawada and
                  Kazuki Nomoto and
                  Tetsuya Oishi and
                  Hiroaki Ammo},
  title        = {A digital intensive circuit for low-frequency noise monitoring in
                  28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387446},
  doi          = {10.1109/ASSCC.2015.7387446},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ParvaisWMVTSNOA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Perez15,
  author       = {Ronald Perez},
  title        = {Silicon systems security and building a root of trust},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387431},
  doi          = {10.1109/ASSCC.2015.7387431},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Perez15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/PreyssSBLLJ15,
  author       = {Nicholas Preyss and
                  Christian Senning and
                  Andreas Burg and
                  Wei{-}Chang Liu and
                  Chun{-}Yi Liu and
                  Shyh{-}Jye Jou},
  title        = {A 3.52 Gb/s mmWave baseband with delayed decision feedback sequence
                  estimation in 40 nm},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387455},
  doi          = {10.1109/ASSCC.2015.7387455},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/PreyssSBLLJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/RamellaFMC15,
  author       = {Matteo Ramella and
                  Ivan Fabiano and
                  Danilo Manstretta and
                  Rinaldo Castello},
  title        = {A 1.7-2.1GHz +23dBm {TX} power compatible blocker tolerant {FDD} receiver
                  with integrated duplexer in 28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387493},
  doi          = {10.1109/ASSCC.2015.7387493},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/RamellaFMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/RamellaFMC15a,
  author       = {Matteo Ramella and
                  Ivan Fabiano and
                  Danilo Manstretta and
                  Rinaldo Castello},
  title        = {A 2.4GHz low-power SAW-less receiver for SoC coexistence},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387495},
  doi          = {10.1109/ASSCC.2015.7387495},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/RamellaFMC15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SaadatM15,
  author       = {Mahmoud Saadat and
                  Boris Murmann},
  title        = {A 0.6 {V-2.4} {V} input, fully integrated reconfigurable switched-capacitor
                  {DC-DC} converter for energy harvesting sensor tags},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387490},
  doi          = {10.1109/ASSCC.2015.7387490},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/SaadatM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SarafianosPSS15,
  author       = {Athanasios Sarafianos and
                  Joachim Pichler and
                  Christoph Sandner and
                  Michiel Steyaert},
  title        = {A folding dickson-based fully integrated wide input range capacitive
                  {DC-DC} converter achieving Vout/2-resolution and 71{\%} average efficiency},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387488},
  doi          = {10.1109/ASSCC.2015.7387488},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/SarafianosPSS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ShiGCW15,
  author       = {Qixian Shi and
                  Davide Guermandi and
                  Jan Craninckx and
                  Piet Wambacq},
  title        = {Flicker noise upconversion mechanisms in K-band {CMOS} VCOs},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387513},
  doi          = {10.1109/ASSCC.2015.7387513},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/ShiGCW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ShinoharaM15,
  author       = {Hideki Shinohara and
                  Kousuke Miyaji},
  title        = {A {ZVS} {CMOS} active diode rectifier with voltage-time-conversion
                  delay-locked loop for wireless power transmission},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387459},
  doi          = {10.1109/ASSCC.2015.7387459},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ShinoharaM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SongLJZLYC15,
  author       = {Zheng Song and
                  Xiliang Liu and
                  Zongming Jin and
                  Xiaokun Zhao and
                  Qiongbing Liu and
                  Yun Yin and
                  Baoyong Chi},
  title        = {A 180nm {CMOS} wireless transceiver by utilizing guard band for narrowband
                  IoT applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387496},
  doi          = {10.1109/ASSCC.2015.7387496},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/SongLJZLYC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SteyaertR15,
  author       = {Wouter Steyaert and
                  Patrick Reynaert},
  title        = {A THz signal source with integrated antenna for non-destructive testing
                  in 28nm bulk {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387436},
  doi          = {10.1109/ASSCC.2015.7387436},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/SteyaertR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SuCLCCWCLLLT15,
  author       = {Jui{-}Che Su and
                  Wei{-}Chung Chen and
                  Wei{-}Tin Lin and
                  Ying{-}Wei Chou and
                  Meng{-}Wei Chien and
                  Chin{-}Long Wey and
                  Ke{-}Horng Chen and
                  Ying{-}Hsi Lin and
                  Chao{-}Cheng Lee and
                  Shian{-}Ru Lin and
                  Tsung{-}Yen Tsai},
  title        = {Pseudo {AC} current synthesizer and {DC} offset-corrected technique
                  in constant-on-time control buck converter for werable electronics},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387489},
  doi          = {10.1109/ASSCC.2015.7387489},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/SuCLCCWCLLLT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TaiRS15,
  author       = {Chia{-}Liang Tai and
                  Alan Roth and
                  Eric G. Soenen},
  title        = {A digital low drop-out regulator with wide operating range in a 16nm
                  FinFET {CMOS} process},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387481},
  doi          = {10.1109/ASSCC.2015.7387481},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TaiRS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TakanoKYAF15,
  author       = {Kyoya Takano and
                  Kosuke Katayama and
                  Takeshi Yoshida and
                  Shuhei Amakawa and
                  Minoru Fujishima},
  title        = {124-GHz {CMOS} quadrature voltage-controlled oscillator with fundamental
                  injection locking},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387510},
  doi          = {10.1109/ASSCC.2015.7387510},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TakanoKYAF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TakashimaESST15,
  author       = {Daisaburo Takashima and
                  Masato Endo and
                  Kazuhiro Shimazaki and
                  Manabu Sai and
                  Masaaki Tanino},
  title        = {A 7T-SRAM with data-write technique by capacitive coupling},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387435},
  doi          = {10.1109/ASSCC.2015.7387435},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TakashimaESST15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TanMDGGZC15,
  author       = {Zhichao Tan and
                  Mick Mueck and
                  Xiao Hong Du and
                  Larry Getzin and
                  Michael Guidry and
                  Flow Zhao and
                  Baoxing Chen},
  title        = {A fully isolated delta-sigma {ADC} for shunt based current sensing},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387482},
  doi          = {10.1109/ASSCC.2015.7387482},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TanMDGGZC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TengWYHL15,
  author       = {Kok{-}Hin Teng and
                  Tong Wu and
                  Zhi Yang and
                  Chun{-}Huat Heng and
                  Xiayun Liu},
  title        = {A 400-MHz wireless neural signal processing {IC} with 625{\texttimes}
                  on-chip data reduction and reconfigurable {BFSK/QPSK} transmitter
                  based on sequential injection locking},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387454},
  doi          = {10.1109/ASSCC.2015.7387454},
  timestamp    = {Thu, 22 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TengWYHL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TsengLHC15,
  author       = {Wei{-}Hsin Tseng and
                  Wei{-}Liang Lee and
                  Chang{-}Yang Huang and
                  Pao{-}Cheng Chiu},
  title        = {A 12-bit 104-MS/s {SAR} {ADC} in 28nm {CMOS} for digitally-assisted
                  wireless transmitters},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387506},
  doi          = {10.1109/ASSCC.2015.7387506},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TsengLHC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TurnquistHMK15,
  author       = {Matthew J. Turnquist and
                  Markus Hiienkari and
                  Jani M{\"{a}}kip{\"{a}}{\"{a}} and
                  Lauri Koskinen},
  title        = {A fully integrated self-oscillating switched-capacitor {DC-DC} converter
                  for near-threshold loads},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387441},
  doi          = {10.1109/ASSCC.2015.7387441},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TurnquistHMK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/VigilanteR15,
  author       = {Marco Vigilante and
                  Patrick Reynaert},
  title        = {A 25-102GHz 2.81-5.64mW tunable divide-by-4 in 28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387511},
  doi          = {10.1109/ASSCC.2015.7387511},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/VigilanteR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangGLZ15,
  author       = {Jiacheng Wang and
                  Wang Ling Goh and
                  Xin Liu and
                  Jun Zhou},
  title        = {A 12.77-MHz on-chip relaxation oscillator with digital compensation
                  for loop delay variation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387449},
  doi          = {10.1109/ASSCC.2015.7387449},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/WangGLZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangHFK15,
  author       = {Pai{-}Yi Wang and
                  Szu{-}Yu Huang and
                  Kuan{-}Yu Fang and
                  Tai{-}Haur Kuo},
  title        = {An undershoot/overshoot-suppressed current-mode buck converter with
                  voltage-setting control for type-II compensator},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387487},
  doi          = {10.1109/ASSCC.2015.7387487},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangHFK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangPBS15,
  author       = {Jingcheng Wang and
                  Nathaniel Ross Pinckney and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Reconfigurable self-timed regenerators for wide-range voltage scaled
                  interconnect},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387475},
  doi          = {10.1109/ASSCC.2015.7387475},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangPBS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangW15,
  author       = {Yong Wang and
                  Hui Wu},
  title        = {A K-band pulse radar transceiver with highly digital closed-loop time-of-flight
                  measurement},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387440},
  doi          = {10.1109/ASSCC.2015.7387440},
  timestamp    = {Fri, 18 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/WangW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangZYY15,
  author       = {Yutao Wang and
                  Zhangming Zhu and
                  Jiaojiao Yao and
                  Yintang Yang},
  title        = {A 0.45 V, 15.6 nW MOSFET-only sub-threshold voltage reference with
                  no amplifiers},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387445},
  doi          = {10.1109/ASSCC.2015.7387445},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangZYY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WatersM15,
  author       = {Allen Waters and
                  Un{-}Ku Moon},
  title        = {A fully automated verilog-to-layout synthesized {ADC} demonstrating
                  56dB-SNDR with 2MHz-BW},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387508},
  doi          = {10.1109/ASSCC.2015.7387508},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WatersM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WenCYCJHC15,
  author       = {Shon{-}Hang Wen and
                  Chien{-}Ming Chen and
                  Cheng{-}Chung Yang and
                  Chieh{-}Hung Chen and
                  Jia{-}Feng Jiang and
                  Keng{-}Jan Hsiao and
                  Cheng{-}Yu Chien},
  title        = {A 130dB PSRR, 108dB {DR} and 95dB SNDR, ground-referenced audio decoder
                  with PSRR-enhanced load-adaptive Class-G 16Ohm headphone amplifiers},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387442},
  doi          = {10.1109/ASSCC.2015.7387442},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WenCYCJHC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WengGDYJLWNC15,
  author       = {Zhaoyang Weng and
                  Shaoquan Gao and
                  Jingjing Dong and
                  Kai Yang and
                  Hanjun Jiang and
                  Fule Li and
                  Zhihua Wang and
                  Yanqing Ning and
                  Xinkai Chen},
  title        = {Dedicated ICs for wearable body sound monitoring},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387461},
  doi          = {10.1109/ASSCC.2015.7387461},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WengGDYJLWNC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WengHAL15,
  author       = {Chan{-}Hsiang Weng and
                  Wei{-}Hsiang Huang and
                  Erkan Alpman and
                  Tsung{-}Hsien Lin},
  title        = {A 13-MHz 68-dB {SNDR} {CTDSM} using {SAB} loop filter and interpolating
                  flash quantizer with random-skip {IDWA} function in 90-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387507},
  doi          = {10.1109/ASSCC.2015.7387507},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WengHAL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WuH15,
  author       = {Chung{-}Yu Wu and
                  Chia{-}Shiung Ho},
  title        = {An 8-channel chopper-stabilized analog front-end amplifier for {EEG}
                  acquisition in 65-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387480},
  doi          = {10.1109/ASSCC.2015.7387480},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WuH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/XuMM15,
  author       = {Zule Xu and
                  Masaya Miyahara and
                  Akira Matsuzawa},
  title        = {A 3.6 GHz fractional-N digital {PLL} using SAR-ADC-based {TDC} with-110
                  dBc/Hz in-band phase noise},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387492},
  doi          = {10.1109/ASSCC.2015.7387492},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/XuMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/XuSLRW15,
  author       = {Ni Xu and
                  Yiyu Shen and
                  Sitao Lv and
                  Woogeun Rhee and
                  Zhihua Wang},
  title        = {A spread-spectrum clock generator with FIR-embedded binary phase detection
                  and 1-bit high-order {\(\Delta\)}{\(\Sigma\)} modulation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387452},
  doi          = {10.1109/ASSCC.2015.7387452},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/XuSLRW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YanoNIA15,
  author       = {Tomohiko Yano and
                  Toru Nakura and
                  Tetsuya Iizuka and
                  Kunihiro Asada},
  title        = {A calibration-free time difference accumulator using two pulses propagating
                  on a single buffer ring},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387443},
  doi          = {10.1109/ASSCC.2015.7387443},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YanoNIA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YaoLJ15,
  author       = {Lei Yao and
                  Peng Li and
                  Minkyu Je},
  title        = {A pulse-width-adaptive active charge balancing circuit with pulse-insertion
                  based residual charge compensation and quantization for electrical
                  stimulation applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387478},
  doi          = {10.1109/ASSCC.2015.7387478},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YaoLJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YokoyamaIITTTN15,
  author       = {Yoshisato Yokoyama and
                  Yuichiro Ishii and
                  Toshihiro Inada and
                  Koji Tanaka and
                  Miki Tanaka and
                  Yoshiki Tsujihashi and
                  Koji Nii},
  title        = {A cost effective test screening method on 40-nm 4-Mb embedded {SRAM}
                  for low-power {MCU}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387483},
  doi          = {10.1109/ASSCC.2015.7387483},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YokoyamaIITTTN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YouCWC15,
  author       = {Yang You and
                  Sudipto Chakraborty and
                  Rui Wang and
                  Jinghong Chen},
  title        = {A 21-Gb/s, 0.96-pJ/bit serial receiver with non-50{\%} duty-cycle
                  clocking 1-tap decision feedback equalizer in 65nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387469},
  doi          = {10.1109/ASSCC.2015.7387469},
  timestamp    = {Mon, 15 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YouCWC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YuMM15,
  author       = {Lilan Yu and
                  Masaya Miyahara and
                  Akira Matsuzawa},
  title        = {A 9-bit 1.8-GS/s pipelined {ADC} using linearized open-loop amplifiers},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387464},
  doi          = {10.1109/ASSCC.2015.7387464},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YuMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YuanNXYT15,
  author       = {Chao Yuan and
                  Kian Ann Ng and
                  Yong Ping Xu and
                  Shih{-}Cheng Yen and
                  Nitish V. Thakor},
  title        = {A 1-V 9.8-ENOB 100-kS/s single-ended {SAR} {ADC} with symmetrical
                  {DAC} switching technique for neural signal acquisition},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387509},
  doi          = {10.1109/ASSCC.2015.7387509},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YuanNXYT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhangZLLGL15,
  author       = {Xiaoyang Zhang and
                  Zhe Zhang and
                  Yongfu Li and
                  Changrong Liu and
                  Yongxin Guo and
                  Yong Lian},
  title        = {A 2.89-{\(\mu\)}W clockless wireless dry-electrode {ECG} SoC for wearable
                  sensors},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387498},
  doi          = {10.1109/ASSCC.2015.7387498},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhangZLLGL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhangZZXZZL15,
  author       = {Jie Zhang and
                  Hong Zhang and
                  Ruizhi Zhang and
                  Jiangtao Xu and
                  Yang Zhao and
                  Mudan Zhang and
                  Jia Li},
  title        = {A mixed-signal {ASIC} for triple-chamber cardiac pacemakers with heart
                  resistance measurement},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387499},
  doi          = {10.1109/ASSCC.2015.7387499},
  timestamp    = {Mon, 23 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhangZZXZZL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhaoZXQSWX15,
  author       = {Yang Zhao and
                  Jian Zhao and
                  Guo Ming Xia and
                  An Ping Qiu and
                  Yan Su and
                  Xi Wang and
                  Yong Ping Xu},
  title        = {A 0.57{\textdegree}/h bias instability 0.067{\textdegree}/{\(\surd\)}h
                  angle random walk {MEMS} gyroscope with {CMOS} readout circuit},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387505},
  doi          = {10.1109/ASSCC.2015.7387505},
  timestamp    = {Fri, 03 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhaoZXQSWX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/asscc/2015,
  title        = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7378179/proceeding},
  isbn         = {978-1-4673-7191-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics