Search dblp for Publications

export results for "toc:db/conf/asicon/asicon2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/asicon/AbdoLC19,
  author       = {Abdulraqeb Abdullah Saeed Abdo and
                  Jie Ling and
                  Pinghua Chen},
  title        = {Architecture considerations of {LTE/WCDMA} wideband power amplifier
                  for efficiency improvement},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983445},
  doi          = {10.1109/ASICON47005.2019.8983445},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/AbdoLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/AbeKLKK19,
  author       = {Yudai Abe and
                  Shogo Katayama and
                  Congbing Li and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Frequency Estimation Sampling Circuit Using Analog Hilbert Filter
                  and Residue Number System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983570},
  doi          = {10.1109/ASICON47005.2019.8983570},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/AbeKLKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/AokiSIOIKSMNWKH19,
  author       = {Riho Aoki and
                  Keno Sato and
                  Takashi Ishida and
                  Toshiyuki Okamoto and
                  Tamotsu Ichikawa and
                  Shogo Katayama and
                  Yuto Sasaki and
                  Kosuke Machida and
                  Takayuki Nakatani and
                  Jianlong Wang and
                  Anna Kuwana and
                  Kazumi Hatayama and
                  Haruo Kobayashi},
  title        = {Evaluation of Null Method for Operational Amplifier Short-Time Testing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983452},
  doi          = {10.1109/ASICON47005.2019.8983452},
  timestamp    = {Mon, 21 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/AokiSIOIKSMNWKH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/AsamiKHTTKK19,
  author       = {Koji Asami and
                  Nene Kushita and
                  Akemi Hatta and
                  Minh Tri Tran and
                  Yoshiro Tamura and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Analysis and Evaluation Method of {RC} Polyphase Filter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983545},
  doi          = {10.1109/ASICON47005.2019.8983545},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/AsamiKHTTKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/AsukeKFK19,
  author       = {Takuya Asuke and
                  Ryo Kishida and
                  Jun Furuta and
                  Kazutoshi Kobayashi},
  title        = {Temperature Dependence of Bias Temperature Instability {(BTI)} in
                  Long-term Measurement by BTI-sensitive and -insensitive Ring Oscillators
                  Removing Environmental Fluctuation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983438},
  doi          = {10.1109/ASICON47005.2019.8983438},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/AsukeKFK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Au-YeungL19,
  author       = {Chung Fai Au{-}Yeung and
                  Yiu Kei Li},
  title        = {A {CMOS} Random Number Generator with Noise-Coupled Voltage-Controlled
                  Oscillators},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983620},
  doi          = {10.1109/ASICON47005.2019.8983620},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/Au-YeungL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Balestra19,
  author       = {Francis Balestra},
  title        = {Nanoscale Devices for the end of the Roadmap},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983541},
  doi          = {10.1109/ASICON47005.2019.8983541},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/Balestra19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/BaoHHHLZ19,
  author       = {Jiarui Bao and
                  Shuyan Hu and
                  Guangxi Hu and
                  Laigui Hu and
                  Ran Liu and
                  Lirong Zheng},
  title        = {A GaSb/In0.4Ga0.6As Heterojunction Z-Shaped Tunnel Field-Effect Transistor
                  with High Performance},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983662},
  doi          = {10.1109/ASICON47005.2019.8983662},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/BaoHHHLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/BoX19,
  author       = {Peng Bo and
                  Jin Xiang{-}Liang},
  title        = {Analysis and Optimal Design of a New Single-Photon Memristor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983592},
  doi          = {10.1109/ASICON47005.2019.8983592},
  timestamp    = {Fri, 14 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/BoX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/CaiAI19,
  author       = {Chun Cai and
                  Hiromitsu Awano and
                  Makoto Ikeda},
  title        = {High-Speed {ASIC} Implementation of Paillier Cryptosystem with Homomorphism},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983588},
  doi          = {10.1109/ASICON47005.2019.8983588},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/CaiAI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/CaiHH19,
  author       = {Hejia Cai and
                  Yan Hu and
                  Zhiliang Hong},
  title        = {{A35.2} dBm {CMOS} {RF} Power Amplifier Using an 8-Way Current-Voltage
                  Combining Transformer with Harmonic Control},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983512},
  doi          = {10.1109/ASICON47005.2019.8983512},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/CaiHH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/CaiHTWHCZ19,
  author       = {Zhimei Cai and
                  Zhiyong Han and
                  Ming Tian and
                  Changfeng Wang and
                  Xiaoming Hu and
                  Ran Cheng and
                  Yi Zhao},
  title        = {Variation Analysis of Interconnect Capacitance and Process Corner
                  in Advanced {CMOS} Process with Double Patterning Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983474},
  doi          = {10.1109/ASICON47005.2019.8983474},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/CaiHTWHCZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/CaiZXWZ19,
  author       = {Yujie Cai and
                  Keji Zhou and
                  Xiaoyong Xue and
                  Mingyu Wang and
                  Xiaoyang Zeng},
  title        = {Nonvolatile Binary {CNN} Accelerator with Extremely Low Standby Power
                  using {RRAM} for IoT Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983658},
  doi          = {10.1109/ASICON47005.2019.8983658},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/CaiZXWZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChangSZ19,
  author       = {Yangyang Chang and
                  Gerald E. Sobelman and
                  Xiaofang Zhou},
  title        = {Genetic Architecture Search for Binarized Neural Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983441},
  doi          = {10.1109/ASICON47005.2019.8983441},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChangSZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenCXY19,
  author       = {Yi{-}Hsiang Chen and
                  Xiaoxin Cui and
                  Kanglin Xiao and
                  Dunshan Yu},
  title        = {Improved Discrete Wavelet Analysis and Principal Component Analysis
                  for {EEG} Signal Processing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983523},
  doi          = {10.1109/ASICON47005.2019.8983523},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenCXY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenLMH19,
  author       = {Mingfeng Chen and
                  Fuqiang Liu and
                  Heng Ma and
                  Zhiliang Hong},
  title        = {High-Bandwidth Wide-Output-Swing Linear Amplifier for LTE-100MHz Envelope
                  Tracking},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983461},
  doi          = {10.1109/ASICON47005.2019.8983461},
  timestamp    = {Wed, 07 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenLMH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenSXYX19,
  author       = {Zhiyong Chen and
                  Weiwei Shi and
                  Guoqiang Xiong and
                  Junwei Yang and
                  Yuan Xu},
  title        = {A 63.3ps {TDC} Measurement System Based on {FPGA} for Pulsed Laser
                  Ranging},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983652},
  doi          = {10.1109/ASICON47005.2019.8983652},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenSXYX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenTCW19,
  author       = {Chun{-}Ting Chen and
                  Tsung{-}Yi Tsai and
                  Yi{-}Jen Chiu and
                  Chua{-}Chin Wang},
  title        = {Sampling Rate Enhancement for SAR-ADCs Using Adaptive Reset Approach
                  for {FOG} Systems},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983562},
  doi          = {10.1109/ASICON47005.2019.8983562},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenTCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenW19,
  author       = {Yongzhen Chen and
                  Jiangfeng Wu},
  title        = {High Linear Ring Amplifier Design with Analysis on Settling Procedures},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983615},
  doi          = {10.1109/ASICON47005.2019.8983615},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenWL19,
  author       = {Bo Chen and
                  Pengjun Wang and
                  Gang Li},
  title        = {An Obfuscated Challenge Design for {APUF} to Resist Machine Learning
                  Attacks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983648},
  doi          = {10.1109/ASICON47005.2019.8983648},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenYKC19,
  author       = {Rongxin Chen and
                  Bo Yi and
                  MouFu Kong and
                  Xingbi Chen},
  title        = {Simulation Study of Trench {IGBT} with Diode-Clamped P-Well for High
                  dI/dt and dV/dt Controllability},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983572},
  doi          = {10.1109/ASICON47005.2019.8983572},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenYKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChenZH19,
  author       = {Jian Chen and
                  Wenfeng Zhao and
                  Yajun Ha},
  title        = {Area-Efficient Distributed Arithmetic Optimization via Heuristic Decomposition
                  and In-Memroy Computing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983659},
  doi          = {10.1109/ASICON47005.2019.8983659},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChenZH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChengTWCZZZ19,
  author       = {Ran Cheng and
                  Ming Tian and
                  Changfeng Wang and
                  Zhimei Cai and
                  Jie Zhang and
                  Yan{-}Yan Zhang and
                  Yi Zhao},
  title        = {Performance Investigation of Uniaxially Tensile Stressed Ge n-FinFETs
                  Formed on Biaxially Strained GeOI Substrates And Its Impact On Ge
                  {CMOS} Inverters},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983454},
  doi          = {10.1109/ASICON47005.2019.8983454},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChengTWCZZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChengWLNCY19,
  author       = {Yuan Cheng and
                  Ngai Wong and
                  Xiong Liu and
                  Leibin Ni and
                  Hai{-}Bao Chen and
                  Hao Yu},
  title        = {A Low-Power High-Throughput In-Memory CMOS-ReRAM Accelerator for Large-Scale
                  Deep Residual Neural Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983497},
  doi          = {10.1109/ASICON47005.2019.8983497},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChengWLNCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ChengZLZXYYH19,
  author       = {Caidie Cheng and
                  Teng Zhang and
                  Chang Liu and
                  Jiadi Zhu and
                  Liying Xu and
                  Xiaoqin Yan and
                  Yuchao Yang and
                  Ru Huang},
  title        = {Realization of Nanoscale Neuromorphic Memristor Array with Low Power
                  Consumption},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983661},
  doi          = {10.1109/ASICON47005.2019.8983661},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ChengZLZXYYH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Chung19,
  author       = {Steve S. Chung},
  title        = {The Advances of {OTP} Memory for Embedded Applications in {HKMG} Generation
                  and Beyond},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983654},
  doi          = {10.1109/ASICON47005.2019.8983654},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/Chung19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/DevalLR19,
  author       = {Yann Deval and
                  Herv{\'{e}} Lapuyade and
                  Fran{\c{c}}ois Rivet},
  title        = {Design of {CMOS} integrated circuits for radiation hardening and its
                  application to space electronics},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983531},
  doi          = {10.1109/ASICON47005.2019.8983531},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/DevalLR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/DingHC19,
  author       = {Luchang Ding and
                  Zhize Huang and
                  Gengsheng Chen},
  title        = {An {FPGA} Implementation of {GCN} with Sparse Adjacency Matrix},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983647},
  doi          = {10.1109/ASICON47005.2019.8983647},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/DingHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/DingTBSW19,
  author       = {Ru Ding and
                  Xuemei Tian and
                  Guoqiang Bai and
                  Guangda Su and
                  Xingjun Wu},
  title        = {Hardware Implementation of Convolutional Neural Network for Face Feature
                  Extraction},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983575},
  doi          = {10.1109/ASICON47005.2019.8983575},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/DingTBSW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/DunlapQL19,
  author       = {Timothy Dunlap and
                  Gang Qu and
                  Jinmei Lai},
  title        = {A Polymorphic Circuit Interoperability Framework},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983594},
  doi          = {10.1109/ASICON47005.2019.8983594},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/DunlapQL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Endo19,
  author       = {Kazuhiko Endo},
  title        = {Post-Si Nano Device Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983434},
  doi          = {10.1109/ASICON47005.2019.8983434},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/Endo19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/EstradaXC19,
  author       = {Cristine Jin Estrada and
                  Chen Xu and
                  Mansun Chan},
  title        = {Design of Current-Assisted Photonic Demodulator {(CAPD)} for Time-of-Flight
                  {CMOS} Image Sensor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983514},
  doi          = {10.1109/ASICON47005.2019.8983514},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/EstradaXC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/FanWZLL19,
  author       = {Xuemei Fan and
                  Rujin Wang and
                  Qin Zeng and
                  Hao Liu and
                  Shengli Lu},
  title        = {A Simple Steady Timing Resilient Sample Based on Delay Data Sense
                  Detection},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983641},
  doi          = {10.1109/ASICON47005.2019.8983641},
  timestamp    = {Mon, 06 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/FanWZLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/FangZYZ19,
  author       = {Chongzhou Fang and
                  Zaichen Zhang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {Automatic Hardware Design Tool Based on Reusing Transformation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983487},
  doi          = {10.1109/ASICON47005.2019.8983487},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/FangZYZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/FangZYZ19a,
  author       = {Chongzhou Fang and
                  Zaichen Zhang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {Training Adaptive Hardware for Reconfigurability: {A} Simplified Case
                  Study},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983668},
  doi          = {10.1109/ASICON47005.2019.8983668},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/FangZYZ19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/FuZJL19,
  author       = {Wenjie Fu and
                  Yu Zheng and
                  Leilei Jin and
                  Ming Ling},
  title        = {A Fast Reduction Method for Path Process Variations Without Time-Consuming
                  Training},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983519},
  doi          = {10.1109/ASICON47005.2019.8983519},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/FuZJL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Fujishima19,
  author       = {Minoru Fujishima},
  title        = {Ultrahigh-Speed One-Chip {CMOS} Transceiver with 300-GHz Band},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983600},
  doi          = {10.1109/ASICON47005.2019.8983600},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/Fujishima19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GangLW19,
  author       = {Yuehong Gang and
                  Min Luo and
                  Mingyu Wang},
  title        = {An {FPGA} based verification platform for pipeline {ADC} digital calibration
                  technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983587},
  doi          = {10.1109/ASICON47005.2019.8983587},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GangLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GaoYZZ19,
  author       = {Huaidong Gao and
                  Fan Yang and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {Parallel Global Placement on {CPU} via Parallel Reduction},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983444},
  doi          = {10.1109/ASICON47005.2019.8983444},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GaoYZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GeCXZCW19,
  author       = {Jiexian Ge and
                  Xiaoxin Cui and
                  Kanglin Xiao and
                  Chenglong Zou and
                  Yi{-}Hsiang Chen and
                  Rongshan Wei},
  title        = {BNReLU: Combine Batch Normalization and Rectified Linear Unit to Reduce
                  Hardware Overhead},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983577},
  doi          = {10.1109/ASICON47005.2019.8983577},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GeCXZCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GongFDJC19,
  author       = {Haoran Gong and
                  Yunhao Fu and
                  Ning Ding and
                  Jiaqi Jiang and
                  Yuchun Chang},
  title        = {A Readout Circuit of Microchannel Plate Light Detector in 0.13um {CMOS}
                  Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983504},
  doi          = {10.1109/ASICON47005.2019.8983504},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GongFDJC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GongZWWC19,
  author       = {Jian Gong and
                  Zirun Zhao and
                  Ziqing Wang and
                  Yonghui Wu and
                  Yong Cui},
  title        = {A 20GS/s Track-and-Hold Amplifier based on InP {DHBT} Process},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983544},
  doi          = {10.1109/ASICON47005.2019.8983544},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GongZWWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GuWW19,
  author       = {Zhenghua Gu and
                  Wenqin Wan and
                  Chang Wu},
  title        = {Latency Minimal Scheduling with Maximum Instruction Parallelism},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983520},
  doi          = {10.1109/ASICON47005.2019.8983520},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GuWW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GuoCSZ19,
  author       = {Zongxia Guo and
                  Kaihua Cao and
                  Kewen Shi and
                  Weisheng Zhao},
  title        = {Ultra-low power consumption Spintronics Devices},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983564},
  doi          = {10.1109/ASICON47005.2019.8983564},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GuoCSZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GuoDJ19,
  author       = {Songhao Guo and
                  Li Ding and
                  Jing Jin},
  title        = {A 16/32Gb/s {NRZ/PAM4} Receiver with Dual-Loop {CDR} and Threshold
                  Voltage Calibration},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983675},
  doi          = {10.1109/ASICON47005.2019.8983675},
  timestamp    = {Wed, 10 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GuoDJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GuoLWC19,
  author       = {Benqing Guo and
                  Haifeng Liu and
                  Yao Wang and
                  Jun Chen},
  title        = {A 60 GHz single-to-differential {LNA} using slow-wave {CPW} and transformer
                  coupling in 28 nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983552},
  doi          = {10.1109/ASICON47005.2019.8983552},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GuoLWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GuoLX19,
  author       = {Ce Guo and
                  Wayne Luk and
                  Wenguang Xu},
  title        = {Non-linear function evaluation reusing matrix-vector multipliers},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983557},
  doi          = {10.1109/ASICON47005.2019.8983557},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/GuoLX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HanMZ19,
  author       = {Peiqing Han and
                  Niansong Mei and
                  Zhaofeng Zhang},
  title        = {A {UHF} Semi-Passive {RFID} System with Photovoltaic/Thermoelectric
                  Energy Harvesting for Wireless Sensor Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983574},
  doi          = {10.1109/ASICON47005.2019.8983574},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HanMZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HeLWG19,
  author       = {Ziyun He and
                  Shaoquan Liao and
                  Zixin Wang and
                  Jianping Guo},
  title        = {A Power-Area-Efficient Low-Dropout Regulator With Enhanced Buffer
                  Impedance Attenuation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983499},
  doi          = {10.1109/ASICON47005.2019.8983499},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HeLWG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HeXLW19,
  author       = {Xinyu He and
                  Xie Xie and
                  Jinmei Lai and
                  Jian Wang},
  title        = {A Web-based Waveform Viewer for {BR0101} Chip Testing Platform},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983579},
  doi          = {10.1109/ASICON47005.2019.8983579},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HeXLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HeYR19,
  author       = {Wenbin He and
                  Fan Ye and
                  Junyan Ren},
  title        = {A 40Gb/s Low Power Transmitter with 2-tap {FFE} and 40: 1 {MUX} in
                  28nm {CMOS} Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {594--597},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983623},
  doi          = {10.1109/ASICON47005.2019.8983623},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HeYR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HeZLSSWL19,
  author       = {Junxian He and
                  Xichuan Zhou and
                  Yingcheng Lin and
                  Chonglei Sun and
                  Cong Shi and
                  Nanjian Wu and
                  Gang Luo},
  title        = {20, 000-fps Visual Motion Magnification on Pixel-parallel Vision Chip},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983493},
  doi          = {10.1109/ASICON47005.2019.8983493},
  timestamp    = {Thu, 06 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/HeZLSSWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HiraiYAKTGK19,
  author       = {Manato Hirai and
                  Shuhei Yamamoto and
                  Hirotaka Arai and
                  Anna Kuwana and
                  Hiroshi Tanimoto and
                  Yuji Gendai and
                  Haruo Kobayashi},
  title        = {Systematic Construction of Resistor Ladder Network for N-ary DACs},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983583},
  doi          = {10.1109/ASICON47005.2019.8983583},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HiraiYAKTGK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HiramotoSMSKHFW19,
  author       = {Toshiro Hiramoto and
                  Katsumi Satoh and
                  Tomoko Matsudai and
                  Wataru Saito and
                  Kuniyuki Kakushima and
                  Takuya Hoshii and
                  Kazuyoshi Furukawa and
                  Masahiro Watanabe and
                  Naoyuki Shigyo and
                  Hitoshi Wakabayashi and
                  Kazuo Tsutsui and
                  Hiroshi Iwai and
                  Atsushi Ogura and
                  Shinichi Nishizawa and
                  Ichiro Omura and
                  Hiromichi Ohashi and
                  Kazuo Itou and
                  Toshihiro Takakura and
                  Munetoshi Fukui and
                  Shinichi Suzuki and
                  Ken Takeuchi and
                  Masanori Tsukuda and
                  Yohichiroh Numasawa},
  title        = {Switching of 3300V Scaled {IGBT} by 5V Gate Drive},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983633},
  doi          = {10.1109/ASICON47005.2019.8983633},
  timestamp    = {Wed, 21 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HiramotoSMSKHFW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HsiehL19,
  author       = {Yu{-}Lun Hsieh and
                  Tai{-}Cheng Lee},
  title        = {A SAR-Assisted Continuous-Time Incremental {\(\Sigma\)}{\(\Delta\)}
                  {ADC} With First-Order Noise Coupling},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983671},
  doi          = {10.1109/ASICON47005.2019.8983671},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HsiehL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuLJZZL19,
  author       = {Ang Hu and
                  Dongsheng Liu and
                  Zirui Jin and
                  Cong Zhang and
                  Ke{-}feng Zhang and
                  Lan{-}qi Liu},
  title        = {{RF} Transceiver System Design: From Protocols to Specifications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983468},
  doi          = {10.1109/ASICON47005.2019.8983468},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuLJZZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuWH19,
  author       = {Yan Hu and
                  Tao Wang and
                  Zhiliang Hong},
  title        = {The Digital Front End with Dual-box Digital Pre-distortion in All-digital
                  Quadrature Transmitter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983561},
  doi          = {10.1109/ASICON47005.2019.8983561},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuWH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuZGX19,
  author       = {Chunmei Hu and
                  Zhenyang Zhang and
                  Yang Guo and
                  Jingyan Xu},
  title        = {A Implementation for Built-in Self-Testing of RapidIO by {JTAG}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983576},
  doi          = {10.1109/ASICON47005.2019.8983576},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuZGX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuZW19,
  author       = {Qianlan Hu and
                  Zhenfeng Zhang and
                  Yanqing Wu},
  title        = {High performance optoelectronics based on {CVD} Mos2},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983680},
  doi          = {10.1109/ASICON47005.2019.8983680},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuaYWZHC19,
  author       = {Mengyuan Hua and
                  Song Yang and
                  Jin Wei and
                  Zheyang Zheng and
                  Jiabei He and
                  Kevin J. Chen},
  title        = {Reverse-Bias Stability and Reliability of Enhancement-mode GaN-based
                  {MIS-FET}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983535},
  doi          = {10.1109/ASICON47005.2019.8983535},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuaYWZHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuangHZL19,
  author       = {Mo Huang and
                  Tingxu Hu and
                  Xiu Yin Zhang and
                  Yan Lu},
  title        = {Design Considerations on Integrated Rectifiers with High Efficiency
                  and Wide Input Power Range for {RF} Energy Harvesting},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983586},
  doi          = {10.1109/ASICON47005.2019.8983586},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/HuangHZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuangKC19,
  author       = {Jun Huang and
                  MouFu Kong and
                  Xingbi Chen},
  title        = {A Low On-state Voltage and Large Current Capability Thin {SOI-LIGBT}
                  with Trench {NMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983636},
  doi          = {10.1109/ASICON47005.2019.8983636},
  timestamp    = {Thu, 13 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuangKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuangLHZSWL19,
  author       = {Jinguo Huang and
                  Yingcheng Lin and
                  Wei He and
                  Xichuan Zhou and
                  Cong Shi and
                  Nanjian Wu and
                  Gang Luo},
  title        = {High-speed Classification of {AER} Data Based on a Low-cost Hardware
                  System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983433},
  doi          = {10.1109/ASICON47005.2019.8983433},
  timestamp    = {Wed, 14 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/HuangLHZSWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuangLZYZSC19,
  author       = {Shoudong Huang and
                  Wengao Lu and
                  Ye Zhou and
                  Shanzhe Yu and
                  Yacong Zhang and
                  Xueyou Shi and
                  Zhongjian Chen},
  title        = {An Automatic Slope-Calibrated Ramp Generator for Single-Slope ADCs},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983657},
  doi          = {10.1109/ASICON47005.2019.8983657},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/HuangLZYZSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/HuangZLZDNY19,
  author       = {Wenjie Huang and
                  Qihui Zhang and
                  Jing Li and
                  Zhong Zhang and
                  Heng Deng and
                  Ning Ning and
                  Qi Yu},
  title        = {A Calibration Technique for Two-Step Single-Slope Analog-to-Digital
                  Converter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983503},
  doi          = {10.1109/ASICON47005.2019.8983503},
  timestamp    = {Mon, 10 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/HuangZLZDNY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JiDJ19,
  author       = {Yu Ji and
                  Li Ding and
                  Jing Jin},
  title        = {A High-Linear Digital-to-Phase Converter in 40nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983556},
  doi          = {10.1109/ASICON47005.2019.8983556},
  timestamp    = {Wed, 10 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/JiDJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JiJWGWZ19,
  author       = {Zhenhao Ji and
                  Yahui Ji and
                  Bolei Wang and
                  Feifei Gao and
                  Huizheng Wang and
                  Chuan Zhang},
  title        = {A New Uplink Channel Estimation Architecture for Massive {MIMO} Systems
                  with {PDMA}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983624},
  doi          = {10.1109/ASICON47005.2019.8983624},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/JiJWGWZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JiSZYZ19,
  author       = {Houren Ji and
                  Yifei Shen and
                  Zaichen Zhang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {Flexible and Adaptive Path Splitting of Simplified Successive Cancellation
                  List Polar Decoding},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983650},
  doi          = {10.1109/ASICON47005.2019.8983650},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/JiSZYZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JiangCDYCQJ19,
  author       = {Junning Jiang and
                  Liang Cai and
                  Feng Dong and
                  Kehua Yu and
                  Ke Chen and
                  Wei Qu and
                  Jianfei Jiang},
  title        = {Deploying and Optimizing Convolutional Neural Networks on Heterogeneous
                  Architecture},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983456},
  doi          = {10.1109/ASICON47005.2019.8983456},
  timestamp    = {Wed, 22 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/JiangCDYCQJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JiangFZ19,
  author       = {Hao Jiang and
                  Yang Fan and
                  Xuan Zeng},
  title        = {Scheduling Algorithm Based on System of Difference Constraints Using
                  Network Flow},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983569},
  doi          = {10.1109/ASICON47005.2019.8983569},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/JiangFZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JiangSHMK19,
  author       = {Jiachen Jiang and
                  Yanan Sun and
                  Weifeng He and
                  Zhigang Mao and
                  Volkan Kursun},
  title        = {Carbon-Based Three-Dimensional {SRAM} Cell with Minimum Inter-Layer
                  Area Skew Considering Process imperfections},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983463},
  doi          = {10.1109/ASICON47005.2019.8983463},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/JiangSHMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JinFZY19,
  author       = {Leilei Jin and
                  Wenjie Fu and
                  Yu Zheng and
                  Hao Yan},
  title        = {A Precise Block-Based Statistical Timing Analysis with {MAX} Approximation
                  Using Multivariate Adaptive Regression Splines},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983666},
  doi          = {10.1109/ASICON47005.2019.8983666},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/JinFZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JinHLL19,
  author       = {Zirui Jin and
                  Ang Hu and
                  Zilong Liu and
                  Dongsheng Liu},
  title        = {A 35{\(\mathrm{\mu}\)}W Receiver Front-End with 35{\%} wireless energy
                  harvesting efficiency for Wearable Medical Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983482},
  doi          = {10.1109/ASICON47005.2019.8983482},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/JinHLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/JingC19,
  author       = {Dishan Jing and
                  Hai{-}Bao Chen},
  title        = {{SVM} Based Network Intrusion Detection for the {UNSW-NB15} Dataset},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983598},
  doi          = {10.1109/ASICON47005.2019.8983598},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/JingC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KangHHXCL19,
  author       = {Jinfeng Kang and
                  Peng Huang and
                  Runze Han and
                  Yachen Xiang and
                  Xiaole Cui and
                  Xiaoyan Liu},
  title        = {Flash-based Computing in-Memory Scheme for {IOT}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983502},
  doi          = {10.1109/ASICON47005.2019.8983502},
  timestamp    = {Tue, 09 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KangHHXCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KangKZDHXSZ19,
  author       = {Xiaozhi Kang and
                  Xiaoxu Kang and
                  Zijian Zhao and
                  Jingxiu Ding and
                  Yi Hu and
                  Dapeng Xu and
                  Qingqing Sun and
                  David Wei Zhang},
  title        = {Low-Dropout Regulator design with a simple structure for good high
                  frequency {PSRR} performance based on Bandgap Circuit},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983446},
  doi          = {10.1109/ASICON47005.2019.8983446},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KangKZDHXSZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KangZL19,
  author       = {Xiaoxu Kang and
                  Xiaolan Zhong and
                  Ming Li},
  title        = {Development and Optimization of Contact Module Process for Micro-Bridge
                  Structure based MEMS/Sensor Application},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983428},
  doi          = {10.1109/ASICON47005.2019.8983428},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KangZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KatareS19,
  author       = {Siddharth Katare and
                  Nagaveni Subramanya},
  title        = {Configurable Hybrid Output Driver for {GPIO} with Wide Supply Voltage
                  Range of 1.05V-3.70V},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983532},
  doi          = {10.1109/ASICON47005.2019.8983532},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KatareS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KatayamaLSTKKK19,
  author       = {Shogo Katayama and
                  Jing Li and
                  Yifei Sun and
                  Minh Tri Tran and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Automatic Correction of Current Imbalance for Multi-Phase {COT} Ripple-Based
                  Control {DC-DC} Converter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983672},
  doi          = {10.1109/ASICON47005.2019.8983672},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KatayamaLSTKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KatayamaOKKK19,
  author       = {Shogo Katayama and
                  Noriyuki Oiwa and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Output Voltage Ripple Reduction with Nosie Spread Spectrum for Dual-Phase
                  {LLC} Resonant Converter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983563},
  doi          = {10.1109/ASICON47005.2019.8983563},
  timestamp    = {Wed, 03 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KatayamaOKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KobayashiJH19,
  author       = {Masaharu Kobayashi and
                  Chengji Jin and
                  Toshiro Hiramoto},
  title        = {Comprehensive Understanding of Negative Capacitance {FET} From the
                  Perspective of Transient Ferroelectric Model},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983568},
  doi          = {10.1109/ASICON47005.2019.8983568},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KobayashiJH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KobayashiKTASKH19,
  author       = {Haruo Kobayashi and
                  Nene Kushita and
                  Minh Tri Tran and
                  Koji Asami and
                  Hao San and
                  Anna Kuwana and
                  Akemi Hatta},
  title        = {Analog / Mixed-Signal / {RF} Circuits for Complex Signal Processing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983548},
  doi          = {10.1109/ASICON47005.2019.8983548},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/KobayashiKTASKH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KobayashiMSOZSO19,
  author       = {Haruo Kobayashi and
                  Kosuke Machida and
                  Yuto Sasaki and
                  Yusuke Osawa and
                  Pengfei Zhang and
                  Lei Sha and
                  Yuki Ozawa and
                  Anna Kuwana},
  title        = {Fine Time Resolution {TDC} Architectures -Integral and Delta-Sigma
                  Types},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983507},
  doi          = {10.1109/ASICON47005.2019.8983507},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KobayashiMSOZSO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/KuwanaMK19,
  author       = {Anna Kuwana and
                  Jun{-}Ichi Matsuda and
                  Haruo Kobayashi},
  title        = {Optimization of High Reliability and Wide {SOA} 100 {V} {LDMOS} Transistor
                  with Low Specific On-Resistance},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983605},
  doi          = {10.1109/ASICON47005.2019.8983605},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/KuwanaMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LaiWYS19,
  author       = {Cong Lai and
                  Guangyu Wang and
                  Qingyu Yang and
                  Hongbin Sun},
  title        = {Efficient Photometric Alignment for Around View Monitor System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983427},
  doi          = {10.1109/ASICON47005.2019.8983427},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LaiWYS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LanuzzaRGC19,
  author       = {Marco Lanuzza and
                  Raffaele De Rose and
                  Esteban Garz{\'{o}}n and
                  Felice Crupi},
  title        = {Evaluating the Energy Efficiency of STT-MRAMs Based on Perpendicular
                  MTJs with Double Reference Layers},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983643},
  doi          = {10.1109/ASICON47005.2019.8983643},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LanuzzaRGC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LeeLYCY19,
  author       = {Bai Song Samuel Lee and
                  Hang Liu and
                  Xiaopeng Yu and
                  Jer{-}Ming Chen and
                  Kiat Seng Yeo},
  title        = {An Inductorless 5-GHz Differential Dual Regulated Cross-Cascode Transimpedance
                  Amplifier using 40 nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983663},
  doi          = {10.1109/ASICON47005.2019.8983663},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LeeLYCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiHHC19,
  author       = {Lin Li and
                  Qiu Huang and
                  Jianhao Hu and
                  Jienan Chen},
  title        = {A Novel Signed Bit-serial Fixed-point Accumulator with Configurable
                  Overflow-Protection Precision},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983432},
  doi          = {10.1109/ASICON47005.2019.8983432},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiHHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiHQ19,
  author       = {Junshang Li and
                  Zishang He and
                  Yajie Qin},
  title        = {Design of Asynchronous High Throughput {SHA-256} Hardware Accelerator
                  in 40nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983530},
  doi          = {10.1109/ASICON47005.2019.8983530},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiHQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiJWC19,
  author       = {Ning Li and
                  Wen{-}Yang Jiang and
                  Blacksmith Wu and
                  Kanyu Cao},
  title        = {Improve {DRAM} Leakage Issue During {RAS} Operational Phase Through
                  {TCAD} Simulation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983527},
  doi          = {10.1109/ASICON47005.2019.8983527},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiJWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiLCW19,
  author       = {Hong{-}Xiang Li and
                  Wen{-}Hui Li and
                  Wei{-}Wei Chen and
                  Peng{-}Jun Wang},
  title        = {Design of the admittance detecting circuit for silicon waveguides
                  using the capacitor-integration method},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983684},
  doi          = {10.1109/ASICON47005.2019.8983684},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiLCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiMSLJYP19,
  author       = {Wei Li and
                  Yuzhe Ma and
                  Qi Sun and
                  Yibo Lin and
                  Iris Hui{-}Ru Jiang and
                  Bei Yu and
                  David Z. Pan},
  title        = {OpenMPL: An Open Source Layout Decomposer: Invited Paper},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983622},
  doi          = {10.1109/ASICON47005.2019.8983622},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiMSLJYP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiMWZY19,
  author       = {Yafei Li and
                  Kuizhi Mei and
                  Xiao Wang and
                  Zeng Zhang and
                  Hejie Yu},
  title        = {Collaborative Implementation of Hardware-Oriented {GBDT} Compress
                  Algorithm Based on {DSP+FPGA}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983639},
  doi          = {10.1109/ASICON47005.2019.8983639},
  timestamp    = {Thu, 13 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiMWZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiPTRF19,
  author       = {Muchan Li and
                  Pei Peng and
                  Zhongzheng Tian and
                  Liming Ren and
                  Yunyi Fu},
  title        = {Adsorbates on Multilayer Graphene Surface: Morphology, Distribution
                  and Electrical Properties},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983449},
  doi          = {10.1109/ASICON47005.2019.8983449},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiPTRF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiSCW19,
  author       = {Qi Li and
                  Yujun Shu and
                  Yongzhen Chen and
                  Jiangfeng Wu},
  title        = {An Area-Efficient Multi-Rate Digital Decimator},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983528},
  doi          = {10.1109/ASICON47005.2019.8983528},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiSCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiSD19,
  author       = {Lintao Li and
                  Jiangyi Shi and
                  Zhixiong Di},
  title        = {High Parallel {VLSI} Architecture Design of {BPC} in {JPEG2000}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983455},
  doi          = {10.1109/ASICON47005.2019.8983455},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiSD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiWJ19,
  author       = {Zhigang Li and
                  Xiaofei Wang and
                  Jing Jin},
  title        = {A 0.0558-mm\({}^{\mbox{2}}\) 0.05-0.9GHz Low-Power Multi-phase Non-overlap
                  Clock Generator in 40 nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983602},
  doi          = {10.1109/ASICON47005.2019.8983602},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LiWJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiWXSC19,
  author       = {Yan{-}Ming Li and
                  Xiao{-}Xiao Wang and
                  Xiao{-}Li Xi and
                  Jian Sun and
                  Zhong{-}Hui Chen},
  title        = {A {FT} Trimming Circuit Based on {EPROM} and Pin Multiplexing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983451},
  doi          = {10.1109/ASICON47005.2019.8983451},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiWXSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiXHW19,
  author       = {Jia{-}Qiang Li and
                  Li{-}Yi Xiao and
                  Liu He and
                  Hao{-}Tian Wu},
  title        = {A Method to Design 5-Bit Burst Error Correction Code against the Multiple
                  Bit Upset {(MBU)} in Memories},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983522},
  doi          = {10.1109/ASICON47005.2019.8983522},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiXHW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiXLL19,
  author       = {Hongchen Li and
                  Liyi Xiao and
                  Jie Li and
                  He Liu},
  title        = {Novel High-Performance and Cost Effective Soft Error Hardened Flip-Flop
                  Design for Nanoscale {CMOS} Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983558},
  doi          = {10.1109/ASICON47005.2019.8983558},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiXLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiXLLM19,
  author       = {Linzhe Li and
                  Liyi Xiao and
                  Jie Li and
                  He Liu and
                  Zhigang Mao},
  title        = {Synergistic Effect of {BTI} and Process Variations on Impact and Monitoring
                  of Combination Circuit},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983690},
  doi          = {10.1109/ASICON47005.2019.8983690},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiXLLM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiXLLW19,
  author       = {Jie Li and
                  Liyi Xiao and
                  Hongchen Li and
                  Lulu Liao and
                  Chenxu Wang},
  title        = {A Radiation Hardened Clock Inverter Cell with High Reliability for
                  Mitigating {SET} in Clock Network},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983515},
  doi          = {10.1109/ASICON47005.2019.8983515},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiXLLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiYH19,
  author       = {Jieyang Li and
                  Ting Yi and
                  Zhiliang Hong},
  title        = {A wide range and high resolution two-step {TDC} for millimeter-wave
                  band {ADPLL}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983665},
  doi          = {10.1109/ASICON47005.2019.8983665},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiYH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiYLLL19,
  author       = {Hao Li and
                  Hongmei Yu and
                  Dongsheng Liu and
                  Peng Liu and
                  Bo Liu},
  title        = {A Low-power High-reliability {STT-MRAM} Write Scheme with Real-time
                  Voltage Sensing Module},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983459},
  doi          = {10.1109/ASICON47005.2019.8983459},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiYLLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiZWLZT19,
  author       = {Jintao Li and
                  Yanhan Zeng and
                  Hailong Wu and
                  Ruguo Li and
                  Jun Zhang and
                  Hong{-}Zhou Tan},
  title        = {Performance optimization for {LDO} regulator based on the differential
                  evolution},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983642},
  doi          = {10.1109/ASICON47005.2019.8983642},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LiZWLZT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiangLJ19,
  author       = {Yingying Liang and
                  Xiaoming Liu and
                  Jing Jin},
  title        = {An Optimized Modeling Method for Transformer Design},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983686},
  doi          = {10.1109/ASICON47005.2019.8983686},
  timestamp    = {Mon, 19 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LiangLJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LinH19,
  author       = {Horng{-}Chih Lin and
                  Yu{-}An Huang},
  title        = {A Platform with Exquisite Film Profile Engineering in Oxide-Based
                  Thin-Film Transistors for More-than-Moore Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983485},
  doi          = {10.1109/ASICON47005.2019.8983485},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LinH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuCCDZ19,
  author       = {Xiaoyan Liu and
                  Wangyong Chen and
                  Linlin Cai and
                  Gang Du and
                  Xing Zhang},
  title        = {Self-heating Induced Variability and Reliability in Advanced Logic
                  Devices and Circuits},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983608},
  doi          = {10.1109/ASICON47005.2019.8983608},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuCCDZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuCHLL19,
  author       = {Wei Liu and
                  Weilin Cong and
                  Chengyu Hu and
                  Peng Lu and
                  Jinmei Lai},
  title        = {Balance of memory footprint and runtime for high-density routing in
                  large-scale FPGAs},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983426},
  doi          = {10.1109/ASICON47005.2019.8983426},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuCHLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuCKW19,
  author       = {Baicheng Liu and
                  Song Chen and
                  Yi Kang and
                  Feng Wu},
  title        = {An Energy-Efficient Systolic Pipeline Architecture for Binary Convolutional
                  Neural Network},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983637},
  doi          = {10.1109/ASICON47005.2019.8983637},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuCKW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuCMH19,
  author       = {Fuqiang Liu and
                  Mingfeng Chen and
                  Heng Ma and
                  Zhiliang Hong},
  title        = {Dual-Loop-Controlled AC-Coupling 100MHz Bandwidth Envelope Tracking
                  Modulator for 5G {RF} Power Amplifier},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983458},
  doi          = {10.1109/ASICON47005.2019.8983458},
  timestamp    = {Wed, 07 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuCMH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuJZ19,
  author       = {Xinning Liu and
                  Song Jia and
                  Hanzun Zhang},
  title        = {A Novel High-speed FPGA-based True Random Number Generator Based on
                  Chaotic Ring Oscillator},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983534},
  doi          = {10.1109/ASICON47005.2019.8983534},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuJZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuKCYZC19,
  author       = {Cong Liu and
                  MouFu Kong and
                  Hanzhi Chen and
                  Bo Yi and
                  Bingke Zhang and
                  Xingbi Chen},
  title        = {Simulation Study on Novel High Voltage Transient Voltage Suppression
                  Diodes},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983500},
  doi          = {10.1109/ASICON47005.2019.8983500},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuKCYZC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuLXWL19,
  author       = {Jiafeng Liu and
                  Zhiyin Lu and
                  Xie Xie and
                  Jian Wang and
                  Jinmei Lai},
  title        = {An Exponential Dynamic Weighted Fair Queuing Algorithm for Task Scheduling
                  in Chip Verification Platform},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983462},
  doi          = {10.1109/ASICON47005.2019.8983462},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuLXWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuYWLZ19,
  author       = {Lei Liu and
                  Yao Yao and
                  Meng{-}Qi Wen and
                  Yue Li and
                  David Wei Zhang},
  title        = {A pn-Coupled Superjunction {IGBT} for High Switching Speed},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983431},
  doi          = {10.1109/ASICON47005.2019.8983431},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuYWLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuZHRLS19,
  author       = {Xilin Liu and
                  Milin Zhang and
                  Han Hao and
                  Andrew G. Richardson and
                  Timothy H. Lucas and
                  Jan Van der Spiegel},
  title        = {Wireless Sensor Brain Machine Interfaces for Closed-loop Neuroscience
                  Studies},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983630},
  doi          = {10.1109/ASICON47005.2019.8983630},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuZHRLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiuZLL19,
  author       = {Sujuan Liu and
                  Lili Zheng and
                  Lei Liu and
                  Qianjin Lin},
  title        = {{MMV} Subspace Pursuit {(M-SP)} Algorithm for Joint Sparse Multiple
                  Measurement Vectors Recovery},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983646},
  doi          = {10.1109/ASICON47005.2019.8983646},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiuZLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LuLLLL19,
  author       = {Jiahao Lu and
                  Xianghua Luo and
                  Dongsheng Liu and
                  Peng Liu and
                  Bo Liu},
  title        = {A Configurable Architecture of {ANN} in Hardware with Resource-Efficient
                  Reusable Neuron},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983505},
  doi          = {10.1109/ASICON47005.2019.8983505},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LuLLLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LuLPLZLW19,
  author       = {Zhi{-}Yin Lu and
                  Jia{-}Feng Liu and
                  Yunbing Pang and
                  Zhengjie Li and
                  Yufan Zhang and
                  Jin{-}Mei Lai and
                  Jian Wang},
  title        = {A Low-delay Configurable Register for {FPGA}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983553},
  doi          = {10.1109/ASICON47005.2019.8983553},
  timestamp    = {Wed, 15 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LuLPLZLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LuYLLLL19,
  author       = {Kaiwen Lu and
                  Fengze Yan and
                  Xingjie Liu and
                  Dongsheng Liu and
                  Peng Liu and
                  Bo Liu},
  title        = {Novel smart card SoC memory architecture based on embedded {STT-MRAM}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983653},
  doi          = {10.1109/ASICON47005.2019.8983653},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LuYLLLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LuoLRZWL19,
  author       = {Huanlin Luo and
                  Yunbo Liu and
                  Hai Ren and
                  Tiantian Zhang and
                  Jian Wang and
                  Jinmei Lai},
  title        = {An FPGA-based log-structure Flash memory system for space exploration},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983543},
  doi          = {10.1109/ASICON47005.2019.8983543},
  timestamp    = {Wed, 15 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LuoLRZWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LuoSDYR19,
  author       = {Longheng Luo and
                  Xingchen Shen and
                  Jianguo Diao and
                  Fan Ye and
                  Junyan Ren},
  title        = {A Comparator-Reused Dynamic-Amplifier for Noise-Shaping {SAR} {ADC}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983604},
  doi          = {10.1109/ASICON47005.2019.8983604},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LuoSDYR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LvLCN19,
  author       = {Guangqiu Lv and
                  Wei Li and
                  Tao Chen and
                  Longmei Nan},
  title        = {Transparent Buffer Management: An Intra-cluster Task Scheduling Method
                  Based on Dynamic Virtual Channel},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983601},
  doi          = {10.1109/ASICON47005.2019.8983601},
  timestamp    = {Tue, 02 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LvLCN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LvMLH19,
  author       = {Dehong Lv and
                  Heng Ma and
                  Fuqiang Liu and
                  Zhiliang Hong},
  title        = {A curvature corrected bandgap reference with mismatch cancelling and
                  noise reduction},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983510},
  doi          = {10.1109/ASICON47005.2019.8983510},
  timestamp    = {Wed, 07 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/LvMLH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LvNL19,
  author       = {Wentao Lv and
                  Xiaokang Niu and
                  Lianming Li},
  title        = {A 60GHz Digitally-Controlled Differential Reflection-type Phase Shifter
                  in 65-nm {CMOS} with Low Phase Error},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983477},
  doi          = {10.1109/ASICON47005.2019.8983477},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LvNL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaCW19,
  author       = {Pingshun Ma and
                  Yongzhen Chen and
                  Jiangfeng Wu},
  title        = {A Double-Latch Comparator for Multi-GS/s {SAR} ADCs in 28nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983540},
  doi          = {10.1109/ASICON47005.2019.8983540},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/MaCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaJGZ19,
  author       = {Yu Ma and
                  Dingcheng Jia and
                  Wei Gao and
                  Pingqiang Zhou},
  title        = {Addressing Aging Issues in Heterogeneous Three-Dimensional Integrated
                  Circuits},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983595},
  doi          = {10.1109/ASICON47005.2019.8983595},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/MaJGZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaJZWZ19,
  author       = {Yu Ma and
                  Dingcheng Jia and
                  Huifan Zhang and
                  Ruoyu Wang and
                  Pingqiang Zhou},
  title        = {A Compact Memory Structure based on 2T1R Against Single-Event Upset
                  in {RRAM} Arrays},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983491},
  doi          = {10.1109/ASICON47005.2019.8983491},
  timestamp    = {Fri, 14 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/MaJZWZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaWLLZL19,
  author       = {Shang Ma and
                  Xuesi Wang and
                  Yongjie Li and
                  Kai Long and
                  Bixin Zhu and
                  Xin Lei},
  title        = {A Low Complexity {DDS} Based On Optimized {CORDIC} Algorithm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983676},
  doi          = {10.1109/ASICON47005.2019.8983676},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/MaWLLZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaXWC19,
  author       = {Jingrui Ma and
                  Qi{-}An Xu and
                  Blacksmith Wu and
                  Kanyu Cao},
  title        = {Improved Model for {ESD} Failure Caused by Stressing No Connect Pin},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983554},
  doi          = {10.1109/ASICON47005.2019.8983554},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/MaXWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaZZ19,
  author       = {Yu Ma and
                  Linfeng Zheng and
                  Pingqiang Zhou},
  title        = {CoDRAM: {A} Novel Near Memory Computing Framework with Computational
                  {DRAM}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983464},
  doi          = {10.1109/ASICON47005.2019.8983464},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/MaZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MingZFQLZ19,
  author       = {Xin Ming and
                  Zhi{-}Wen Zhang and
                  Ziwei Fan and
                  Yao Qin and
                  Yuan{-}Yuan Liu and
                  Bo Zhang},
  title        = {High Reliability GaN {FET} Gate Drivers for Next-generation Power
                  Electronics Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983566},
  doi          = {10.1109/ASICON47005.2019.8983566},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/MingZFQLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MingZH19,
  author       = {Li Ming and
                  Zeng Zhi and
                  Wei Hongtao},
  title        = {A design of a wideband balanced limited low noise amplifier},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983621},
  doi          = {10.1109/ASICON47005.2019.8983621},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/MingZH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/Nagata19,
  author       = {Makoto Nagata},
  title        = {On-Chip Protection of Cryptographic ICs Against Physical Side Channel
                  Attacks: Invited Paper},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983486},
  doi          = {10.1109/ASICON47005.2019.8983486},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/Nagata19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/PangCYMWS19,
  author       = {Liang Pang and
                  Yifan Chai and
                  Mengyun Yao and
                  Yaqing Men and
                  Xuexiang Wang and
                  Longxing Shi},
  title        = {An Accurate and Efficient Yield Analysis for {SRAM} dynamic metrics
                  Using Differential Evolution Algorithm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983517},
  doi          = {10.1109/ASICON47005.2019.8983517},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/PangCYMWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/PangJWLYN19,
  author       = {Yalong Pang and
                  Shuai Jiang and
                  Lu{-}yuan Wang and
                  Weiwei Liu and
                  Ji{-}yang Yu and
                  Yuehua Niu},
  title        = {A Lightweight Slave-Module Interface Core to Implement {IEEE} 1149.5
                  MTM-Bus Based on {FPGA}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983524},
  doi          = {10.1109/ASICON47005.2019.8983524},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/PangJWLYN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/PangXLLZL19,
  author       = {Yunbing Pang and
                  Jiqing Xu and
                  Zhiyin Lu and
                  Zhengjie Li and
                  Yufan Zhang and
                  Jinmei Lai},
  title        = {Research on Area Modeling Methodology for {FPGA} Interconnect Circuits},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983580},
  doi          = {10.1109/ASICON47005.2019.8983580},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/PangXLLZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/PengLL19,
  author       = {Yang Yang Peng and
                  Ping Li and
                  Yang Li},
  title        = {Reconfigurable {RF} Power Amplifier in 5G/4G with {RF-SOI} {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983472},
  doi          = {10.1109/ASICON47005.2019.8983472},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/PengLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/PengXS19,
  author       = {Kun Peng and
                  Yang Xu and
                  Mingqian Sun},
  title        = {Ultra-Low-Power {CMOS} Temperature Sensor for {UHF} {RFID} Systems},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983674},
  doi          = {10.1109/ASICON47005.2019.8983674},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/PengXS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/PhamNM19,
  author       = {Khoa Van Pham and
                  Tien Van Nguyen and
                  Kyeong{-}Sik Min},
  title        = {Defect-Tolerant and Energy-Efficient Training of Multi-Valued and
                  Binary Memristor Crossbars for Near-Sensor Cognitive Computing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983478},
  doi          = {10.1109/ASICON47005.2019.8983478},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/PhamNM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/QiSM19,
  author       = {Liang Qi and
                  Sai{-}Weng Sin and
                  Rui Paulo Martins},
  title        = {Multibit Sturdy {MASH} {\(\Delta\)}{\(\Sigma\)} Modulator with Error-shaped
                  Segmented DACs for Wideband Low-power Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983518},
  doi          = {10.1109/ASICON47005.2019.8983518},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/QiSM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/QiW19,
  author       = {Nan Qi and
                  Nanjian Wu},
  title        = {Design of High-Speed Drivers for 56Gb/s {PAM4} Optical Communications
                  in {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983688},
  doi          = {10.1109/ASICON47005.2019.8983688},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/QiW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/QianG19,
  author       = {Huimin Qian and
                  Jianping Guo},
  title        = {A 1.26-ps-FoM Output-Capacitorless {LDO} with Dual-Path Active-Feedback
                  Frequency Compensation and Current-Reused Dynamic Biasing in 65-nm
                  {CMOS} Technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983549},
  doi          = {10.1109/ASICON47005.2019.8983549},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/QianG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/QiaoLZZD19,
  author       = {Shixing Qiao and
                  Hongliang Lv and
                  Yuming Zhang and
                  Yimen Zhang and
                  Peng Ding},
  title        = {An Improved InP {HEMT} Small Signal Model with {RC} Network},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983689},
  doi          = {10.1109/ASICON47005.2019.8983689},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/QiaoLZZD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/QiaoWZ19,
  author       = {Jun Qiao and
                  Xiao Wang and
                  Yaohong Zhao},
  title        = {Design of High Dynamic Range and Digitalized Readout Integrated Circuit
                  for {LWIR} FPAs},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983439},
  doi          = {10.1109/ASICON47005.2019.8983439},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/QiaoWZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/QuHMXCF19,
  author       = {Xinyuan Qu and
                  Zhihong Huang and
                  Ning Mao and
                  Yu Xu and
                  Gang Cai and
                  Zhen Fang},
  title        = {A Grain-Adaptive Computing Structure for {FPGA} {CNN} Acceleration},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983480},
  doi          = {10.1109/ASICON47005.2019.8983480},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/QuHMXCF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/RenXZYZ19,
  author       = {Yuqing Ren and
                  Weihong Xu and
                  Zaichen Zhang and
                  Xiaohu You and
                  Chuan Zhang},
  title        = {Efficient Belief Propagation List Decoding of Polar Codes},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983597},
  doi          = {10.1109/ASICON47005.2019.8983597},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/RenXZYZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SadiBGDA19,
  author       = {Toufik Sadi and
                  Oves Badami and
                  Vihar P. Georgiev and
                  Jie Ding and
                  Asen Asenov},
  title        = {Advanced Simulation of {RRAM} Memory Cells},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983467},
  doi          = {10.1109/ASICON47005.2019.8983467},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SadiBGDA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SeabaughPPGP19,
  author       = {Alan C. Seabaugh and
                  Paolo Paletti and
                  Anwesha Palit and
                  Karla Gonz{\'{a}}lez{-}Serrano and
                  Pratyush Pandey},
  title        = {Dynamics of Ferroelectric and Ionic Memories: Physics and Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983607},
  doi          = {10.1109/ASICON47005.2019.8983607},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/SeabaughPPGP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ShengCLDWB19,
  author       = {Yaochen Sheng and
                  Xinyu Chen and
                  Fuyou Liao and
                  Jianan Deng and
                  Jing Wan and
                  Wenzhong Bao},
  title        = {Graphene Top-gated Mos2 Phototransistors},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983628},
  doi          = {10.1109/ASICON47005.2019.8983628},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ShengCLDWB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ShiLCCHLZ19,
  author       = {Xueyou Shi and
                  Dahe Liu and
                  Zhongjian Chen and
                  Guangyi Chen and
                  Shoudong Huang and
                  Wengao Lu and
                  Yacong Zhang},
  title        = {A Low-Power Single-Slope based 14-bit Column-Level {ADC} for 384{\texttimes}288
                  Uncooled Infrared Imager},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983526},
  doi          = {10.1109/ASICON47005.2019.8983526},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ShiLCCHLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ShiWCZ19,
  author       = {Yue Shi and
                  Jiawen Wang and
                  Jianwen Cao and
                  Zekun Zhou},
  title        = {An Ultra-Low Power Cycle-by-Cycle Current Limiter Suitable for Switching-Mode
                  Power Supply with 2.2 MHz Frequency},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983457},
  doi          = {10.1109/ASICON47005.2019.8983457},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ShiWCZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ShiYYZWSH19,
  author       = {Xiao Shi and
                  Jinlong Yan and
                  Hao Yan and
                  Jiajia Zhang and
                  Jinxin Wang and
                  Longxing Shi and
                  Lei He},
  title        = {Adaptive Low-Rank Tensor Approximation for {SRAM} Yield Analysis using
                  Bootstrap Resampling},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983442},
  doi          = {10.1109/ASICON47005.2019.8983442},
  timestamp    = {Thu, 11 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ShiYYZWSH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SiQCXSZLSLCW19,
  author       = {Xin Si and
                  He Qian and
                  Meng{-}Fan Chang and
                  Cheng{-}Xin Xue and
                  Jian{-}Wei Su and
                  Zhixiao Zhang and
                  Sih{-}Han Li and
                  Shyh{-}Shyuan Sheu and
                  Heng{-}Yuan Lee and
                  Ping{-}Cheng Chen and
                  Huaqiang Wu},
  title        = {Circuit Design Challenges in Computing-in-Memory for {AI} Edge Devices},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983627},
  doi          = {10.1109/ASICON47005.2019.8983627},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SiQCXSZLSLCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SimoenOVCRMHC19,
  author       = {Eddy Simoen and
                  Alberto Vinicius Oliveira and
                  Anabela Veloso and
                  Adrian Vaisman Chasin and
                  Romain Ritzenthaler and
                  Hans Mertens and
                  Naoto Horiguchi and
                  Cor Claeys},
  title        = {Impact of Device Architecture and Gate Stack Processing on the Low-Frequency
                  Noise of Silicon Nanowire Transistors},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983679},
  doi          = {10.1109/ASICON47005.2019.8983679},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SimoenOVCRMHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SongFCLZ19,
  author       = {Wenqing Song and
                  Yuxiang Fu and
                  Qinyu Chen and
                  Li Li and
                  Chuan Zhang},
  title        = {{ANN} Based Adaptive Successive Cancellation List Decoder for Polar
                  Codes},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983589},
  doi          = {10.1109/ASICON47005.2019.8983589},
  timestamp    = {Fri, 26 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SongFCLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SongSLCC19,
  author       = {Ruiqiang Song and
                  Jinjin Shao and
                  Bin Liang and
                  Yaqing Chi and
                  Jianjun Chen},
  title        = {A Single-Event Upset Evaluation Approach Using Ion-Induced Sensitive
                  Area},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983476},
  doi          = {10.1109/ASICON47005.2019.8983476},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SongSLCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SongTLW19,
  author       = {Suwen Song and
                  Jing Tian and
                  Jun Lin and
                  Zhongfeng Wang},
  title        = {Redundancy-Aided Iterative Reliability-Based Majority-Logic Decoding
                  for {NB-LDPC} Codes},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983490},
  doi          = {10.1109/ASICON47005.2019.8983490},
  timestamp    = {Thu, 27 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/SongTLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SongWFLZZLTDYZ19,
  author       = {Xubo Song and
                  Yuangang Wang and
                  Zhihong Feng and
                  Yuanjie Lv and
                  Yamin Zhang and
                  Lisen Zhang and
                  Shixiong Liang and
                  Xin Tan and
                  Shaobo Dun and
                  Dabao Yang and
                  Zhirong Zhang},
  title        = {GaN Schottky Diode Model for THz Multiplier Design with Consideration
                  of Self-heating Effect},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983542},
  doi          = {10.1109/ASICON47005.2019.8983542},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SongWFLZZLTDYZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SongZZW19,
  author       = {Xiangnan Song and
                  Shiying Zhang and
                  Ju Zhou and
                  Xuexiang Wang},
  title        = {A Variation Aware Register Clustering Methodology in Near-Threshold
                  Region},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983494},
  doi          = {10.1109/ASICON47005.2019.8983494},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SongZZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SongtingCZ19,
  author       = {Songting Li and
                  Lihu Chen and
                  Yong Zhao},
  title        = {One-channel Zero-IF Multi-mode {GNSS} Receiver with Self-adaptive
                  Digitally-assisted Calibration},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983551},
  doi          = {10.1109/ASICON47005.2019.8983551},
  timestamp    = {Mon, 17 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/SongtingCZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SuCCCJ19,
  author       = {Yu{-}Cheng Su and
                  Kang{-}Yu Chang and
                  Yu{-}Tung Chin and
                  Chia{-}Wen Chang and
                  Shyh{-}Jye Jou},
  title        = {Synthesizable Injection-Locked Phase-Locked Loop with Multiphase Interlocking
                  Digitally Controlled Oscillator Arrays},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983440},
  doi          = {10.1109/ASICON47005.2019.8983440},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SuCCCJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunFCRLZWG19,
  author       = {Hao Sun and
                  Jun Fu and
                  Wenpu Cui and
                  Tianling Ren and
                  Linlin Liu and
                  Wei Zhou and
                  Quan Wang and
                  Ao Guo},
  title        = {Scalable Modeling for the {CPW} Gap Discontinuity at Frequency up
                  to 150 GHz},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983616},
  doi          = {10.1109/ASICON47005.2019.8983616},
  timestamp    = {Wed, 13 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SunFCRLZWG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunLYW19,
  author       = {Peng Sun and
                  Yun Li and
                  Yao Yao and
                  Peng{-}Fei Wang},
  title        = {Study for {NOR} Flash cell burn out failure improvement in the advanced
                  node below 65nm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983488},
  doi          = {10.1109/ASICON47005.2019.8983488},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/SunLYW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunMCF19,
  author       = {Yufei Sun and
                  Yanzhao Ma and
                  Kai Cui and
                  Xiaoya Fan},
  title        = {A Low-Power Comparator-Less Relaxation Oscillator},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983475},
  doi          = {10.1109/ASICON47005.2019.8983475},
  timestamp    = {Thu, 18 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/SunMCF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunTKKK19,
  author       = {Yifei Sun and
                  Minh Tri Tran and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Pulse Coding Control Switching Converter with Adjustable Conversion
                  Voltage Ratio Notch Frequency Generation in Noise Spectrum},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983640},
  doi          = {10.1109/ASICON47005.2019.8983640},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SunTKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunTKKK19a,
  author       = {Yifei Sun and
                  Minh Tri Tran and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {{EMI} Noise Reduction and Output Ripple Cancellation for Full-Wave
                  Type Soft-Switching Converter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983667},
  doi          = {10.1109/ASICON47005.2019.8983667},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SunTKKK19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunZZW19,
  author       = {Yiran Sun and
                  Ju Zhou and
                  Shiying Zhang and
                  Xuexiang Wang},
  title        = {Buffer Sizing for Near-Threshold Clock Tree using Improved Genetic
                  Algorithm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983483},
  doi          = {10.1109/ASICON47005.2019.8983483},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/SunZZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TangLDWZZ19,
  author       = {Tianyuan Tang and
                  Ping Luo and
                  Chengda Deng and
                  Qiang Wang and
                  Liao Zhang and
                  Bo Zhang},
  title        = {An Optimal Designed Compensator for {PSR} Flyback Converters Based
                  on Genetic Algorithm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983651},
  doi          = {10.1109/ASICON47005.2019.8983651},
  timestamp    = {Tue, 19 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/TangLDWZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TangLZDWB19,
  author       = {Hongwei Tang and
                  Fuyou Liao and
                  Xinzhi Zhang and
                  Jianan Deng and
                  Jing Wan and
                  Wenzhong Bao},
  title        = {Mos2 transistor gated by PMMA-based electrolyte for sub-1 {V} operation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983655},
  doi          = {10.1109/ASICON47005.2019.8983655},
  timestamp    = {Tue, 08 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/TangLZDWB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TranKKK19,
  author       = {Minh Tri Tran and
                  Nene Kushita and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Flat Pass-Band Method with Two {RC} Band-Stop Filters for 4-Stage
                  Passive {RC} Polyphase Filter in Low-IF Receiver Systems},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983611},
  doi          = {10.1109/ASICON47005.2019.8983611},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/TranKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TranSKKK19,
  author       = {Minh Tri Tran and
                  Yifei Sun and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Overshoot Cancelation Based on Balanced Charge-Discharge Time Condition
                  for Buck Converter in Mobile Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983565},
  doi          = {10.1109/ASICON47005.2019.8983565},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/TranSKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TranSKKK19a,
  author       = {Minh Tri Tran and
                  Yifei Sun and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Minimum Output Ripple and Fixed Operating Frequency Based on Modulation
                  Injection for {COT} Ripple Control Converter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983591},
  doi          = {10.1109/ASICON47005.2019.8983591},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/TranSKKK19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TsukitaEFK19,
  author       = {Yuto Tsukita and
                  Mitsunori Ebara and
                  Jun Furuta and
                  Kazutoshi Kobayashi},
  title        = {Soft-Error Tolerance Depending on Supply Voltage by Heavy Ions on
                  Radiation-Hardened Flip Flops in a 65 nm Bulk Process},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983599},
  doi          = {10.1109/ASICON47005.2019.8983599},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/TsukitaEFK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/TuoCLY19,
  author       = {Zhao Tuo and
                  Tao Chen and
                  Wei Li and
                  Danyang Yang},
  title        = {Method for improving energy efficiency of elliptic curve cryptography
                  algorithm on reconfigurable symmetric cipher processor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983539},
  doi          = {10.1109/ASICON47005.2019.8983539},
  timestamp    = {Tue, 02 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/TuoCLY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangCHXH19,
  author       = {Tao Wang and
                  Hejia Cai and
                  Yan Hu and
                  Pan Xue and
                  Zhiliang Hong},
  title        = {A Compact Quadrature Doherty Digital Power Amplifier with Backoff
                  Efficiency Enhancement},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983581},
  doi          = {10.1109/ASICON47005.2019.8983581},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangCHXH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangGFZ19,
  author       = {Yangsheng Wang and
                  Yanyan Gao and
                  Chong Feng and
                  Nan Zhang},
  title        = {A radiation resistant library based on {DICE} and fault-tolerant delay
                  filtering techniques in {CMOS} 0.18{\(\mu\)}m technology},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983582},
  doi          = {10.1109/ASICON47005.2019.8983582},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangGFZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangHSSSKIKK19,
  author       = {Shiyu Wang and
                  Md. Zakir Hossain and
                  Takaaki Suzuki and
                  Kazuo Shinozuka and
                  Natsuhiko Shimizu and
                  Shunya Kitada and
                  Ryo Ichige and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Graphene Biosensor for Saliva Protein Adsorption},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983635},
  doi          = {10.1109/ASICON47005.2019.8983635},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangHSSSKIKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangHYBL19,
  author       = {Shengkai Wang and
                  Jilong Hao and
                  Nannan You and
                  Yun Bai and
                  Xinyu Liu},
  title        = {Rapid Growth of SiO2 on SiC with Low Ditusing High Pressure Microwave
                  Oxygen Plasma},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983626},
  doi          = {10.1109/ASICON47005.2019.8983626},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangHYBL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangL19,
  author       = {Joddy Wang and
                  Frank Lee},
  title        = {Advanced Reliability-Aware Verification for Robust Circuit Design},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983649},
  doi          = {10.1109/ASICON47005.2019.8983649},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangLZYX19,
  author       = {Tao Wang and
                  Wei Li and
                  Haoyang Zhou and
                  Jiao Ye and
                  Yuanyuan Xu},
  title        = {An 8-12GHz Class-F3 {VCO} with Multi-LC Tank in 28nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983492},
  doi          = {10.1109/ASICON47005.2019.8983492},
  timestamp    = {Mon, 18 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/WangLZYX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangMXCWZYH19,
  author       = {Huashu Wang and
                  Wei Ma and
                  Zhiming Xiao and
                  Wei{-}Chih Cheng and
                  Liang Wang and
                  Fanming Zeng and
                  Hongyu Yu and
                  Weibo Hu},
  title        = {The Design and Performance Comparison of Wide Bandwidth {LNA} with
                  Three Different Kinds of Technologies},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983443},
  doi          = {10.1109/ASICON47005.2019.8983443},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangMXCWZYH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangW19,
  author       = {Yichen Wang and
                  Lunyao Wang},
  title        = {Power optimization for {FPRM} logic using approximate computing technique},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983617},
  doi          = {10.1109/ASICON47005.2019.8983617},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangW19a,
  author       = {Leiou Wang and
                  Donghui Wang},
  title        = {An Automatically Selective Signal Combining Algorithm and System for
                  Low {SNR} {ECG} Signals},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983673},
  doi          = {10.1109/ASICON47005.2019.8983673},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangW19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangWGJ19,
  author       = {Yifei Wang and
                  Xiaofei Wang and
                  Yuekang Guo and
                  Ting Jin},
  title        = {A Low-Power 10-bit 160-MSample/s {DAC} in 40-nm {CMOS} for Baseband
                  Wireless Transmitter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983516},
  doi          = {10.1109/ASICON47005.2019.8983516},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangWGJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangWLZ19,
  author       = {Yongsheng Wang and
                  Anyi Wang and
                  Lei Li and
                  Chengxin Zhao},
  title        = {A Micro Power High Precision Sigma-Delta {ADC} with Adjustable Decimation
                  Ratio},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983614},
  doi          = {10.1109/ASICON47005.2019.8983614},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangWLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangWW19,
  author       = {Junyao Wang and
                  Hairui Wang and
                  Bo Wang},
  title        = {A 5-bit, 87-fs Step, Constant-Slope, Charge-Sharing-Based Encoding
                  Digital-to-Time Converter in 130nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983537},
  doi          = {10.1109/ASICON47005.2019.8983537},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangWW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangWZ19,
  author       = {Xubo Wang and
                  Qing Wang and
                  Jia Zhou},
  title        = {Inverse {RIE} micro-loading in deep etching of silicon via array},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983664},
  doi          = {10.1109/ASICON47005.2019.8983664},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangWZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangZGWWWH19,
  author       = {Runsheng Wang and
                  Zhe Zhang and
                  Shaofeng Guo and
                  Qingxue Wang and
                  Dehuang Wu and
                  Joddy Wang and
                  Ru Huang},
  title        = {OMI/TMI-based Modeling and Fast Simulation of Random Telegraph Noise
                  {(RTN)} in Advanced Logic Devices and Circuits},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983538},
  doi          = {10.1109/ASICON47005.2019.8983538},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangZGWWWH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WeiIOIKASKKNHS19,
  author       = {Jiang{-}Lin Wei and
                  Takashi Ishida and
                  Toshiyuki Okamoto and
                  Tamotsu Ichikawa and
                  Nene Kushita and
                  Takahiro Arai and
                  Lei Sha and
                  Anna Kuwana and
                  Haruo Kobayashi and
                  Takayuki Nakatani and
                  Kazumi Hatayama and
                  Keno Sato},
  title        = {High-Resolution Low-Sampling-Rate {\(\Delta\)}{\(\sum\)} {ADC} Linearity
                  Short-Time Testing Algorithm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983555},
  doi          = {10.1109/ASICON47005.2019.8983555},
  timestamp    = {Mon, 21 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WeiIOIKASKKNHS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WeiZWMR19,
  author       = {Dong Wei and
                  Jincheng Zhang and
                  Tianxiang Wu and
                  Shunli Ma and
                  Junyan Ren},
  title        = {A 22-40.5 GHz {UWB} {LNA} Design in 0.15um GaAs},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983606},
  doi          = {10.1109/ASICON47005.2019.8983606},
  timestamp    = {Mon, 17 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/WeiZWMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WeiZZWDSCL19,
  author       = {Jinsong Wei and
                  Jilin Zhang and
                  Xumeng Zhang and
                  Zuheng Wu and
                  Chunmeng Dou and
                  Tuo Shi and
                  Hong Chen and
                  Qi Liu},
  title        = {An Asynchronous {AER} Circuits with Rotation Priority Tree Arbiter
                  for Neuromorphic Hardware with Analog Neuron},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983508},
  doi          = {10.1109/ASICON47005.2019.8983508},
  timestamp    = {Wed, 03 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/WeiZZWDSCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WenLMZQLZ19,
  author       = {Liang Wen and
                  Yu Liu and
                  Wei Mo and
                  Jing Zhang and
                  Shiqian Qi and
                  Jianping Lv and
                  Yuejun Zhang},
  title        = {A 96kb, 0.36V, Energy-Efficient 8T-SRAM with Column-Selection and
                  Shared Buffer-Foot Techniques for {EEG} Processor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983645},
  doi          = {10.1109/ASICON47005.2019.8983645},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WenLMZQLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WenYSZZ19,
  author       = {Weijing Wen and
                  Fan Yang and
                  Yangfeng Su and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {Learning Sparse Patterns in Deep Neural Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983429},
  doi          = {10.1109/ASICON47005.2019.8983429},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WenYSZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WuYJWLCZSWX19,
  author       = {Jingyi Wu and
                  Hongyu Yu and
                  Yang Jiang and
                  Zeyu Wan and
                  Siqi Lei and
                  Wei{-}Chih Cheng and
                  Guangnan Zhou and
                  Robert Sokolovskij and
                  Qing Wang and
                  Guangrui Maggie Xia},
  title        = {Oxygen-plasma-based digital etching for GaN/AlGaN high electron mobility
                  transistors},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983678},
  doi          = {10.1109/ASICON47005.2019.8983678},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/WuYJWLCZSWX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WuYTWFHCX19,
  author       = {Danqing Wu and
                  Shilin Yan and
                  Haodi Tang and
                  Yu Wang and
                  Jiayun Feng and
                  Xianwu Hu and
                  Jiaxin Cao and
                  Yufeng Xie},
  title        = {A digitalized RRAM-based Spiking Neuron Network system with 3-bit
                  weight and unsupervised online learning scheme},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983603},
  doi          = {10.1109/ASICON47005.2019.8983603},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/WuYTWFHCX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WuZWNYMR19,
  author       = {Tianxiang Wu and
                  Jincheng Zhang and
                  Dong Wei and
                  Lihe Nie and
                  Yuting Yao and
                  Shunli Ma and
                  Junyan Ren},
  title        = {A 36-40 GHz {VCO} with bonding inductors for millimeter wave 5G Communication},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983632},
  doi          = {10.1109/ASICON47005.2019.8983632},
  timestamp    = {Mon, 17 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/WuZWNYMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WuZY19,
  author       = {Lingjuan Wu and
                  Wenqian Zhao and
                  Dunshan Yu},
  title        = {Designing a 3D Graphics Processor for Mobile Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983613},
  doi          = {10.1109/ASICON47005.2019.8983613},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WuZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XiaoWZLL19,
  author       = {Li{-}Yi Xiao and
                  Yuan{-}Gang Wang and
                  Zu{-}Qiang Zhang and
                  Jia{-}Qiang Li and
                  Jie Li},
  title        = {Radiation Hardened Design of Pipeline and Register File in Processor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983669},
  doi          = {10.1109/ASICON47005.2019.8983669},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XiaoWZLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XieDLWL19,
  author       = {Xie Xie and
                  Qinghua Duan and
                  Jiafeng Liu and
                  Jian Wang and
                  Jinmei Lai},
  title        = {Design and implementation of Serial {ATA} pbysical layer on {FPGA}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983634},
  doi          = {10.1109/ASICON47005.2019.8983634},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XieDLWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XieDZC19,
  author       = {Yuan Xie and
                  Luchang Ding and
                  Aaron Zhou and
                  Gengsheng Chen},
  title        = {An Optimized Face Recognition for Edge Computing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983596},
  doi          = {10.1109/ASICON47005.2019.8983596},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XieDZC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XieLCZZ19,
  author       = {Jinfou Xie and
                  Shixian Li and
                  Yun Chen and
                  Qichen Zhang and
                  Xiaoyang Zeng},
  title        = {High throughput multi-code {LDPC} encoder for {CCSDS} standard},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983513},
  doi          = {10.1109/ASICON47005.2019.8983513},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XieLCZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XingCFW19,
  author       = {Xinpeng Xing and
                  Pengyi Cao and
                  Haigang Feng and
                  Zhihua Wang},
  title        = {A 0.9/1.8/2.4GHz-reconfigurable {LNA} with Inductor and Capacitor
                  Tuning for IoT Application in 65nm {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983546},
  doi          = {10.1109/ASICON47005.2019.8983546},
  timestamp    = {Fri, 30 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/XingCFW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XuGK19,
  author       = {Yufeng Xu and
                  Yi Guo and
                  Shinji Kimura},
  title        = {Approximate Multiplier Using Reordered 4-2 Compressor with OR-based
                  Error Compensation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983625},
  doi          = {10.1109/ASICON47005.2019.8983625},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XuGK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XuLLZ19,
  author       = {Yunhao Xu and
                  Yingjie Lao and
                  Weiqiang Liu and
                  Chuan Zhang},
  title        = {Security Analysis and Modeling Attacks on Duty Cycle Multiplexer {PUF}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983644},
  doi          = {10.1109/ASICON47005.2019.8983644},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XuLLZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XuLPWQL19,
  author       = {Jiqing Xu and
                  Zhengjie Li and
                  Yunbing Pang and
                  Jian Wang and
                  Gang Qu and
                  Jinmei Lai},
  title        = {Research on the impact of different benchmark circuits on the representative
                  path in FPGAs},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983550},
  doi          = {10.1109/ASICON47005.2019.8983550},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/XuLPWQL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XuNS19,
  author       = {Chunlin Xu and
                  Wei Ni and
                  Yukun Song},
  title        = {UVM-based Functional Coverage Driven AXI4-Stream Verification},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983573},
  doi          = {10.1109/ASICON47005.2019.8983573},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XuNS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XuZHLK19,
  author       = {Weiiie Xu and
                  Yudi Zhao and
                  Peng Huang and
                  Xiaoyan Liu and
                  Jinfeng Kang},
  title        = {3D Vertical {RRAM} Array and Device Co-design with Physics-based Spice
                  Model},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983496},
  doi          = {10.1109/ASICON47005.2019.8983496},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XuZHLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/XueLSCKW19,
  author       = {Tianzhi Xue and
                  Baicheng Liu and
                  Wenhao Sun and
                  Song Chen and
                  Yi Kang and
                  Feng Wu},
  title        = {Customizing CMOS/ReRAM Hybrid Hardware Architecture for Spiking {CNN}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983629},
  doi          = {10.1109/ASICON47005.2019.8983629},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/XueLSCKW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YangDLC19,
  author       = {Danyang Yang and
                  Zibin Dai and
                  Wei Li and
                  Tao Chen},
  title        = {An Efficient {ASIC} Implementation of Public Key Cryptography Algorithm
                  {SM2} Based on Module Arithmetic Logic Unit},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983471},
  doi          = {10.1109/ASICON47005.2019.8983471},
  timestamp    = {Tue, 02 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/YangDLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YangLDXXLLL19,
  author       = {Jianguo Yang and
                  Xiaowen Li and
                  Qingting Ding and
                  Xiaoyong Xue and
                  Xiaoxin Xu and
                  Qing Luo and
                  Hangbing Lv and
                  Ming Liu},
  title        = {A High Reliability 500 {\(\mathrm{\mu}\)}W Resistance-to-Digital Interface
                  Circuit for SnO2 Gas Sensor IoT Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983585},
  doi          = {10.1109/ASICON47005.2019.8983585},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YangLDXXLLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YangLSE19,
  author       = {Minhao Yang and
                  Shih{-}Chii Liu and
                  Mingoo Seok and
                  Christian C. Enz},
  title        = {Ultra-Low-Power Intelligent Acoustic Sensing using Cochlea-Inspired
                  Feature Extraction and {DNN} Classification},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983619},
  doi          = {10.1109/ASICON47005.2019.8983619},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/YangLSE19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YangPTC19,
  author       = {Ke Yang and
                  Shaoyi Peng and
                  Sheldon X.{-}D. Tan and
                  Hai{-}Bao Chen},
  title        = {Multi-Thread Assembling for Fast {FEM} Power Delivery {DC} Integrity
                  Analysis},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983609},
  doi          = {10.1109/ASICON47005.2019.8983609},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YangPTC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YangSHXZF19,
  author       = {Junwei Yang and
                  Weiwei Shi and
                  Zhiyu Huang and
                  Yuan Xu and
                  Yanghao Zheng and
                  Xuanbin Fang},
  title        = {A Optimized {PPD} {CMOS} Pixel with 26.09 {\%} Transfer Efficiency
                  Improvement and 43.34 {\%} Crosstalk Suppression for I-ToF Application},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983466},
  doi          = {10.1109/ASICON47005.2019.8983466},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YangSHXZF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YaoLWXMBR19,
  author       = {Yuting Yao and
                  Manxin Li and
                  Tianxiang Wu and
                  Hu Xu and
                  Shunli Ma and
                  Wenzhong Bao and
                  Junyan Ren},
  title        = {{SPICE} Modeling and Simulation of High-Performance Wafer-Scale MoS2
                  Transistors},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983682},
  doi          = {10.1109/ASICON47005.2019.8983682},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/YaoLWXMBR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YaoWLMYR19,
  author       = {Yuting Yao and
                  Jipeng Wei and
                  Manxin Li and
                  Shunli Ma and
                  Fan Ye and
                  Junyan Ren},
  title        = {A 256MHz Analog Baseband Chain with tunable Bandwidth and Gain for
                  {UWB} Receivers},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983430},
  doi          = {10.1109/ASICON47005.2019.8983430},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YaoWLMYR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YeHC19,
  author       = {Minyuan Ye and
                  Lei He and
                  Gengsheng Chen},
  title        = {A Coarse-to-fine Classification for Motion Blur Kernel Size Estimation
                  with Cascaded Neural Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983529},
  doi          = {10.1109/ASICON47005.2019.8983529},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YeHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YeYS19,
  author       = {Jinghao Ye and
                  Masao Yanagisawa and
                  Youhua Shi},
  title        = {An Adder-Segmentation-based {FIR} for High Speed Signal Processing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983612},
  doi          = {10.1109/ASICON47005.2019.8983612},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/YeYS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YeZJW19,
  author       = {Xuefeng Ye and
                  Duoduo Zeng and
                  Xiangliang Jin and
                  Yang Wang},
  title        = {A Low-Temperature-Coefficient and High-PSRR Bandgap Reference for
                  Readout Circuit of {SPAD}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983498},
  doi          = {10.1109/ASICON47005.2019.8983498},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YeZJW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YingWCX19,
  author       = {Qiuhong Ying and
                  Lun{-}Yao Wang and
                  Zhufei Chu and
                  Yinshui Xia},
  title        = {Area Optimization of {MPRM} Circuits Using Approximate Computing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983435},
  doi          = {10.1109/ASICON47005.2019.8983435},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YingWCX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YouW19,
  author       = {Weijie You and
                  Chang Wu},
  title        = {An Efficient Accelerator for Sparse Convolutional Neural Networks},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983560},
  doi          = {10.1109/ASICON47005.2019.8983560},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YouW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YuLLM19,
  author       = {Chang Yu and
                  Xiaojing Lv and
                  Yanhui Li and
                  Tingting Mo},
  title        = {A Sub-1dB {NF} Receiver for 1.5T Magnetic Resonance Imaging},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983687},
  doi          = {10.1109/ASICON47005.2019.8983687},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YuLLM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YuLZLCW19,
  author       = {Ruo{-}Lan Yu and
                  Wei Liang and
                  Jie Zhang and
                  Yan Li and
                  Wei{-}Wei Chen and
                  Peng{-}Jun Wang},
  title        = {An electro-optical full-subtractor using hybrid-integrated silicon-graphene
                  waveguides},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983567},
  doi          = {10.1109/ASICON47005.2019.8983567},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YuLZLCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YuanMC19,
  author       = {Ye Yuan and
                  Song Ma and
                  Yuhua Cheng},
  title        = {Circuit Design Challenges of {ADC} for the Application in Multiple
                  Physiological Parameters Detection System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983501},
  doi          = {10.1109/ASICON47005.2019.8983501},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YuanMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/YuanZSLLY19,
  author       = {Qiao Yuan and
                  Huajian Zhang and
                  Yukun Song and
                  Chongyang Li and
                  Xueyi Liu and
                  Zheng Yan},
  title        = {The Design and Implementation of High Speed Hybrid Radices Reconfigurable
                  {FFT} Processor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983511},
  doi          = {10.1109/ASICON47005.2019.8983511},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/YuanZSLLY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZengZG19,
  author       = {Haosheng Zeng and
                  Hong Zhang and
                  Jianping Guo},
  title        = {A {CMOS} Half-Bridge GaN Driver with 6-30V Input Voltage Range and
                  5.4ns Propagation Delay},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983509},
  doi          = {10.1109/ASICON47005.2019.8983509},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZengZG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangCWC19,
  author       = {Tingrui Zhang and
                  Siyu Chen and
                  Shuwu Wei and
                  Jienan Chen},
  title        = {A Fast Signal Integrity Design Model of Printed Circuit Board based
                  on Monte-Carlo Tree},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983610},
  doi          = {10.1109/ASICON47005.2019.8983610},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangCWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangCYR19,
  author       = {Shumin Zhang and
                  Yuefeng Cao and
                  Fan Ye and
                  Junyan Ren},
  title        = {A 10b 250MS/s {SAR} {ADC} with Speed-Enhanced {SAR} Logic and Free
                  Time More Than a Half of Sampling Period},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983593},
  doi          = {10.1109/ASICON47005.2019.8983593},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangCYR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangH19,
  author       = {Pengfeng Zhang and
                  Jianping Hu},
  title        = {Dual-Threshold Independent-Gate {TFET} with Tri-side Tunneling},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983470},
  doi          = {10.1109/ASICON47005.2019.8983470},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangHJFZ19,
  author       = {Yi Zhang and
                  Xiaoshan He and
                  Ming{-}e Jing and
                  Yibo Fan and
                  Xiaoyang Zeng},
  title        = {Enhanced Recursive Residual Network for Single Image Super-Resolution},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983465},
  doi          = {10.1109/ASICON47005.2019.8983465},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangHJFZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangHZXHLLK19,
  author       = {Min Zhang and
                  Peng Huang and
                  Yizhou Zhang and
                  Yachen Xiang and
                  Runze Han and
                  Lifeng Liu and
                  Xiaoyan Liu and
                  Jinfeng Kang},
  title        = {FNSim: {A} Device-Circuit-Algorithm Codesigned Simulator for Flash
                  based Neural Network},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983447},
  doi          = {10.1109/ASICON47005.2019.8983447},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangHZXHLLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangJZWG19,
  author       = {Sheng Zhang and
                  Song Jia and
                  Hanzun Zhang and
                  Rongshan Wei and
                  Weixin Gai},
  title        = {Design of an Adaptive Loop Gain Controller Based on Auto-correlation
                  Detection Scheme in All-Digital Phase-Locked Loop},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983495},
  doi          = {10.1109/ASICON47005.2019.8983495},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangJZWG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangK19,
  author       = {Lili Zhang and
                  Wen Kuang},
  title        = {Research and Implementation of {TPC} Coding In High Bit Rate Telemetry
                  System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983460},
  doi          = {10.1109/ASICON47005.2019.8983460},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangL19,
  author       = {Ming Zhang and
                  Nicolas Llaser},
  title        = {High Intensity Focused Ultrasound for Noninvasive Medical Applications},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983436},
  doi          = {10.1109/ASICON47005.2019.8983436},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangLS19,
  author       = {Xiaoyu Zhang and
                  Bin Liang and
                  Ruiqiang Song},
  title        = {Circuit-Level Soft Error Rate Evaluation Approach Considering Single-Event
                  Multiple Transient},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983536},
  doi          = {10.1109/ASICON47005.2019.8983536},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangMGMJZM19,
  author       = {Jianfu Zhang and
                  Azrif Manut and
                  Rui Gao and
                  Mehzabeen Mehedi and
                  Zhigang Ji and
                  Weidong Zhang and
                  John S. Marsland},
  title        = {An assessment of RTN-induced threshold voltage jitter},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983559},
  doi          = {10.1109/ASICON47005.2019.8983559},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangMGMJZM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangNWWMR19,
  author       = {Jincheng Zhang and
                  Lihe Nie and
                  Dong Wei and
                  Tianxiang Wu and
                  Shunli Ma and
                  Junyan Ren},
  title        = {A 130-150 GHz Power Amplifier for Millimeter Wave Imaging in 65-nm
                  {CMOS}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983670},
  doi          = {10.1109/ASICON47005.2019.8983670},
  timestamp    = {Mon, 17 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangNWWMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangQC19,
  author       = {Lun Zhang and
                  Weikang Qian and
                  Hai{-}Bao Chen},
  title        = {Area-Efficient Parallel Stochastic Computing with Shared Weighted
                  Binary Generator},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983484},
  doi          = {10.1109/ASICON47005.2019.8983484},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangQC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangSTKKK19,
  author       = {Chen{-}Hao Zhang and
                  Yifei Sun and
                  Minh Tri Tran and
                  Yasunori Kobori and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Multi-Phase Full/Half Wave Type Resonant Converters with Automatic
                  Current Balance against Element Variation},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983590},
  doi          = {10.1109/ASICON47005.2019.8983590},
  timestamp    = {Thu, 28 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangSTKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangSWZZWH19,
  author       = {Xinyue Zhang and
                  Jiahao Song and
                  Yuan Wang and
                  Yawen Zhang and
                  Zuodong Zhang and
                  Runsheng Wang and
                  Ru Huang},
  title        = {An Energy-Efficient Mixed-Signal Parallel Multiply-Accumulate {(MAC)}
                  Engine Based on Stochastic Computing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983571},
  doi          = {10.1109/ASICON47005.2019.8983571},
  timestamp    = {Tue, 13 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangSWZZWH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangWYZY19,
  author       = {Xiaotian Zhang and
                  Pengjun Wang and
                  Yunfei Yu and
                  Yuejun Zhang and
                  Shunxin Ye},
  title        = {A High-speed Dynamic Domino Full Adder Based on {DICG} Positive Feedback},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983453},
  doi          = {10.1109/ASICON47005.2019.8983453},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangWYZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangWZY19,
  author       = {Haiming Zhang and
                  Pengjun Wang and
                  Yuejun Zhang and
                  Yunfei Yu},
  title        = {Design of Aging Detection Sensor Based on Voltage Comparison},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983631},
  doi          = {10.1109/ASICON47005.2019.8983631},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangWZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangX19,
  author       = {Xian Zhang and
                  Yong Xu},
  title        = {High precision low power {CMOS} bandgap for {RFID}},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983448},
  doi          = {10.1109/ASICON47005.2019.8983448},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhangYN19,
  author       = {Wei Jia Zhang and
                  Jingshu Yu and
                  Wai Tung Ng},
  title        = {Smart Gate Driver ICs for GaN Power Transistors},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983677},
  doi          = {10.1109/ASICON47005.2019.8983677},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhangYN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhaoLDWHJ19,
  author       = {Yue Zhao and
                  Tong Li and
                  Feng Dong and
                  Qin Wang and
                  Weifeng He and
                  Jianfei Jiang},
  title        = {A New Approximate Multiplier Design for Digital Signal Processing},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983437},
  doi          = {10.1109/ASICON47005.2019.8983437},
  timestamp    = {Wed, 22 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhaoLDWHJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhaoWN19,
  author       = {Ziwei Zhao and
                  Fei Wang and
                  Qi Ni},
  title        = {An FPGA-based Hardware Accelerator of {RANSAC} Algorithm for Matching
                  of Images Feature Points},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983656},
  doi          = {10.1109/ASICON47005.2019.8983656},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhaoWN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhaoYL19,
  author       = {Conghui Zhao and
                  Yingjian Yan and
                  Wei Li},
  title        = {An efficient {ASIC} Implementation of {QARMA} Lightweight Algorithm},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983618},
  doi          = {10.1109/ASICON47005.2019.8983618},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhaoYL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhaoZWZW19,
  author       = {Zhiwei Zhao and
                  Yuejun Zhang and
                  Pengjun Wang and
                  Huihong Zhang and
                  Zhang Weishan},
  title        = {Design of Crosstalk {NAND} Gate Circuit Based on Interconnect Coupling
                  Capacitance},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983533},
  doi          = {10.1109/ASICON47005.2019.8983533},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhaoZWZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhaoZZ19,
  author       = {Chun Zhao and
                  Ce Zhou Zhao and
                  Tian Shi Zhao},
  title        = {Solution Processed Metal Oxide in Emerging Electronic Devices},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983521},
  doi          = {10.1109/ASICON47005.2019.8983521},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhaoZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhengLLLH19,
  author       = {Zhongshan Zheng and
                  Zhentao Li and
                  Bo Li and
                  Jiajun Luo and
                  Zhengsheng Han},
  title        = {Influences of the Source and Drain Resistance of the MOSFETs on the
                  Single Event Upset Hardness of {SRAM} cells},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983481},
  doi          = {10.1109/ASICON47005.2019.8983481},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhengLLLH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhengWHZ19,
  author       = {Riyong Zheng and
                  Chenghao Wang and
                  Jun Han and
                  Xiaoyang Zeng},
  title        = {A Hardware-efficient Accelerator for Encoding Stage of Text-to-speech
                  Synthesis},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983681},
  doi          = {10.1109/ASICON47005.2019.8983681},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhengWHZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhengX19,
  author       = {Hanze Zheng and
                  Yinshui Xia},
  title        = {Dual-Source Energy Cooperative Harvesting Circuit with Single Inductor},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983506},
  doi          = {10.1109/ASICON47005.2019.8983506},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhengX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhengZXL19,
  author       = {Xitao Zheng and
                  Mingcheng Zhu and
                  Yuan Xu and
                  Yutong Li},
  title        = {An {FPGA} based Parallel Implementation for Point Cloud Neural Network},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983660},
  doi          = {10.1109/ASICON47005.2019.8983660},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhengZXL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhouCSL19,
  author       = {Xing Zhou and
                  Siau Ben Chiah and
                  Binit Syamal and
                  Kenneth Eng{-}Kian Lee},
  title        = {Monolithic Co-integration of {III-V} Materials into Foundry Si-CMOS
                  in a Single Chip for Novel Integrated Circuits},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983479},
  doi          = {10.1109/ASICON47005.2019.8983479},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhouCSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhouJCZS19,
  author       = {Zekun Zhou and
                  Zhengyang Jin and
                  Jianwen Cao and
                  Bo Zhang and
                  Yue Shi},
  title        = {An On-Time Generator with Zero Quiescent Power Consumption Suitable
                  for {AOT} Buck Converters},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983525},
  doi          = {10.1109/ASICON47005.2019.8983525},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhouJCZS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhouJWD19,
  author       = {Zijie Zhou and
                  Xiangliang Jin and
                  Yang Wang and
                  Peng Dong},
  title        = {Design and Analysis of high robustness dual- direction {SCR} with
                  heavily doping in N-Type Well},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983685},
  doi          = {10.1109/ASICON47005.2019.8983685},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhouJWD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhouLWYW19,
  author       = {Haoyang Zhou and
                  Wei Li and
                  Tao Wang and
                  Jiao Ye and
                  Chuangguo Wang},
  title        = {A Class-F3 {VCO} with 104{\%} Ultra-Wide Band Tuning Range and -125dBc/Hz
                  Phase Noise},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983473},
  doi          = {10.1109/ASICON47005.2019.8983473},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhouLWYW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhuLFWZP19,
  author       = {Chenxi Zhu and
                  Futian Liang and
                  Bo Feng and
                  Xinzhe Wang and
                  Yulong Zhu and
                  Chengzhi Peng},
  title        = {An adjustable amplitude and pulse-width laser modulation driver with
                  active feedback for {QKD} experiments},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983469},
  doi          = {10.1109/ASICON47005.2019.8983469},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhuLFWZP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhuLWFZJ19,
  author       = {Yulong Zhu and
                  Futian Liang and
                  Xinzhe Wang and
                  Bo Feng and
                  Chenxi Zhu and
                  Ge Jin},
  title        = {An {ASIC} for Discriminating Single Photon Detector Signal of High-Speed
                  Quantum Key Distribution System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983450},
  doi          = {10.1109/ASICON47005.2019.8983450},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhuLWFZJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZouBWWC19,
  author       = {Peng Zou and
                  Xiqiong Bai and
                  Yingjie Wu and
                  Lifeng Wu and
                  Jianli Chen},
  title        = {An Effective Detailed Routing Algorithm Considering Advanced {VLSI}
                  Technologies},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983638},
  doi          = {10.1109/ASICON47005.2019.8983638},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ZouBWWC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZouWXKC19,
  author       = {Chenglong Zou and
                  Xin'an Wang and
                  Boxing Xu and
                  Yisong Kuang and
                  Xiaoxin Cui},
  title        = {Deep Spiking Convolutional Neural Networks for Programmable Neuro-synaptic
                  System},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983547},
  doi          = {10.1109/ASICON47005.2019.8983547},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/ZouWXKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/asicon/2019,
  title        = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8963812/proceeding},
  isbn         = {978-1-7281-0735-6},
  timestamp    = {Wed, 12 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics