Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/asicon/asicon2015.bht:"
@inproceedings{DBLP:conf/asicon/0001W15, author = {Yun Liang and Shuo Wang}, title = {Quantitative performance and power analysis of {LTE} using high level synthesis}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517024}, doi = {10.1109/ASICON.2015.7517024}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/0001W15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/00050HPFY15, author = {Kun Wang and Li Li and Feng Han and Hongbing Pan and Fan Feng and Xiao Yu}, title = {A high performance parallel {VLSI} design of matrix inversion}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517169}, doi = {10.1109/ASICON.2015.7517169}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/00050HPFY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/0005SX15, author = {Xiao Wang and Zelin Shi and Baoshu Xu}, title = {Noise analysis of a {CDS} circuit with offset canceling}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516914}, doi = {10.1109/ASICON.2015.7516914}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/0005SX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/AlonsoMM15, author = {Abdel Martinez Alonso and Masaya Miyahara and Akira Matsuzawa}, title = {A novel direct digital frequency synthesizer employing complementary dual-phase latch-based architecture}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517033}, doi = {10.1109/ASICON.2015.7517033}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/AlonsoMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/AnMCZ0R15, author = {Fazhi An and Shunli Ma and Qian Chen and Guangyao Zhou and Fan Ye and Junyan Ren}, title = {A wide-division-ratio 100MHz-to-5GHz multi-modulus divider chain for wide-band {PLL}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516967}, doi = {10.1109/ASICON.2015.7516967}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/AnMCZ0R15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ArafuneKSK15, author = {Takuya Arafune and Yutaro Kobayashi and Shohei Shibuya and Haruo Kobayashi}, title = {Fibonacci sequence weighted {SAR} {ADC} algorithm and its {DAC} topology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517012}, doi = {10.1109/ASICON.2015.7517012}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ArafuneKSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/AraiSKKSSNK15, author = {Miho Arai and Isao Shimizu and Haruo Kobayashi and Keita Kurihara and Shu Sasaki and Shohei Shibuya and Kiichi Niitsu and Kazuyoshi Kubo}, title = {Finite aperture time effects in sampling circuit}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516913}, doi = {10.1109/ASICON.2015.7516913}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/AraiSKKSSNK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/BatselierCW15, author = {Kim Batselier and Quan Chen and Ngai Wong}, title = {An adaptive dynamical low-rank tensor approximation scheme for fast circuit simulation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516957}, doi = {10.1109/ASICON.2015.7516957}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/BatselierCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/BingjianST15, author = {Jiang Bingjian and Junli Sheng and Zhangwen Tang}, title = {{PDK} design of 0.13um {SOI} process}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517077}, doi = {10.1109/ASICON.2015.7517077}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/BingjianST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaiYCH15, author = {Jiangzheng Cai and Jia Yuan and Liming Chen and Yong Hei}, title = {A design of subthreshold {SRAM} cell based on {RSCE} and {RNCE}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517040}, doi = {10.1109/ASICON.2015.7517040}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/CaiYCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaoLW15, author = {Bei Cao and Zhiyuan Li and Dianzhong Wen}, title = {Generation of low power testing based on novel {SIC} sequences}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517200}, doi = {10.1109/ASICON.2015.7517200}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CaoLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaoTLWF15, author = {Hu Cao and Li Tian and Jun Liu and Hui Wang and Songlin Feng}, title = {Color image enhancement using power-constraint histogram equalization for {AMOLED}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517085}, doi = {10.1109/ASICON.2015.7517085}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CaoTLWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaoYWLZ15, author = {Jian Cao and Zhenxu Ye and Yuan Wang and Guangyi Lu and Xing Zhang}, title = {A low-leakage power clamp {ESD} protection circuit with prolonged {ESD} discharge time and compact detection network}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516982}, doi = {10.1109/ASICON.2015.7516982}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CaoYWLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaoZWZ15, author = {Kaihua Cao and Heng Zhao and Mengxing Wang and Weisheng Zhao}, title = {Spin orbit torques for ultra-low power computing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516972}, doi = {10.1109/ASICON.2015.7516972}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CaoZWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChangH15, author = {Meng{-}Chou Chang and Kai{-}Lun He}, title = {Design of low-power FinFET-based TCAMs with unevenly-segmented matchlines for routing table applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517182}, doi = {10.1109/ASICON.2015.7517182}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChangH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChaoZZWZ15, author = {Lei Chao and Zhi Zeng and Kaidi Zhang and Wei Wang and Jia Zhou}, title = {Application of cellulose triacetate as biocompatible/biodegradable dielectrics in {EWOD} devices}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517019}, doi = {10.1109/ASICON.2015.7517019}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChaoZZWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Chen0Z15, author = {Jianli Chen and Zheng Peng and Wenxing Zhu}, title = {A {VLSI} global placement solver based on proximal alternating direction method}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516960}, doi = {10.1109/ASICON.2015.7516960}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Chen0Z15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Chen15, author = {An Chen}, title = {Hardware security applications of emerging nonvolatile memories}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516995}, doi = {10.1109/ASICON.2015.7516995}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Chen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenAZM0R15, author = {Qian Chen and Fazhi An and Guangyao Zhou and Shunli Ma and Fan Ye and Junyan Ren}, title = {A 39 GHz-80 GHz millimeter-wave frequency doubler with low power consumption in 65nm {CMOS} tehnology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516966}, doi = {10.1109/ASICON.2015.7516966}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenAZM0R15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenCM15, author = {Qin Chen and Dongpo Chen and Tingting Mo}, title = {A {SFA} and {I/Q} mismatch auto-calibration scheme for high {IRR} multi-mode {GPS} {RF} receiver}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516893}, doi = {10.1109/ASICON.2015.7516893}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenCR15, author = {Hung{-}Kai Chen and Wei{-}Zen Chen and Zhiyuan Ren}, title = {A 0.4V 53dB {SNDR} 250 MS/s time-based {CT} {\(\Delta\)}{\(\Sigma\)} analog to digital converter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517109}, doi = {10.1109/ASICON.2015.7517109}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenCWC15, author = {Chih{-}Hung Chen and Xuesong Chen and D. Y. Wu and Chao Sheng Chen}, title = {Future low-noise technologies for RF, analog and mixed-signal integrated circuits}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516983}, doi = {10.1109/ASICON.2015.7516983}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenCWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenCXZ15, author = {Zhijian Chen and Min Cai and Ken Xu and Weiguo Zheng}, title = {A 2.4GHz low noise high linearity {RF} front-end design}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517120}, doi = {10.1109/ASICON.2015.7517120}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenCXZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenGZW15, author = {Jun Chen and Benqing Guo and Boyang Zhang and Guangjun Wen}, title = {A 0.06 mm2 6 dBm IB1db wideband {CMOS} class-AB {LNTA} for SAW-less applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516970}, doi = {10.1109/ASICON.2015.7516970}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenGZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenHZ15, author = {Jienan Chen and Jianhao Hu and Jiangyun Zhou}, title = {Ultra-short length stochastic computation based on multiple partition computing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516930}, doi = {10.1109/ASICON.2015.7516930}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenJW15, author = {Jiyu Chen and Song Jia and Yuan Wang}, title = {A 10b, 0.7ps resolution coarse-fine time-to-digital converter in 65nm {CMOS} using a time residue amplifier}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517126}, doi = {10.1109/ASICON.2015.7517126}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenLCCTP15, author = {Ji Chen and Jen{-}Chung Lou and Kuan{-}Chang Chang and Ting{-}Chang Chang and Tsung{-}Ming Tsai and Chih{-}Hung Pan}, title = {Influence of nitrogen buffering on oxygen in indium-tin-oxide capped resistive random access memory with {NH3} treatment}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516973}, doi = {10.1109/ASICON.2015.7516973}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenLCCTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenLGLWZ15, author = {Guanyu Chen and Feng Lin and Yongliang Gao and Chunxu Li and Duowu Wen and Zhe Zhang}, title = {The data retention improvement with 2T structure {OTP} on 0.18um {CMOS} technology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517147}, doi = {10.1109/ASICON.2015.7517147}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenLGLWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenLH15, author = {Yiou Chen and Xiang Ling and Jianhao Hu}, title = {A dynamic and low latency wireless NoC architecture}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517176}, doi = {10.1109/ASICON.2015.7517176}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenLZZL15, author = {Xiaofei Chen and Xiaorui Liu and Yingjie Zhang and Xuecheng Zou and Shuangxi Lin}, title = {An overview of soft-switching technique for flyback converters}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517099}, doi = {10.1109/ASICON.2015.7517099}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenLZZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenPLDMC15, author = {Teng Chen and Leli Peng and Haibin Li and Ning Ding and Cheng Ma and Yuchun Chang}, title = {A 2-V 40-MS/s 14-bit pipelined {ADC} for {CMOS} image sensor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516940}, doi = {10.1109/ASICON.2015.7516940}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenPLDMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenWLLCSW15, author = {Xiaofei Chen and Bo Wang and Ke Lin and Ning Li and Chen Chen and Haibin Shao and Xin'an Wang}, title = {Design and implementation of a body monitoring baseband system for human body communication}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517195}, doi = {10.1109/ASICON.2015.7517195}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenWLLCSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenWW15, author = {Zehua Chen and Weiyin Wang and Hei Wong}, title = {Low-voltage {CMOS} {DC-DC} converters for energy harvesting applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516985}, doi = {10.1109/ASICON.2015.7516985}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenZGH15, author = {Yanqin Chen and Hongguang Zhang and Xu Guo and Zhiliang Hong}, title = {A 400mV supply voltage self-start clock generator for energy harvest system}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517037}, doi = {10.1109/ASICON.2015.7517037}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenZGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChengYZ15, author = {Jieqiong Cheng and Qingqing Yang and Xiaofang Zhou}, title = {Design of a high parallelism high throughput {HSPA+} Turbo decoder}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517198}, doi = {10.1109/ASICON.2015.7517198}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChengYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChewYMK15, author = {Peng Siew Chew and Kiat Seng Yeo and Kaixue Ma and Zhi{-}Hui Kong}, title = {A 57 to 66 GHz novel six-port correlator}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516890}, doi = {10.1109/ASICON.2015.7516890}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChewYMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChiKJWW15, author = {Baoyong Chi and Lixue Kuang and Haikun Jia and Zhiping Wang and Zhihua Wang}, title = {A 60-GHz wireless transceiver with dual-mode power amplifier for {IEEE} 802.11ad in 65nm {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516975}, doi = {10.1109/ASICON.2015.7516975}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChiKJWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChouWLLCLW15, author = {Pei{-}Yuan Chou and I{-}Chen Wu and Jai{-}Wei Lin and Xuan{-}Yu Lin and Tien{-}Fu Chen and Tay{-}Jyi Lin and Jinn{-}Shyan Wang}, title = {Low-cost low-power droop-voltage-aware delay-fault-prevention designs for {DVS} caches}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517050}, doi = {10.1109/ASICON.2015.7517050}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChouWLLCLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DaiZJ15, author = {Fa Foster Dai and Feng Zhao and Rong Jiang}, title = {Low noise coupling techniques for multi-phase oscillators}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516918}, doi = {10.1109/ASICON.2015.7516918}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/DaiZJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DaiZZS15, author = {Jiaan Dai and Xiaofang Zhou and Linshan Zhang and Gerald E. Sobelman}, title = {Network-coding-based distributed relay scheme for {PLC} networks}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517197}, doi = {10.1109/ASICON.2015.7517197}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/DaiZZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DanL15, author = {Wu Dan and Wei Li}, title = {A programmable divider with wide division range applied in an {FMCW} frequency synthesizer}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516968}, doi = {10.1109/ASICON.2015.7516968}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DanL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DangWLJZ15, author = {Fangyuan Dang and Yuan Wang and Yuequan Liu and Song Jia and Xing Zhang}, title = {Design on multi-bit adder using sense amplifier-based pass transistor logic for near-threshold voltage operation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517075}, doi = {10.1109/ASICON.2015.7517075}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/DangWLJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DavisSM15, author = {James Davis and Joseph Sankman and Dongsheng Ma}, title = {An input-powered 1.1-{\(\mu\)}A Iq 13.56 MHz {RF} energy harvesting system for biomedical implantable devices}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516894}, doi = {10.1109/ASICON.2015.7516894}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DavisSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DengC15, author = {Jing Deng and Xingbi Chen}, title = {A novel {SCR-LDMOS} for high voltage {ESD} protection}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517155}, doi = {10.1109/ASICON.2015.7517155}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DengC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DengLWX15, author = {Xiaodong Deng and Yihu Li and Wen Wu and Yong{-}Zhong Xiong}, title = {D-band down conversion chipset with {I-Q} outputs using 0.13{\(\mu\)}m SiGe BiCMOS technology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516977}, doi = {10.1109/ASICON.2015.7516977}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DengLWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DengZZC15, author = {Chenxi Deng and Long Zhao and Hui Zheng and Yuhua Cheng}, title = {A 1.8-V 12-bit self-calibrating {SAR} {ADC} with a novel comparator}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517009}, doi = {10.1109/ASICON.2015.7517009}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DengZZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DevalVR15, author = {Yann Deval and Yoan Veyrac and Francois Rivet}, title = {Toward 5 {G:} An integrated {CMOS} wide band arbitrary waveform generator for carrier aggregation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516889}, doi = {10.1109/ASICON.2015.7516889}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DevalVR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DiWQXF15, author = {Zhixiong Di and Yanlong Wang and Shuang Qiao and Qianyin Xiang and Quanyuan Feng}, title = {{LC-KO:} {A} congestion-aware and area{\&}timing-oriented placement method}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516959}, doi = {10.1109/ASICON.2015.7516959}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/DiWQXF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengLLJZ15, author = {Chaochao Feng and Zhuofan Liao and Zhonghai Lu and Axel Jantsch and Zhenyu Zhao}, title = {Performance analysis of on-chip bufferless router with multi-ejection ports}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517174}, doi = {10.1109/ASICON.2015.7517174}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FengLLJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengWCXR15, author = {Zemin Feng and Jingjing Wang and Chixiao Chen and Jun Xu and Junyan Ren}, title = {A 20MHz {BW} 35fJ/conv. continuous-time {\(\Sigma\)}{\(\Delta\)} modulator with single-opamp resonator using finite {GBW} compensation method}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517106}, doi = {10.1109/ASICON.2015.7517106}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FengWCXR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuLLW15, author = {Fangfa Fu and Jun Liao and Tao Li and Jinxiang Wang}, title = {A deterministic optimal task migration algorithm design in NoC-based multi-core system}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517175}, doi = {10.1109/ASICON.2015.7517175}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FuLLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuLZPHW15, author = {Yuxiang Fu and Li Li and Yuang Zhang and Hongbing Pan and Feng Han and Kun Wang}, title = {Lateral asynchronous and vertical synchronous 3D Network on Chip with double pumped vertical links}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517184}, doi = {10.1109/ASICON.2015.7517184}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/FuLZPHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuT15, author = {Xiaojin Fu and He Tang}, title = {A novel power optimization mechanism for pipelined ADCs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516935}, doi = {10.1109/ASICON.2015.7516935}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FuT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuWWYYH15, author = {Liyin Fu and Yu Wang and Qi Wang and Shiyang Yang and Yan Yang and Zongliang Huo}, title = {A high efficiency all-PMOS charge pump for 3D {NAND} flash memory}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517041}, doi = {10.1109/ASICON.2015.7517041}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FuWWYYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FujiwaraKYT15, author = {Koichi Fujiwara and Kazushi Kawamura and Masao Yanagisawa and Nozomu Togawa}, title = {Clock skew estimate modeling for {FPGA} high-level synthesis and its application}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516905}, doi = {10.1109/ASICON.2015.7516905}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FujiwaraKYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GaoHCW15, author = {Fang Gao and Jipan Huang and Hongying Chen and Xin'an Wang}, title = {Development of {TFET} 0.13 {\(\mu\)}m standard cell library for ultra-low power applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517074}, doi = {10.1109/ASICON.2015.7517074}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GaoHCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GaoYWL15, author = {Yuanpei Gao and Haijiang Ye and Jian Wang and Jinmei Lai}, title = {{FPGA} bitstream compression and decompression based on {LZ77} algorithm and {BMC} technique}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517171}, doi = {10.1109/ASICON.2015.7517171}, timestamp = {Thu, 17 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GaoYWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GongLMW15, author = {Yu Gong and Bo Liu and Chen Mei and Rui{-}he Wang}, title = {A novel configuration context cache structure of reconfigurable systems}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516954}, doi = {10.1109/ASICON.2015.7516954}, timestamp = {Fri, 10 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GongLMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuHL15, author = {Xian Gu and XiuJu He and Fule Li}, title = {A calibration technique for {SAR} {ADC} based on code density test}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517110}, doi = {10.1109/ASICON.2015.7517110}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuanHZ15, author = {Tianchan Guan and Jun Han and Xiaoyang Zeng}, title = {Exploration for energy-efficient {ECC} decoder of {WBAN}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517208}, doi = {10.1109/ASICON.2015.7517208}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuanHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuoCFCW15, author = {Yadi Guo and Renyuan Chang and Jun Fu and Baoyong Chi and Yudong Wang}, title = {Analysis and design of a high linearity quadrature demodulator based on SiGe BiCMOS process}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517119}, doi = {10.1109/ASICON.2015.7517119}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuoCFCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuoCWJW15, author = {Benqing Guo and Jun Chen and Yao Wang and Haiyan Jin and Guangjun Wen}, title = {A 8.1 mW 0.1{\(\sim\)}2 GHz inductorless {CMOS} {LNTA} for software-defined radio applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517118}, doi = {10.1109/ASICON.2015.7517118}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuoCWJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuoZMZLZ15, author = {Chong Guo and Hong Zhang and Zhouyi Ma and Jie Zhang and Jie Lin and Ruizhi Zhang}, title = {An inductive wireless telemetry circuit with {OOK} modulation for implantable cardiac pacemakers}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516925}, doi = {10.1109/ASICON.2015.7516925}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/GuoZMZLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HanHCY15, author = {Kaining Han and Jianhao Hu and Jienan Chen and Sheng Yang}, title = {A high performance massive {MIMO} detector based on log-domain belief-propagation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517044}, doi = {10.1109/ASICON.2015.7517044}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HanHCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HanLWFPY15, author = {Feng Han and Li Li and Kun Wang and Fan Feng and Hongbing Pan and Dong Yu}, title = {An improved {FFT} architecture optimized for reconfigurable application specified processor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517201}, doi = {10.1109/ASICON.2015.7517201}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HanLWFPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HanWLCZ15, author = {Nan Han and Yuan Wang and Guangyi Lu and Jian Cao and Xing Zhang}, title = {Four-bit transient-to-digital converter with a single RC-based detection circuit for system-level {ESD} protection}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517133}, doi = {10.1109/ASICON.2015.7517133}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HanWLCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HangZ15, author = {Guoqiang Hang and Guoquan Zhu}, title = {A new Schmitt trigger with adjustable hysteresis using floating-gate {MOS} threshold inverter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517076}, doi = {10.1109/ASICON.2015.7517076}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HangZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HaoNWY15, author = {Cong Hao and Jianmo Ni and Hui{-}Tong Wang and Takeshi Yoshimura}, title = {Simultaneous scheduling and binding for resource usage and interconnect complexity reduction in high-level synthesis}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516908}, doi = {10.1109/ASICON.2015.7516908}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HaoNWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HaoSC15, author = {Jiachen Hao and Zheng Song and Baoyong Chi}, title = {A reconfigurable analog baseband for low-power Wi-Fi receiver}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516969}, doi = {10.1109/ASICON.2015.7516969}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HaoSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HaoXCM15, author = {Ziyi Hao and Xiaoyan Xiang and Chen Chen and Jianyi Meng}, title = {A timing failure tolerance design with in-field simultaneous error detection and correction}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517164}, doi = {10.1109/ASICON.2015.7517164}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HaoXCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeC15, author = {Yi He and Gensheng Chen}, title = {An inclusive fault model for Network-on-Chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516953}, doi = {10.1109/ASICON.2015.7516953}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeLHJ15, author = {Wen{-}Quan He and Yu{-}Chun Lin and Jui{-}Yi Hung and Shyh{-}Jye Jou}, title = {Full-digital high throughput design of adaptive decision feedback equalizers using coefficient-lookahead}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516932}, doi = {10.1109/ASICON.2015.7516932}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeLHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeLZ15, author = {Jiangping He and Pengfei Liao and Bo Zhang}, title = {A high reliability synchronous boost converter with spike suppression circuit}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517082}, doi = {10.1109/ASICON.2015.7517082}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeSZ15, author = {Jiangping He and Jiang Sun and Bo Zhang}, title = {A wide range {PWM} signal frequency converter with the identical duty cycle}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517125}, doi = {10.1109/ASICON.2015.7517125}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeSZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeZHC15, author = {Shuaining He and Jiangyun Zhou and Jianhao Hu and Jienan Chen}, title = {A low complexity {MCMC} algorithm for {MIMO} system with bias technique}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517005}, doi = {10.1109/ASICON.2015.7517005}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeZHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HigashinoATKTK15, author = {Masashi Higashino and Hitoshi Aoki and Nobukazu Tsukiji and Masaki Kazumi and Takuya Totsuka and Haruo Kobayashi}, title = {Study on maximum electric field modeling used for {HCI} induced degradation characteristic of {LDMOS} transistors}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516945}, doi = {10.1109/ASICON.2015.7516945}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HigashinoATKTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HorngCL15, author = {Jiun{-}Wei Horng and Tung{-}Hsien Chan and Toung{-}Yi Li}, title = {Tunable voltage-mode four inputs universal biquad using three DVCCs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517117}, doi = {10.1109/ASICON.2015.7517117}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HorngCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HouFWG0G15, author = {Ligang Hou and Jingyan Fu and Jinhui Wang and Na Gong and Wei Zhao and Shuqin Geng}, title = {A thermal-aware distribution method of {TSV} in 3D {IC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517067}, doi = {10.1109/ASICON.2015.7517067}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HouFWG0G15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HouZZWPG15, author = {Ligang Hou and Jingsong Zhi and Lin Zhu and Jinhui Wang and Xiaohong Peng and Shuqin Geng}, title = {A fast vector reuse verification method for standard cell library}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517026}, doi = {10.1109/ASICON.2015.7517026}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HouZZWPG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuCM15, author = {Suoping Hu and Dongpo Chen and Tingting Mo}, title = {A dual-band frequency tunable complex filter with stable quality-factor in different temperatures}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517054}, doi = {10.1109/ASICON.2015.7517054}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuHF0WZ15, author = {Guangxi Hu and Shuyan Hu and Jianhua Feng and Ran Liu and Lingli Wang and Li{-}Rong Zheng}, title = {Analytical models for threshold voltage, drain induced barrier lowering effect of junctionless triple-gate FinFETs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517154}, doi = {10.1109/ASICON.2015.7517154}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuHF0WZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuW0XM15, author = {Sai Hu and Qin Wang and Zheng Guo and Jing Xie and Zhigang Mao}, title = {Fault detection and redundancy design for TSVs in 3D ICs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517148}, doi = {10.1109/ASICON.2015.7517148}, timestamp = {Fri, 26 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuW0XM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuWJXM15, author = {Jiayi Hu and Qin Wang and Jianfei Jiang and Jing Xie and Zhigang Mao}, title = {A crosstalk avoidance scheme based on re-layout of signal {TSV}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517149}, doi = {10.1109/ASICON.2015.7517149}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuWJXM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuZL15, author = {Heyi Hu and Chun Zhang and Yongming Li}, title = {A new method for demodulation of {FSK} signal with severe impulse interference}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517193}, doi = {10.1109/ASICON.2015.7517193}, timestamp = {Sat, 08 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuZLZLJ15, author = {Yuqing Hu and Lijun Zhang and Youzhong Li and Qixiao Zhang and Erliang Li and Wei Jiang}, title = {Design and implementation of precise measuring method for the access time of embedded memory}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517138}, doi = {10.1109/ASICON.2015.7517138}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuZLZLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuaWWLL15, author = {Siliang Hua and Donghui Wang and Leiou Wang and Yan Liu and Jiarui Li}, title = {A PVT-insensitive all digital {CMOS} time-to-digital converter based on looped delay-line with extension scheme}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517127}, doi = {10.1109/ASICON.2015.7517127}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuaWWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuaiHCS15, author = {Lian Huai and Samer L. Hijazi and Ra{\'{u}}l A. Casas and Gerald E. Sobelman}, title = {A low complexity algorithm and architecture for {MIMO} detection without {QR} decomposition}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517003}, doi = {10.1109/ASICON.2015.7517003}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuaiHCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Huang0S0S15, author = {Xiwei Huang and Yu Jiang and Yang Shang and Hao Yu and Lingling Sun}, title = {A {CMOS} THz-sensing system towards label-free {DNA} sequencing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516976}, doi = {10.1109/ASICON.2015.7516976}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Huang0S0S15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangCZF15, author = {Leilei Huang and Wei Cheng and Xiaoyang Zeng and Yibo Fan}, title = {A SRAM-saving two-stage storage strategy for the coefficients memories in {HEVC} encoders}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517185}, doi = {10.1109/ASICON.2015.7517185}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangCZF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangDTZWF15, author = {Zunkai Huang and Yiling Ding and Li Tian and Qi Zhang and Hui Wang and Songlin Feng}, title = {An area-efficient 10-bit two-stage {DAC} for active matrix organic light-emitting diodes display drivers}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517101}, doi = {10.1109/ASICON.2015.7517101}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangDTZWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangGWC15, author = {Jipan Huang and Fang Gao and Xin'an Wang and Hongying Chen}, title = {Ultra low power circuits design based on {III-V} group heterojunction tunnel field effect transistor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517090}, doi = {10.1109/ASICON.2015.7517090}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangGWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangLGCSW15, author = {Feng Huang and Ke Lin and Fang Gao and Chen Chen and Haibin Shao and Bo Wang}, title = {A 1.2-V 7.2-{\(\mu\)}w {ECG} {AFE} with continuous time self-calibration filters}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517089}, doi = {10.1109/ASICON.2015.7517089}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangLGCSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangLL15, author = {Shuo Huang and Xuan Li and Xiaoyong Li}, title = {A 14b 1GS/s {DAC} with {SFDR} {\textgreater} 80 dBc across the whole nyquist band by mixed total 3-dimesional sort-and-combine and dynamic element matching}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516911}, doi = {10.1109/ASICON.2015.7516911}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangLSZZLWW15, author = {Hong{-}Fan Huang and Xiaoyong Liu and Jin{-}Shan Shi and Lin{-}Qing Zhang and Sheng{-}Xun Zhao and Min{-}Zhi Lin and Bin Wu and Peng{-}Fei Wang}, title = {Investigation of a GaN-on-Si {HEMT} optimized for the 5th-generation wireless communication}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517068}, doi = {10.1109/ASICON.2015.7517068}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangLSZZLWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangLZC15, author = {Jinglei Huang and Zhigang Li and Wei Zhong and Song Chen}, title = {Lagrangian relaxation based topology synthesis for Application-Specific Network-on-Chips}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516951}, doi = {10.1109/ASICON.2015.7516951}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HuangLZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangZTWF15, author = {Jinglin Huang and Qi Zhang and Li Tian and Hui Wang and Songlin Feng}, title = {A quenching-and-reset circuit with programmable hold-off time for single photon avalanche diodes in 0.18{\(\mu\)}m {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516883}, doi = {10.1109/ASICON.2015.7516883}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangZTWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/IgarashiYT15, author = {Keita Igarashi and Masao Yanagisawa and Nozomu Togawa}, title = {Image synthesis circuit design using selector-logic-based alpha blending and its {FPGA} implementation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517027}, doi = {10.1109/ASICON.2015.7517027}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/IgarashiYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Ikeda15, author = {Makoto Ikeda}, title = {Design and optimization of asynchronous circuits with gate-level pipelining}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516956}, doi = {10.1109/ASICON.2015.7516956}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Ikeda15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/IkedaI15, author = {Tukasa Ikeda and Makoto Ikeda}, title = {Comprehensive study on higher order radix {RSA} cryptography engine}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517210}, doi = {10.1109/ASICON.2015.7517210}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/IkedaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiaLTW15, author = {Song Jia and Weiting Li and Wenyi Tang and Yuan Wang}, title = {A low power and high speed {CAM} design using pulsed voltage for search-line}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517179}, doi = {10.1109/ASICON.2015.7517179}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/JiaLTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiaWWZ15, author = {Xiaoyan Jia and Liji Wu and Beibei Wang and Xiangmin Zhang}, title = {A novel oscillator-based {TRNG} for smart {IC} card}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517094}, doi = {10.1109/ASICON.2015.7517094}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JiaWWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangCLZGM15, author = {Xiang Jiang and Jun Cheng and Liang Li and Ting Zhang and Liao Gong and Qiyun Ma}, title = {Sample-hold circuit and stage circuits in a traditional 12-b 80-Msample/s pipelined {A/D} converter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516939}, doi = {10.1109/ASICON.2015.7516939}, timestamp = {Mon, 30 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JiangCLZGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangHLG15, author = {Yande Jiang and Xu He and Chang Liu and Yang Guo}, title = {An effective analytical 3D placer in monolithic 3D {IC} designs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517146}, doi = {10.1109/ASICON.2015.7517146}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/JiangHLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangLSLZ15, author = {Weikai Jiang and Hing{-}Mo Lam and Hui Shao and Hesheng Lin and Min Zhang}, title = {A distributive on-chip voltage regulation scheme for power supply in {AMOLED} driver ICs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516897}, doi = {10.1109/ASICON.2015.7516897}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/JiangLSLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangWLLJZ15, author = {Mengyin Jiang and Yuan Wang and Baoguang Liu and Yuequan Liu and Song Jia and Xing Zhang}, title = {A reference-less all-digital burst-mode {CDR} with embedded {TDC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517132}, doi = {10.1109/ASICON.2015.7517132}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/JiangWLLJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JieL15, author = {Zhang Jie and Jin Lin}, title = {An iterative synthesis method for timing-driven design}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517162}, doi = {10.1109/ASICON.2015.7517162}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JieL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JinJT15, author = {Xiangliang Jin and Zhenyu Jiang and Manfang Tian}, title = {{TCAD} simulations of novel Interrupted-P-Finger UV/Blue photodiode based on {CMOS} process}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517070}, doi = {10.1109/ASICON.2015.7517070}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JinJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JinTJW15, author = {Xiangliang Jin and Manfang Tian and Zhenyu Jiang and Han Wang}, title = {A physical model of novel {UV} and blue-extended photodetector based on {CMOS} process}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516947}, doi = {10.1109/ASICON.2015.7516947}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JinTJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JinZ15, author = {Xiangliang Jin and Feng Zhang}, title = {System-level modeling and analysis of third order {MEMS} accelerometer}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517020}, doi = {10.1109/ASICON.2015.7517020}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JinZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JinZJF15, author = {Jie Jin and Xuguang Zhang and Xiaoxiao Jiang and Yiyuan Fang}, title = {Dual band power amplifier for handset application}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517084}, doi = {10.1109/ASICON.2015.7517084}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JinZJF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JingCRJ15, author = {Bingqiang Jing and Xiaole Cui and Yalin Ran and Yufeng Jin}, title = {Post-bond test for TSVs using voltage division}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517145}, doi = {10.1109/ASICON.2015.7517145}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JingCRJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JoN15, author = {Sung Hyun Jo and Hagop Nazarian}, title = {Resistive random access memory with high selectivity and {ON/OFF} ratio amplification sensing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517042}, doi = {10.1109/ASICON.2015.7517042}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JoN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KangZYWGJZWCZLS15, author = {Xiaoxu Kang and Qingyun Zuo and Chao Yuan and Weijun Wang and Meng Gao and Liangliang Jiang and Yongxing Zhou and Yong Wang and Shoumian Chen and Yuhang Zhao and Jia Liu and Wenjie Sheng and Jia Zhou}, title = {Humidity sensor with graphene oxide as sensing material}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517016}, doi = {10.1109/ASICON.2015.7517016}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KangZYWGJZWCZLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Kim15, author = {Chulwoo Kim}, title = {Circuit design techniques for multimedia wireline communications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516917}, doi = {10.1109/ASICON.2015.7516917}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Kim15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KimZL15, author = {Tony T. Kim and Jun Zhou and Yong Lian}, title = {Opportunities and challenges: Ultra-low voltage digital {IC} design techniques}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516881}, doi = {10.1109/ASICON.2015.7516881}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KimZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KitayamaTYT15, author = {Ryosuke Kitayama and Takashi Takenaka and Masao Yanagisawa and Nozomu Togawa}, title = {Small-sized and noise-reducing power analyzer design for low-power IoT devices}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516927}, doi = {10.1109/ASICON.2015.7516927}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KitayamaTYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KoboriATTK15, author = {Yasunori Kobori and Takuya Arafune and Nobukazu Tsukiji and Nobukazu Takai and Haruo Kobayashi}, title = {Selectable notch frequencies of {EMI} spread spectrum using pulse modulation in switching converter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517055}, doi = {10.1109/ASICON.2015.7517055}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/KoboriATTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KoboriWTTK15, author = {Yasunori Kobori and Taifeng Wang and Nobukazu Tsukiji and Nobukazu Takai and Haruo Kobayashi}, title = {{EMI} reduction by analog noise spread spectrum in new ripple controlled converter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517056}, doi = {10.1109/ASICON.2015.7517056}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/KoboriWTTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KumarC15, author = {Sachin Kumar and Chip{-}Hong Chang}, title = {A high-speed and area-efficient sign detector for three moduli set {RNS} \{2n, 2n-1, 2n+1\}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516929}, doi = {10.1109/ASICON.2015.7516929}, timestamp = {Fri, 04 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KumarC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Lai15, author = {Wen{-}Cheng Lai}, title = {A 10-bit 40 MS/s successive approximation register analog-to-digital converter with Vcm-based method for wireless communications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517010}, doi = {10.1109/ASICON.2015.7517010}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Lai15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LeeCT15, author = {Ching{-}Ting Lee and Jhe{-}Hao Chang and Chun{-}Yen Tseng}, title = {Photoelectrochemically recessed AlGaN/GaN monolithic inverter incorporating LiNbO3 ferroelectric film}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516990}, doi = {10.1109/ASICON.2015.7516990}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LeeCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LeeLWLLLC15, author = {Wei{-}Han Lee and Jyi{-}Tsong Lin and Yu{-}Chun Wang and Po{-}Hsieh Lin and Chien{-}Chia Lai and Yong{-}Huang Lin and Tin{-}Chun Chang}, title = {Using {GIDL} mechanism for low-power consumption and data retention time improvement in a double-gate nanowire {TFT} 1T-DRAM with Fin-Gate and Pillar-Body structure}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517161}, doi = {10.1109/ASICON.2015.7517161}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LeeLWLLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiC15, author = {Huan Li and Xingbi Chen}, title = {Deep trench junction termination employing variable-K dielectric for high voltage devices}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517157}, doi = {10.1109/ASICON.2015.7517157}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiCZ15, author = {Ziqiang Li and Yun Chen and Xiaoyang Zeng}, title = {{OFDM} synchronization implementation based on Chisel platform for 5G research}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517043}, doi = {10.1109/ASICON.2015.7517043}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiDLCZ15, author = {Junwei Li and Zibin Dai and Wei Li and Tao Chen and Yufei Zhu}, title = {Study and implementation of cluster hierarchical memory system of multicore cryptographic processor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517211}, doi = {10.1109/ASICON.2015.7517211}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiDLCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiJC15, author = {Yongquan Li and Mei Jiang and Liangwei Cai}, title = {A 30 nA, 6.6 ppm/{\textdegree}C, high {PSRR} subthreshold {CMOS} voltage reference}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516916}, doi = {10.1109/ASICON.2015.7516916}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLCW15, author = {Jintao Li and Ming Liu and Hong Chen and Zhihua Wang}, title = {A 0.3V-to-1.1V standard cell library in 40nm {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516884}, doi = {10.1109/ASICON.2015.7516884}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLLXC15, author = {Cong Li and Jiancheng Li and Wenxiao Li and Shunqiang Xu and Yaling Chen}, title = {A new reading scheme for multitime programmable {(MTP)} memory cells}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517137}, doi = {10.1109/ASICON.2015.7517137}, timestamp = {Sun, 25 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLLXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLW15, author = {Zhiyuan Li and Qingkun Li and Dianzhong Wen}, title = {{SPICE} model for dual-extended memristor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517166}, doi = {10.1109/ASICON.2015.7517166}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLWX15, author = {Chuangwei Li and Jiancheng Li and Jianfei Wu and Yu Xiao}, title = {Investigation on the immunity of microcontroller to electrical fast transients}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517086}, doi = {10.1109/ASICON.2015.7517086}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLY15, author = {Jian Li and Jiancheng Li and Li Yang}, title = {A nanopower, high {PSRR} full {CMOS} voltage reference circuit consisting of subthreshold MOSFETs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517079}, doi = {10.1109/ASICON.2015.7517079}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLYCWZ15, author = {Ning Li and Ke Lin and Shanshan Yong and Xiaofei Chen and Xinan Wang and Xing Zhang}, title = {Design and implementation of a {MAC} protocol for a wearable monitoring system on human body}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517194}, doi = {10.1109/ASICON.2015.7517194}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLYCWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiMXM15, author = {Chunhui Li and Lei Ma and Junhui Xiang and Hao Min}, title = {An asynchronous delay line {TDC} for {ADPLL} in 0.13um {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517134}, doi = {10.1109/ASICON.2015.7517134}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiMXM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiQBHC15, author = {Shuo Li and Nan Qi and Vahid Behravan and Zhiliang Hong and Patrick Yin Chiang}, title = {A 20 {\(\mu\)}W dual-channel analog front-end in 65nm {CMOS} for portable {ECG} monitoring system}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517095}, doi = {10.1109/ASICON.2015.7517095}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiQBHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiTY15, author = {Yudong Li and Bo Tang and Jiang Yan}, title = {A simulation analysis of back gate effects for {FDSOI} devices}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517064}, doi = {10.1109/ASICON.2015.7517064}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiWJDBWWJ15, author = {Chaojiang Li and Xiaoxia Wang and Vibhor Jain and Hanyi Ding and Myra Boenke and Dawn Wang and Randy Wolf and Alvin J. Joseph}, title = {2.4/5.5GHz {LNA} switch designs based on high resistive substrate 0.35um SiGe BiCMOS}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516888}, doi = {10.1109/ASICON.2015.7516888}, timestamp = {Mon, 21 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LiWJDBWWJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiYFM15, author = {Xu{-}Guang Li and Dong Yan and Haipeng Fu and Jianguo Ma}, title = {Survey and statistical analysis of THz detectors}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516974}, doi = {10.1109/ASICON.2015.7516974}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiYFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiYW15, author = {Ming Li and Haibin Yin and Peiyuan Wan}, title = {A digitally calibrated low-power ring oscillator}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517036}, doi = {10.1109/ASICON.2015.7517036}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZCWW15, author = {Yan{-}Ming Li and Hao Zhang and Hong Chai and Kai{-}Kai Wu and Chang{-}Bao Wen}, title = {A novel start-up circuit for boost {DC-DC} converter with synchronous power-switch current-limit}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517115}, doi = {10.1109/ASICON.2015.7517115}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZCWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZXCZY15, author = {Yang Li and Hang Zhou and Pengfei Xu and Yujie Chen and Yanfeng Zhang and Siyuan Yu}, title = {Design consideration of uni-traveling carrier photodiode: Influence of doping profile and buffer layer}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517156}, doi = {10.1109/ASICON.2015.7517156}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZXCZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZYLW15, author = {Honglong Li and Zhongxing Zhang and Jie Yang and Liyuan Liu and Nanjian Wu}, title = {A novel vision chip architecture for image recognition based on convolutional neural network}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516878}, doi = {10.1109/ASICON.2015.7516878}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZYLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Lian15, author = {Yong Lian}, title = {Challenges in the design of self-powered wearable wireless sensors for healthcare Internet-of-Things}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517022}, doi = {10.1109/ASICON.2015.7517022}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Lian15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiangHWYGZ15, author = {Xiang Liang and Ligang Hou and Jinhui Wang and Chunhui Yang and Deyang Gao and Lin Zhu}, title = {Transaction level model of {HDMI} transmitter based on System Verilog}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516906}, doi = {10.1109/ASICON.2015.7516906}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiangHWYGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiangWWHG15, author = {Qian Liang and Jinhui Wang and Peiyuan Wan and Ligang Hou and Na Gong}, title = {{DCPG:} Double-control power gating technique for a 28 nm Cortex{\texttrademark}-A9 MPCore Quad-core processor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517051}, doi = {10.1109/ASICON.2015.7517051}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiangWWHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiangZXY15, author = {Xiao Liang and Chuan Zhang and Shugong Xu and Xiaohu You}, title = {Coefficient adjustment matrix inversion approach and architecture for massive {MIMO} systems}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517048}, doi = {10.1109/ASICON.2015.7517048}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiangZXY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiaoCWLNYC15, author = {Nan Liao and Xiaoxin Cui and Tian Wang and Kai Liao and Yewen Ni and Dunshan Yu and Xiaole Cui}, title = {A high-efficient and accurate fault model aiming at FPGA-based {AES} cryptographic applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517030}, doi = {10.1109/ASICON.2015.7517030}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiaoCWLNYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LinY15, author = {HaiJun Lin and Xiao Yang}, title = {Split-based 200Msps and 12 bit {ADC} design}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516941}, doi = {10.1109/ASICON.2015.7516941}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LinY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Liu15, author = {Guojun Liu}, title = {A fully integrated 0.18 {\(\mu\)}m SiGe BiCMOS power amplifier}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517093}, doi = {10.1109/ASICON.2015.7517093}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Liu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuC15, author = {Ting Liu and Kuan W. A. Chee}, title = {Modeling and design of the {LDMOSFET} for {RF} power amplifier applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516994}, doi = {10.1109/ASICON.2015.7516994}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuCRC15, author = {Lifei Liu and Xiaole Cui and Yalin Ran and Xiaoxin Cui}, title = {A countermeasure for power analysis to scalar multiplication of {ECC} hardware}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517206}, doi = {10.1109/ASICON.2015.7517206}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuCRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuGH15, author = {Dan Liu and Feng Gao and Liguang Hao}, title = {Low noise design of 32-channel snapshot X-ray readout {IC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517096}, doi = {10.1109/ASICON.2015.7517096}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuH15, author = {Bingyan Liu and Yong Hei}, title = {A low voltage {SRAM} sense amplifier with offset cancelling using digitized multiple body biasing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516887}, doi = {10.1109/ASICON.2015.7516887}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuHC15, author = {Jinn{-}Yann Liu and Shi{-}Yu Huang and Ta{-}Shun Chu}, title = {Cell-based programmable phase shifter design for pulsed radar SoC}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517031}, doi = {10.1109/ASICON.2015.7517031}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuL15, author = {Yafei Liu and Xiangyu Li}, title = {Low voltage adaptive delay clock buffer design}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517136}, doi = {10.1109/ASICON.2015.7517136}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuLLL15, author = {Dongsheng Liu and Weila Lei and Yin Liu and Lun Li}, title = {Energy-efficient and area-efficient switching scheme for {SAR} ADCs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517102}, doi = {10.1109/ASICON.2015.7517102}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuMC15, author = {Sujuan Liu and Haixiao Ma and Jiashuai Cui}, title = {Adaptive semiblind background calibration of timing mismatches in an M-channel time-interleaved analog-to-digital converter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517108}, doi = {10.1109/ASICON.2015.7517108}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuMXYXC15, author = {Xusong Liu and Lei Ma and Junhui Xiang and Na Yan and Haolv Xie and Xiaowei Cai}, title = {A low power {TDC} with 0.5ps resolution for {ADPLL} in 40nm {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517035}, doi = {10.1109/ASICON.2015.7517035}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuMXYXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuTMZY15, author = {Lianxi Liu and Wei Tu and Junchao Mu and Zhangming Zhu and Yintang Yang}, title = {A voltage doubling {AC-DC} converter with offset-controlled comparators for piezoelectric energy harvester}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517113}, doi = {10.1109/ASICON.2015.7517113}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuTMZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuWHHH15, author = {Chee Wee Liu and I.{-}H. Wong and S.{-}H. Huang and C.{-}H. Huang and S.{-}H. Hsu}, title = {Advanced germanium channel transistors (invited)}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517063}, doi = {10.1109/ASICON.2015.7517063}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuWHHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuZ15, author = {Liang Liu and Chuan Zhang}, title = {Circuits and systems for 5G network: Massive {MIMO} and advanced coding}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517047}, doi = {10.1109/ASICON.2015.7517047}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuZDSM15, author = {Ke Liu and Renwei Zhang and Zhankun Du and Li Shao and Xiao Ma}, title = {A low cost readout and processing circuit for integrated {CMOS} geomagnetic sensors}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517083}, doi = {10.1109/ASICON.2015.7517083}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuZDSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuZGG15, author = {Bo Liu and Dongming Zhang and Wei{-}qi Ge and Yu Gong}, title = {A novel routing structure of coarse-grained reconfigurable architecture for radar application}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516949}, doi = {10.1109/ASICON.2015.7516949}, timestamp = {Fri, 10 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuZGG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuCHZF15, author = {YanHeng Lu and Wei Cheng and Leilei Huang and Xiaoyang Zeng and Yibo Fan}, title = {A flexible {HEVC} intra mode decision hardware for 8kx4k real time encoder}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517190}, doi = {10.1109/ASICON.2015.7517190}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuCHZF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuDDT15, author = {Chong Lu and Zhikui Duan and Yi Ding and Hong{-}Zhou Tan}, title = {A novel clock synchronizer for low-voltage clock distribution network}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517131}, doi = {10.1109/ASICON.2015.7517131}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuDDT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuLCM15, author = {Rundao Lu and Zhijian Lu and Dongpo Chen and Tingting Mo}, title = {A 4th-order N-path filter in 40nm {CMOS} with tunable Gm-C stage and reduced center-frequency offset}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517052}, doi = {10.1109/ASICON.2015.7517052}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuLCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuLH15, author = {Shyue{-}Kung Lu and Hao{-}Wei Lin and Masaki Hashizume}, title = {An enhanced built-in self-repair technique for yield and reliability improvement of embedded memories}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516979}, doi = {10.1109/ASICON.2015.7516979}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuoFZBW15, author = {Ping Luo and Songlin Fu and Xiang Zhang and Yi Bao and Dongjun Wang}, title = {An adaptive voltage scaling circuit based on dominate pole compensation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516899}, doi = {10.1109/ASICON.2015.7516899}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuoFZBW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LvYZW15, author = {Xuemin Lv and Moucheng Yang and Xuegong Zhou and Lingli Wang}, title = {An automated test framework for SRAM-based {FPGA}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517028}, doi = {10.1109/ASICON.2015.7517028}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LvYZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LvZWWL15, author = {Fangxu Lv and Xuqiang Zheng and Ziqiang Wang and Jianye Wang and Fule Li}, title = {A 50Gb/s low power {PAM4} SerDes transmitter with 4-tap {FFE} and high linearity output voltage in 65nm {CMOS} technology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516921}, doi = {10.1109/ASICON.2015.7516921}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LvZWWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LyuYY15, author = {Nan Lyu and Ning Mei Yu and Min Yi}, title = {An improved voltage bandgap reference with high-order curvature compensation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516915}, doi = {10.1109/ASICON.2015.7516915}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LyuYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LyuYYC15, author = {Bing Lyu and Yun Yin and Xiaobao Yu and Baoyong Chi}, title = {A 0.1-1.5G {SDR} transmitter with two-stage harmonic rejection power mixer in 65-nm {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517121}, doi = {10.1109/ASICON.2015.7517121}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LyuYYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MaGPX15, author = {Haozhi Ma and Zhongyi Gao and Liyang Pan and Jun Xu}, title = {Data pre-emphasis based retention reliability enhance scheme for {MLC} {NAND} Flash memories}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517141}, doi = {10.1109/ASICON.2015.7517141}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MaGPX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MaZC15, author = {Feng Ma and Xin{-}Wang Zhang and Baoyong Chi}, title = {A 100M-1.5 GHz harmonic-rejection {SDR} receiver front-end}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516892}, doi = {10.1109/ASICON.2015.7516892}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MaZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Matsuzawa15, author = {Akira Matsuzawa}, title = {Automated design strategy for high performance mixed signal circuits}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517008}, doi = {10.1109/ASICON.2015.7517008}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Matsuzawa15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MeiL15, author = {Haoyu Mei and Wei Li}, title = {A low power 1.5GHz Gm-C filter with 0-40dB variable gain in 65-nm {CMOS} technology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517053}, doi = {10.1109/ASICON.2015.7517053}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MeiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MurakamiKMMK15, author = {Masahiro Murakami and Haruo Kobayashi and Shaiful Nizam Bin Mohyar and Takahiro Miki and Osamu Kobayashi}, title = {Linearity enhancement algorithms for {I-Q} signal generation - {DWA} and self-calibration techniques}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517002}, doi = {10.1109/ASICON.2015.7517002}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/MurakamiKMMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NiH0AY15, author = {Jianmo Ni and Cong Hao and Nan Wang and Qian Ai and Takeshi Yoshimura}, title = {Primal-dual method based simultaneous functional unit and register binding}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516909}, doi = {10.1109/ASICON.2015.7516909}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NiH0AY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NiL15, author = {Wei Ni and Zhenwei Liu}, title = {A routing algorithm for Network-on-Chip with self-similar traffic}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517177}, doi = {10.1109/ASICON.2015.7517177}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NiLLZW15, author = {Meng Ni and Fule Li and Weitao Li and Chun Zhang and Zhihua Wang}, title = {A high-speed analog front-end circuit used in a 12bit 1GSps pipeline {ADC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516938}, doi = {10.1109/ASICON.2015.7516938}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NiLLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NiW15, author = {Wei Ni and Xiaotian Wang}, title = {Functional coverage-driven UVM-based {UART} {IP} verification}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517188}, doi = {10.1109/ASICON.2015.7517188}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NiW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NiZ15, author = {Wei Ni and Jichun Zhang}, title = {Research of reusability based on {UVM} verification}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517189}, doi = {10.1109/ASICON.2015.7517189}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NiZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NieWTWG15, author = {Litong Nie and Zhigong Wang and Lu Tang and Junliang Wang and Luosi Gao}, title = {A {CMOS} charge pump with dual compensation amplifiers for phase-locked loops synthesizer}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517128}, doi = {10.1109/ASICON.2015.7517128}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NieWTWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NikiSYKKK15, author = {Yoshiki Niki and Shu Sasaki and Nobu Yamaguchi and Jian Kang and Takashi Kitahara and Haruo Kobayashi}, title = {Flat passband gain design algorithm for 2nd-order {RC} polyphase filter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516933}, doi = {10.1109/ASICON.2015.7516933}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/NikiSYKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Nshunguyimfura015, author = {Victor Nshunguyimfura and Jie Yang and Liyuan Liu and Nanjian Wu}, title = {An efficient layered {ABV} methodology for vision system on chip based on heterogeneous parallel processors}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517029}, doi = {10.1109/ASICON.2015.7517029}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Nshunguyimfura015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Okada15, author = {Kenichi Okada}, title = {A 28-Gb/s 60-GHz wireless transceiver in 65nm {CMOS} with 64QAM capability}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516963}, doi = {10.1109/ASICON.2015.7516963}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Okada15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PanJZ15, author = {Bukun Pan and Jing Jin and Jianjun Zhou}, title = {A GHz-level ring-counter-based multi-modulus fractional {LO} divider with on-the-fly tunability}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517060}, doi = {10.1109/ASICON.2015.7517060}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PanJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PangWWW15, author = {Xiao Pang and Jing Wang and Chenxu Wang and Xinsheng Wang}, title = {A {DPA} resistant dual rail Pr{\'{e}}charg{\'{e}} logic cell}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517071}, doi = {10.1109/ASICON.2015.7517071}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PangWWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PengYQ15, author = {Yao Peng and Yanfei Yang and Xiaofei Qi}, title = {High performance protocol converters for two phase quasi-delay insensitive system-level communication}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517187}, doi = {10.1109/ASICON.2015.7517187}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PengYQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PengZZ15, author = {ZengFa Peng and Jianbin Zheng and AiLin Zhang}, title = {A method of automatic sizing logic driver of 16nm Fin-FET}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517072}, doi = {10.1109/ASICON.2015.7517072}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PengZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PengZZW15, author = {Chao Peng and Jinyong Zhang and Xu Zhang and Lei Wang}, title = {A 16-channel electrode driver with precise calibration for electrical neural stimulation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517087}, doi = {10.1109/ASICON.2015.7517087}, timestamp = {Tue, 07 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/PengZZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QianPD15, author = {Wang Qian and Wang Pengjun and Gong Daohui}, title = {Design of explicit-pulse generators with {CNTFET}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517152}, doi = {10.1109/ASICON.2015.7517152}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/QianPD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QianSCSZDZ15, author = {C. Qian and Mao{-}Lin Shi and Lin Chen and Qing{-}Qing Sun and Peng Zhou and S. J. Ding and D. W. Zhang}, title = {Investigation of self-heating effect in {SOI} tunnel field-effect transistor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517159}, doi = {10.1109/ASICON.2015.7517159}, timestamp = {Thu, 21 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/QianSCSZDZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Qiang15, author = {Sun Qiang}, title = {A peak power optimization scheduling algorithm for single cycle operations and multi-cycle operations}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517163}, doi = {10.1109/ASICON.2015.7517163}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Qiang15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QiuMSWLW15, author = {Xiaoying Qiu and Leilei Miao and Runbin Shi and Zhiwei Wang and Liang Liu and Di Wu}, title = {A programmable baseband processor for massive {MIMO} uplink multi-user detection}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517045}, doi = {10.1109/ASICON.2015.7517045}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/QiuMSWLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QueLA15, author = {Longcheng Que and Jian Lv and Simon S. Ang}, title = {Design of a high voltage gate driver module}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516991}, doi = {10.1109/ASICON.2015.7516991}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/QueLA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/RenHYXZ15, author = {Yi Ren and Jun Han and Zhiyi Yu and Sizhong Xuan and Xiaoyang Zeng}, title = {A lifting-based 2-D discrete wavelet transform architecture for data compression of bio-potential signals}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517199}, doi = {10.1109/ASICON.2015.7517199}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/RenHYXZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/RenZLY15, author = {Yuanrui Ren and Chuan Zhang and Xing Liu and Xiaohu You}, title = {Efficient early termination schemes for belief-propagation decoding of polar codes}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517046}, doi = {10.1109/ASICON.2015.7517046}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/RenZLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/RuPHWGS15, author = {Feng Ru and Xiaohong Peng and Ligang Hou and Jinhui Wang and Shuqin Geng and Chen Song}, title = {The design of face recognition system based on {ARM9} embedded platform}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516879}, doi = {10.1109/ASICON.2015.7516879}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/RuPHWGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SarfrazC15, author = {Khawar Sarfraz and Mansun Chan}, title = {Nanoscale register file circuit design - Challenges and opportunities}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516882}, doi = {10.1109/ASICON.2015.7516882}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SarfrazC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShaoLWCGHW15, author = {Haibin Shao and Ke Lin and Bo Wang and Chen Chen and Fang Gao and Feng Huang and Xin'an Wang}, title = {A high-performance charge pump with improved static and dynamic matching characteristic}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517058}, doi = {10.1109/ASICON.2015.7517058}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ShaoLWCGHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShengJT15, author = {Junli Sheng and Bingjian Jiang and Zhangwen Tang}, title = {A high {PSR} {SOI} current-mode bandgap reference}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517098}, doi = {10.1109/ASICON.2015.7517098}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShengJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShiSXHLD15, author = {Hui Shi and Zheng Sun and Yong Xu and Cheng Hu and Shan Luo and Wei Ding}, title = {Design of the 1.0V bandgap reference on chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517091}, doi = {10.1109/ASICON.2015.7517091}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShiSXHLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShiTYW15, author = {Zhan Shi and Zhenan Tang and Fan Yang and Jiarui Wu}, title = {Improvement of the charge pump for Maneatis PLLs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517062}, doi = {10.1109/ASICON.2015.7517062}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShiTYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShibuyaKK15, author = {Shohei Shibuya and Yutaro Kobayashi and Haruo Kobayashi}, title = {High-frequency low-distortion signal generation algorithm with arbitrary waveform generator}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517007}, doi = {10.1109/ASICON.2015.7517007}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ShibuyaKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SiYD15, author = {Guangfa Si and Yong{-}Sheng Yin and Honghui Deng}, title = {Design of a novel high-accuracy {LED} driving chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517097}, doi = {10.1109/ASICON.2015.7517097}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SiYD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SongJZG15, author = {Yu{-}Kun Song and Rui Jiao and Duoli Zhang and Dongxue Gao}, title = {Performance analysis for matrix-multiplication based on an heterogeneous multi-core SoC}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517181}, doi = {10.1109/ASICON.2015.7517181}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SongJZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SuH15, author = {Jianing Su and Jun Han}, title = {Design of energy efficient {LDPC} decoders with low-voltage strategy}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517202}, doi = {10.1109/ASICON.2015.7517202}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SuH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SuWZZ0R15, author = {Yuan Su and Yimin Wu and Qiang Zhang and Xuerong Zhou and Fan Ye and Junyan Ren}, title = {{LVDS} transmitter with optimized high power-efficiency 8: 1 {MUX}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516919}, doi = {10.1109/ASICON.2015.7516919}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SuWZZ0R15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SugawaraTKSSK15, author = {Yoshiki Sugawara and Nobukazu Takai and Masato Kato and Hiroaki Seki and Kento Suzuki and Haruo Kobayashi}, title = {Automatic design of doubly-terminated {RC} polyphase filters by using distributed genetic algorithm}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516934}, doi = {10.1109/ASICON.2015.7516934}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/SugawaraTKSSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunDXHMW15, author = {Zheng Sun and Wei Ding and Yong Xu and Ying Huang and Guangyan Ma and Yuanliang Wu}, title = {Self-recovering short-circuit protection circuit for {RF} class-D power amplifier}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517122}, doi = {10.1109/ASICON.2015.7517122}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunDXHMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunL15, author = {Fang Sun and Jin{-}Mei Lai}, title = {Iterative optimization algorithm for sound localization}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516880}, doi = {10.1109/ASICON.2015.7516880}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunQGTQ15, author = {Quan Sun and Min Qi and Yi Gu and Liang Tang and Donghai Qiao}, title = {A 10-bit {DAC} with 2.9 {\(\mu\)}{\(\nu\)} low frequency noise for high performance {MEMS} capacitive accelerometer application}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517111}, doi = {10.1109/ASICON.2015.7517111}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunQGTQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunagaSATKTK15, author = {Yoshiki Sunaga and Naoya Shiraishi and Koyo Asaishi and Nobukazu Tsukiji and Yasunori Kobori and Nobukazu Takai and Haruo Kobayashi}, title = {High efficiency single-inductor dual-output {DC-DC} converter with {ZVS-PWM} control}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516895}, doi = {10.1109/ASICON.2015.7516895}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/SunagaSATKTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Suzuki15, author = {Goro Suzuki}, title = {Waveform base clock tree delay analysis using parallel processing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516903}, doi = {10.1109/ASICON.2015.7516903}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Suzuki15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SuzukiTKSSK15, author = {Kento Suzuki and Nobukazu Takai and Masato Kato and Hiroaki Seki and Yoshiki Sugawara and Haruo Kobayashi}, title = {Comparator circuits automation by combination of distributed genetic algorithm and {HSPICE} optimization}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516958}, doi = {10.1109/ASICON.2015.7516958}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/SuzukiTKSSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TajimaSTY15, author = {Saki Tajima and Youhua Shi and Nozomu Togawa and Masao Yanagisawa}, title = {A low-power soft error tolerant latch scheme}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516885}, doi = {10.1109/ASICON.2015.7516885}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TajimaSTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TamuraII15, author = {Masato Tamura and Atsushi Ito and Makoto Ikeda}, title = {Optimal design on asynchronous system with gate-level pipelining}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516904}, doi = {10.1109/ASICON.2015.7516904}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TamuraII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TanCXCW15, author = {Xi Tan and Sizheng Chen and Zhibin Xiao and Feng Chen and Junyu Wang}, title = {A low power potentiostat for implantable glucose sensor tag}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516926}, doi = {10.1109/ASICON.2015.7516926}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TanCXCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TangZS15, author = {Jianqiao Tang and Runxi Zhang and Chunqi Shi}, title = {A wideband {VCO} with constant tuning-gain and uniform sub-band interval for single-chip {UHF} {RFID} reader}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517059}, doi = {10.1109/ASICON.2015.7517059}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TangZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TianHYZZ15, author = {Shudong Tian and Jun Han and Jianwei Yang and Lijun Zhou and Xiaoyang Zeng}, title = {Motion artifact removal based on {ICA} for ambulatory {ECG} monitoring}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516923}, doi = {10.1109/ASICON.2015.7516923}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TianHYZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TianSH15, author = {Fengshuo Tian and Weiguang Sheng and Weifeng He}, title = {An automatic translation and parallelization system for general purpose reconfigurable processor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517168}, doi = {10.1109/ASICON.2015.7517168}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TianSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TianYLWL15, author = {Zhenzhen Tian and Rendong Ying and Peilin Liu and Guoxing Wang and Yong Lian}, title = {Event-driven analog-to-digital converter for ultra low power wearable wireless biomedical sensors}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516910}, doi = {10.1109/ASICON.2015.7516910}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TianYLWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TsukijiAKTHK15, author = {Nobukazu Tsukiji and Hitoshi Aoki and Masaki Kazumi and Takuya Totsuka and Masashi Higashino and Haruo Kobayashi}, title = {A study on {HCI} induced gate leakage current model used for reliability simulations in 90nm n-MOSFETs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516944}, doi = {10.1109/ASICON.2015.7516944}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/TsukijiAKTHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Voldman15, author = {Steven H. Voldman}, title = {Electrical Overstress {(EOS):} Challenges for component and system-level co-design}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516981}, doi = {10.1109/ASICON.2015.7516981}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Voldman15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WanDZJTZZY15, author = {Guangxing Wan and Tianli Duan and Shuxiang Zhang and Lingli Jiang and Bo Tang and Chao Zhao and Huilong Zhu and Hongyu Yu}, title = {Overshoot stress impact on HfO2 high-{\(\kappa\)} layer dynamic {SILC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516943}, doi = {10.1109/ASICON.2015.7516943}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WanDZJTZZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WanZDZ15, author = {Meilin Wan and Zhenzhen Zhang and Kui Dai and Xuecheng Zou}, title = {A 1-V 2.5-ppm/{\textdegree}C second-order compensated bandgap reference}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517080}, doi = {10.1109/ASICON.2015.7517080}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WanZDZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WanZW15, author = {Bin Wan and Cindy Zhang and Xingang Wang}, title = {Multi-technology simulation with mixed design environments}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517025}, doi = {10.1109/ASICON.2015.7517025}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WanZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Wang015, author = {Yujia Wang and Jiajia Chen}, title = {New design for low complexity and low power partial programmable shifters}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516931}, doi = {10.1109/ASICON.2015.7516931}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Wang015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangCLLNYC15, author = {Tian Wang and Xiaoxin Cui and Kai Liao and Nan Liao and Yewen Ni and Dunshan Yu and Xiaole Cui}, title = {Employing the mixed {FBB/RBB} in the design of FinFET logic gates}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517049}, doi = {10.1109/ASICON.2015.7517049}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangCLLNYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangCZ15, author = {Wei Wang and Jianfeng Chen and Jia Zhou}, title = {Droplet generating with accurate volume for {EWOD} digital microfluidics}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517017}, doi = {10.1109/ASICON.2015.7517017}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangD15, author = {Zhou Chuang Wang and Zi Bin Dai}, title = {High-speed realization of trivium based on multi-core cryptographic processor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517000}, doi = {10.1109/ASICON.2015.7517000}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangDCZ15, author = {Weijiang Wang and Yingtao Ding and Shan Cao and Xianli Zhao}, title = {Design of a dynamically reconfigurable arithmetic unit for matrix algorithms}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516955}, doi = {10.1109/ASICON.2015.7516955}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangDCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangDJF15, author = {Hongyi Wang and Yanjiao Du and Xu Jia and Youyou Fan}, title = {A low-power continuous-time comparator with enhanced bias current at the flip point}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516987}, doi = {10.1109/ASICON.2015.7516987}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/WangDJF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangHLLH15, author = {Yi Wang and Zhiqian Hong and Jun Li and Shaobo Luo and Yajun Ha}, title = {Challenges and future trends for embedded security in electric vehicular communications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517021}, doi = {10.1109/ASICON.2015.7517021}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/WangHLLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangHWZ15, author = {Weizhen Wang and Jun Han and Jielin Wang and Xiaoyang Zeng}, title = {A {SIMD} multiplier-accumulator design for pairing cryptography}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516999}, doi = {10.1109/ASICON.2015.7516999}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangHWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangJZZGF15, author = {Xiao Wang and Dongyue Jin and Wanrong Zhang and Xinyi Zhao and Yanling Guo and Qiang Fu}, title = {Novel superjunction collector design of power SiGe HBTs for high fT{\texttimes}BVCEO{\texttimes}{\(\beta\)} product}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516993}, doi = {10.1109/ASICON.2015.7516993}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangJZZGF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangM0WDWZZFT15, author = {Li Wang and Rui Ma and Fei Lu and Albert Z. Wang and Zongyu Dong and Xin Wang and Chen Zhang and Bin Zhao and Siqiang Fan and He Tang}, title = {Function-based {ESD} protection circuit design verification for {BGA} pad-ring array}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516902}, doi = {10.1109/ASICON.2015.7516902}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangM0WDWZZFT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangSY15, author = {Xinsheng Wang and Lifeng Shang and Heyi Yin}, title = {Reliability concerns on time-to-digital converter due to bias temperature instability in nanometer era}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517032}, doi = {10.1109/ASICON.2015.7517032}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangT15, author = {Chua{-}Chin Wang and Min{-}Yu Tseng}, title = {10 Mbps high-voltage digital transciever on single die for 50 {V} voltage swing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516989}, doi = {10.1109/ASICON.2015.7516989}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangTCWW15, author = {He Wang and Xi Tan and Feng Chen and Chao Wang and Junyu Wang}, title = {A Viterbi decoder for {UHF} {RFID} digital baseband}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517205}, doi = {10.1109/ASICON.2015.7517205}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangTCWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangWLCLL15, author = {Yongsheng Wang and Hongying Wang and Fengchang Lai and Bei Cao and Yang Liu and Xiaowei Liu}, title = {A 16-bit low-power double-sampled delta sigma modulator for audio applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517014}, doi = {10.1109/ASICON.2015.7517014}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangWLCLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangWTZJ15, author = {Yi Wang and Liji Wu and Zhi{-}Yuan Tu and Xiangmin Zhang and Wen Jia}, title = {A 125KHz low frequency power recovery circuit for battery-less {TPMS} SoC}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517112}, doi = {10.1109/ASICON.2015.7517112}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangWTZJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangWXDCL15, author = {Yongsheng Wang and Min Wang and Huaixin Xian and Yunfei Du and Bei Cao and Xiaowei Liu}, title = {Influence of substrate coupling noise to clock and data recovery}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516920}, doi = {10.1109/ASICON.2015.7516920}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangWXDCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangWYYHZ15, author = {Jielin Wang and Weizhen Wang and Jianwei Yang and Zhiyi Yu and Jun Han and Xiaoyang Zeng}, title = {Parallel implementation of {AES} on 2.5D multicore platform with hardware and software co-design}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517001}, doi = {10.1109/ASICON.2015.7517001}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangWYYHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangXC0XR15, author = {Jingjing Wang and Rongjin Xu and Chixiao Chen and Fan Ye and Jun Xu and Junyan Ren}, title = {100MS/s 9-bit 0.43mW {SAR} {ADC} with custom capacitor array}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517107}, doi = {10.1109/ASICON.2015.7517107}, timestamp = {Mon, 06 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangXC0XR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangXCM15, author = {Sheng Wang and Xiaoyan Xiang and Chen Chen and Jianyi Meng}, title = {An energy-efficient microprocessor using multilevel error correction for timing error tolerance}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517180}, doi = {10.1109/ASICON.2015.7517180}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangXCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangYSTJZZS15, author = {Chengsen Wang and Hao Yuan and Qingwen Song and XiaoYan Tang and Renxu Jia and Yuming Zhang and Yimen Zhang and Yidong Shen}, title = {Fabrication of 3.1kV/10A 4H-SiC Junction Barrier Schottky Diodes}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517153}, doi = {10.1109/ASICON.2015.7517153}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangYSTJZZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangZ0R15, author = {Weizhen Wang and Hao Zhou and Fan Ye and Junyan Ren}, title = {An 8-bit 4fs-step digitally controlled delay element with two cascaded delay units}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517034}, doi = {10.1109/ASICON.2015.7517034}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangZ0R15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WeiCZJ15, author = {Hupo Wei and Xiaole Cui and Qiang Zhang and Yufeng Jin}, title = {An enhanced decoder for multiple-bit error correcting {BCH} codes}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517203}, doi = {10.1109/ASICON.2015.7517203}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WeiCZJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WeiWWLS15, author = {Jiaxing Wei and Jianfeng Wang and Ning Wang and Siyang Liu and Weifeng Sun}, title = {A novel stack package solution of {AC-DC} chip for high-power density adapters}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517114}, doi = {10.1109/ASICON.2015.7517114}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WeiWWLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Wen15, author = {Xiaoqing Wen}, title = {Power supply noise and its reduction in at-speed scan testing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516980}, doi = {10.1109/ASICON.2015.7516980}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Wen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WenLWZ15, author = {Liang Wen and Li Li and Haibo Wen and Xiaoyang Zeng}, title = {Energy-efficient sub-threshold level shifter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516886}, doi = {10.1109/ASICON.2015.7516886}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WenLWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Wu0DZ0ZWZ15, author = {Mengnan Wu and Yang Yang and Liangliang Dai and Xinxin Zhang and Hongbin Sun and Ruizhi Zhang and Jianxiao Wang and Nanning Zheng}, title = {An experimental study on the potential use of ReRAM as {SSD} buffer}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517039}, doi = {10.1109/ASICON.2015.7517039}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Wu0DZ0ZWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Wu0LSLT15, author = {Jiarui Wu and Jun Yu and Jiaming Liang and Zhan Shi and Zhongzhou Li and Zhenan Tang}, title = {Driver circuit system for temperature control of micro-hotplates: Measurement and strategy}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516928}, doi = {10.1109/ASICON.2015.7516928}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Wu0LSLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Wu15, author = {Tao Wu}, title = {Elliptic curve {GF} (p) point multiplier by dual arithmetic cores}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516997}, doi = {10.1109/ASICON.2015.7516997}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Wu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuCMLYJ15, author = {Guanghua Wu and Hong Chen and Yanyi Meng and Xitian Long and Kun Yang and Xueping Jiang}, title = {A novel symbol synchronization algorithm and low-complexity circuits design for zero-IF {GFSK} demodulator}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517006}, doi = {10.1109/ASICON.2015.7517006}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuCMLYJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuLL15, author = {Cheng{-}Hung Wu and Saint James Lee and Kuen{-}Jong Lee}, title = {Distinguishing dynamic bridging faults and transition delay faults}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516978}, doi = {10.1109/ASICON.2015.7516978}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuYTWCW15, author = {Cheng Wu and Fan Yang and Xi Tan and Chao Wang and Feng Chen and Junyu Wang}, title = {An {ECC} crypto engine based on binary edwards elliptic curve for low-cost {RFID} tag chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517207}, doi = {10.1109/ASICON.2015.7517207}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuYTWCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XiaoWL15, author = {Yuanlong Xiao and Jian Wang and Jinmei Lai}, title = {A power efficient current-mode differential driver for FPGAs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516922}, doi = {10.1109/ASICON.2015.7516922}, timestamp = {Thu, 17 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XiaoWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XieHYZZ15, author = {Zhicheng Xie and Jun Han and Jianwei Yang and Lijun Zhou and Xiaoyang Zeng}, title = {A low-cost SoC implementation of {AES} algorithm for bio-signals}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517209}, doi = {10.1109/ASICON.2015.7517209}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XieHYZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XingCG15, author = {Xinpeng Xing and Gaozhan Cai and Georges G. E. Gielen}, title = {A lowpass/bandpass reconfigurable continuous-time {\(\Delta\)}{\(\Sigma\)} {ADC} for software-defined radio}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517013}, doi = {10.1109/ASICON.2015.7517013}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/XingCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuCHCZ15, author = {Ken Xu and Min Cai and Xiao{-}Yong He and Zhijian Chen and Weiguo Zheng}, title = {An automatic DC-Offset cancellation method and circuit for {RF} transceivers}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517123}, doi = {10.1109/ASICON.2015.7517123}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuCHCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuCWL0R15, author = {Rongjin Xu and Yongzhen Chen and Mingshuo Wang and Ning Li and Fan Ye and Junyan Ren}, title = {A 1.5-GS/s 5-bit interpolating {ADC} with offset averaging and interpolating sharing resistors network}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516937}, doi = {10.1109/ASICON.2015.7516937}, timestamp = {Thu, 26 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/XuCWL0R15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuGCW15, author = {Wenhao Xu and Xunhua Guo and Jinling Chen and Guoxing Wang}, title = {A hall sensor microsystem for current measurement used in watt-hour meter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517100}, doi = {10.1109/ASICON.2015.7517100}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuGCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuL15, author = {Wei Xu and Wei Li}, title = {Algorithms based on all-digital phase-locked loop for fast-locking and spur free}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517129}, doi = {10.1109/ASICON.2015.7517129}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/XuL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuZS15, author = {Wei Xu and Runxi Zhang and Chunqi Shi}, title = {Research of segmented 8bit voltage-mode {R-2R} ladder {DAC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517105}, doi = {10.1109/ASICON.2015.7517105}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuZSW15, author = {Yong Xu and Fei Zhao and Zheng Sun and Yuanliang Wu}, title = {Design of novel chopper stabilized rail-to-rail operational amplifier}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516988}, doi = {10.1109/ASICON.2015.7516988}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuZSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuanHYRZ15, author = {Sizhong Xuan and Jun Han and Zhiyi Yu and Yi Ren and Xiaoyang Zeng}, title = {A configurable SoC design for information security}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516998}, doi = {10.1109/ASICON.2015.7516998}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuanHYRZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XueSZH15, author = {Pan Xue and Yilei Shen and Yang Zhao and Zhiliang Hong}, title = {An all-digital quadrature {RF} transmitter with 8-bit {\(\Sigma\)}{\(\Delta\)} modulation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516964}, doi = {10.1109/ASICON.2015.7516964}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XueSZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XueZ0r15, author = {Xiangyan Xue and Xuerong Zhou and Fan Ye and Junyan Ren}, title = {A 100MS/s 5bit fully digital flash {ADC} with standard cells}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516936}, doi = {10.1109/ASICON.2015.7516936}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XueZ0r15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuerongXFJ15, author = {Xuerong Zhou and Xiangyan Xue and Fan Ye and Junyan Ren}, title = {{I/Q} imbalance estimation in {OFDM} systems}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517192}, doi = {10.1109/ASICON.2015.7517192}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuerongXFJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YanZEWZC15, author = {Bonan Yan and Yaojun Zhang and Enes Eken and Wujie Wen and Weisheng Zhao and Yiran Chen}, title = {Recent progresses of {STT} memory design and applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517038}, doi = {10.1109/ASICON.2015.7517038}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YanZEWZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangCZNGRC15, author = {Liwei Yang and Yao Chen and Wei Zuo and Tan Nguyen and Swathi T. Gurumani and Kyle Rupnow and Deming Chen}, title = {System-level design solutions: Enabling the IoT explosion}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517023}, doi = {10.1109/ASICON.2015.7517023}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangCZNGRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangGDJRW15, author = {Chao Yang and Shaoquan Gao and Jingjing Dong and Hanjun Jiang and Woogeun Rhee and Zhihua Wang}, title = {A 2.4 GHz two-point {\(\Delta\)}-{\(\Sigma\)} modulator with gain calibration and {AFC} for {WPAN/BAN} applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517124}, doi = {10.1109/ASICON.2015.7517124}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangGDJRW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangJTLY15, author = {Hongjiao Yang and Xiangliang Jin and Lizhen Tang and Weihui Liu and Jia Yang}, title = {Simulation and analysis of {P+/N} {SPAD} for 3D imaging}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516948}, doi = {10.1109/ASICON.2015.7516948}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangJTLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangLTCLZ15, author = {Li Yang and Jiancheng Li and Minghua Tang and Lei Cai and Jian Li and Miaoxia Zheng}, title = {A high-sensitivity {ASK} demodulator for passive {UHF} {RFID} tags with automatic voltage limitation and average voltage detection}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517186}, doi = {10.1109/ASICON.2015.7517186}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangLTCLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangLZ15, author = {Bowen Yang and Zhijian Lu and Jianjun Zhou}, title = {A 6-13 GHz wide-tuning-range low-phase-noise ring oscillator utilizing frequency multiplication technique}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517061}, doi = {10.1109/ASICON.2015.7517061}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangWHG15, author = {Zezhong Yang and Jinhui Wang and Ligang Hou and Na Gong}, title = {Novel {CMOS} technology compatible nonvolatile on-chip hybrid memory}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517142}, doi = {10.1109/ASICON.2015.7517142}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangWHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangWWFH15, author = {Yan Yang and Qi Wang and Yu Wang and Liyin Fu and Zongliang Huo}, title = {A novel adaptive {CMOS} low-dropout regulator with 3A sink/source capability}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517116}, doi = {10.1109/ASICON.2015.7517116}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangWWFH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangXLS15, author = {Zong Yang and Hui Xu and Nan Li and Zhaolin Sun}, title = {{FPGA} logic design of {SATA3.0} physical layer}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517172}, doi = {10.1109/ASICON.2015.7517172}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/YangXLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangXWXHZWL15, author = {Jianguo Yang and Juan Xu and Bo Wang and Xiaoyong Xue and Ryan Huang and Qingtian Zou and Jingang Wu and Yinyin Lin}, title = {A low cost and high reliability true random number generator based on resistive random access memory}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516996}, doi = {10.1109/ASICON.2015.7516996}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangXWXHZWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangZFLW15, author = {Jincheng Yang and Zhao Zhang and Peng Feng and Liyuan Liu and Nanjian Wu}, title = {A 1-V 5.2-5.7 GHz low noise sub-sampling phase locked loop in 0.18 {\(\mu\)}m {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517130}, doi = {10.1109/ASICON.2015.7517130}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangZFLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangZYXLB15, author = {Kai Yang and Yanqing Zhao and Jianguo Yang and Xiaoyong Xue and Yinyin Lin and Jun{-}Soo Bae}, title = {Impacts of external magnetic field and high temperature disturbance on {MRAM} reliability based on {FPGA} test platform}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517139}, doi = {10.1109/ASICON.2015.7517139}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangZYXLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YeC15, author = {Tianhong Ye and Kuan W. A. Chee}, title = {Low on-resistance power {MOSFET} design for automotive applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516992}, doi = {10.1109/ASICON.2015.7516992}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YeC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YeTZWF15, author = {Huixian Ye and Li Tian and Qi Zhang and Hui Wang and Songlin Feng}, title = {{CMOS} image sensor with programmable compressed sensing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516875}, doi = {10.1109/ASICON.2015.7516875}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YeTZWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YeWXZW15, author = {Qiangqiang Ye and Chenyu Wen and Ming Xu and Shi{-}Li Zhang and Dongping Wu}, title = {Ultra-sensitive and responsive capacitive humidity sensor based on graphene oxide}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517015}, doi = {10.1109/ASICON.2015.7517015}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YeWXZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YeWYH15, author = {Feng Ye and Haijun Wang and Ting Yi and Zhiliang Hong}, title = {A 14-bit 2-GS/s {DAC} with a programmable interpolation filter}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516912}, doi = {10.1109/ASICON.2015.7516912}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YeWYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Yin15, author = {Shujuan Yin}, title = {The compact Vth model for biaxial strained Si {NMOSFET}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517151}, doi = {10.1109/ASICON.2015.7517151}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Yin15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YinH15, author = {You Yin and Sumio Hosaka}, title = {Nanosecond-order fast switching and ultra-multilevel storage in lateral GeTe and Ge1Sb4Te7-based phase-change memories}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516971}, doi = {10.1109/ASICON.2015.7516971}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YinH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YinPWWH15, author = {Haibin Yin and Xiaohong Peng and Peiyuan Wan and Jinhui Wang and Ligang Hou}, title = {Design and testing of {CMOS} compatible {EEPROM}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517140}, doi = {10.1109/ASICON.2015.7517140}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YinPWWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YoshidaSYT15, author = {Shinnosuke Yoshida and Youhua Shi and Masao Yanagisawa and Nozomu Togawa}, title = {Improved monitoring-path selection algorithm for suspicious timing error prediction based timing speculation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516962}, doi = {10.1109/ASICON.2015.7516962}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YoshidaSYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuYNLS15, author = {D. J. Yu and Qi Yu and Ning Ning and Y. Liu and Z. Y. Shi}, title = {Hybrid {LED} driver for multi-channel output with high consistency}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516986}, doi = {10.1109/ASICON.2015.7516986}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/YuYNLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuZSG15, author = {Panpan Yu and Ying Zhou and Ling Sun and Jianjun Gao}, title = {A simple semi-analytical parameter extraction method for 40nm gatelength {MOSFET}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517165}, doi = {10.1109/ASICON.2015.7517165}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/YuZSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YunhuiFY15, author = {Yunhui Ling and Fang Liu and Ying Zhang}, title = {Realization of intelligent optimization algorithm on {IP} cores partition for NoC testing}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517183}, doi = {10.1109/ASICON.2015.7517183}, timestamp = {Tue, 18 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YunhuiFY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZengZWXZ15, author = {Zhi Zeng and Kaidi Zhang and Wei Wang and Weijiang Xu and Jia Zhou}, title = {Smartphone-controlled electro-wetting on dielectric microfluidics}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517018}, doi = {10.1109/ASICON.2015.7517018}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZengZWXZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhanHLB15, author = {Carol Rouying Zhan and Changsoo Hong and Jean{-}Philippe Lain{\'{e}} and Patrice Besse}, title = {Development of high-voltage {ESD} protection devices on smart power technologies for automotive applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516900}, doi = {10.1109/ASICON.2015.7516900}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhanHLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Zhang0LLLW15, author = {Zhongxing Zhang and Jie Yang and Honglong Li and Liyuan Liu and Jian Liu and Nanjian Wu}, title = {High-speed object detection based on a hierarchical parallel vision chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516876}, doi = {10.1109/ASICON.2015.7516876}, timestamp = {Mon, 27 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Zhang0LLLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangCBZ15, author = {Wenchao Zhang and Song Chen and Xuefei Bai and Dajiang Zhou}, title = {A full layer parallel {QC-LDPC} decoder for WiMAX and Wi-Fi}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517004}, doi = {10.1109/ASICON.2015.7517004}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhangCBZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangCLY15, author = {Jicun Zhang and Nan Chen and Chuanming Liu and Libin Yao}, title = {A low-power parallel-to-serial conversion circuit for {CMOS} image sensors}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517092}, doi = {10.1109/ASICON.2015.7517092}, timestamp = {Wed, 18 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangCLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangCW15, author = {Yangjie Zhang and Wei Cao and Lingli Wang}, title = {Implementation of high performance hardware architecture of face recognition algorithm based on local binary pattern on {FPGA}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516877}, doi = {10.1109/ASICON.2015.7516877}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangCXM0WWX15, author = {Wei Zhang and Qi Chen and Ming Xia and Rui Ma and Fei Lu and Chenkun Wang and Albert Z. Wang and Ya{-}Hong Xie}, title = {{TLP} evaluation of {ESD} protection capability of graphene micro-ribbons for ICs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516901}, doi = {10.1109/ASICON.2015.7516901}, timestamp = {Fri, 07 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangCXM0WWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangCZJ15, author = {Shijie Zhang and Xiaole Cui and Qiang Zhang and Yufeng Jin}, title = {A {TSV} repair method for clustered faults}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517144}, doi = {10.1109/ASICON.2015.7517144}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangCZJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangDJZ15, author = {Jianfu Zhang and Meng Duan and Zhigang Ji and Weidong Zhang}, title = {{NBTI} prediction and its induced time dependent variation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516942}, doi = {10.1109/ASICON.2015.7516942}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangDJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangFSWL15, author = {Shu{-}Hang Zhang and Yu{-}Cheng Feng and Miin{-}Shyue Shiau and Qi{-}Ming Wan and Don{-}Gey Liu}, title = {A high-slew rate rail-to-rail operational amplifier by flipped voltage followers}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516984}, doi = {10.1109/ASICON.2015.7516984}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangFSWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangFTG15, author = {Yan Zhang and Qi Fang and Robert K. F. Teng and Lun Gao}, title = {An {FPGA} acceleration system of exact helical {CBCT} image reconstruction}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517170}, doi = {10.1109/ASICON.2015.7517170}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangFTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangJC15, author = {Feng Zhang and Hao Ju and Chengying Chen}, title = {A {PVT} variation tolerant and low power 5Gb/s clock and data recovery circuit for {PCI-E} 2.0/USB 3.0}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517057}, doi = {10.1109/ASICON.2015.7517057}, timestamp = {Thu, 25 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangJLWX15, author = {Shuqin Zhang and Chunsheng Jiang and Libin Liu and Jing Wang and Jun Xu}, title = {Investigation of line tunnel field effect transistor with Ge/Si heterojunction}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517158}, doi = {10.1109/ASICON.2015.7517158}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangJLWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangLJLGFP15, author = {Yuang Zhang and Li Li and Axel Jantsch and Zhonghai Lu and Minglun Gao and Yuxiang Fu and Hongbing Pan}, title = {Exploring stacked main memory architecture for 3D GPGPUs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516950}, doi = {10.1109/ASICON.2015.7516950}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhangLJLGFP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangLMGL15, author = {Yefei Zhang and Zunchao Li and Qingzhi Meng and Yunhe Guan and Dongxu Luo}, title = {Performance evaluation and influence of device parameters on threshold voltage of dual-material strained gate-all-around {MOSFET}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517160}, doi = {10.1109/ASICON.2015.7517160}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangLMGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangQJ15, author = {Yubin Zhang and Yajie Qin and Han Jin}, title = {A high input impendence AC-coupled SoC suitable for wearable ExG monitor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516924}, doi = {10.1109/ASICON.2015.7516924}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangQJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWHG15, author = {Junteng Zhang and Jinhui Wang and Ligang Hou and Na Gong}, title = {Reusable {IO} technique for improved utility of {IC} test circuit area}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517143}, doi = {10.1109/ASICON.2015.7517143}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWLQZ15, author = {Yuejun Zhang and Pengjun Wang and Gang Li and Haoyu Qian and Xiaomin Zheng}, title = {Design of power-up and arbiter hybrid physical unclonable functions in 65nm {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517073}, doi = {10.1109/ASICON.2015.7517073}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWLQZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWLT15, author = {Linghan Zhang and Yunzhou Wang and Yicong Liu and Xusheng Tang}, title = {A new intrinsic parameter extraction approach for small-signal model of AlGaN/GaN devices}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517150}, doi = {10.1109/ASICON.2015.7517150}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangZ15, author = {Tao Zhang and Jiyao Zhang}, title = {Design and implementation of light load energy saving current-limiting circuit}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517088}, doi = {10.1109/ASICON.2015.7517088}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoDC15, author = {Long Zhao and Chenxi Deng and Yuhua Cheng}, title = {A 6b 2b/cycle {SAR} {ADC} beyond 1GS/s with hybrid {DAC} structure and low kickback noise comparators}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517103}, doi = {10.1109/ASICON.2015.7517103}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoDCWC15, author = {Long Zhao and Chenxi Deng and Hongming Chen and Guan Wang and Yuhua Cheng}, title = {A 1-V 23-{\(\mu\)}W 88-dB {DR} Sigma-Delta {ADC} for high-accuracy and low-power applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517011}, doi = {10.1109/ASICON.2015.7517011}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoDCWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoHC15, author = {Long Zhao and Ji He and Yuhua Cheng}, title = {A 6bit 4GS/s current-steering digital-to-analog converter in 40nm {CMOS} with adjustable bias and DfT block}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517104}, doi = {10.1109/ASICON.2015.7517104}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoHPWFY15, author = {Wei Zhao and Ligang Hou and Xiaohong Peng and Jinhui Wang and Jingyan Fu and Yang Yang}, title = {A {TSV} alignment design for multilayer 3D {IC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517065}, doi = {10.1109/ASICON.2015.7517065}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoHPWFY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoMWZ15, author = {Chen Zhao and Kuizhi Mei and Fei Wang and Nanning Zheng}, title = {A high-efficient floating point coprocessor for {SPARC} Leon2 embedded processor}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517173}, doi = {10.1109/ASICON.2015.7517173}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoMWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhenWYCL15, author = {Shaowei Zhen and Ji Wang and Dongjie Yang and Canhua Cao and Ping Luo}, title = {A load-transient-enhanced output-capacitor-free low-dropout regulator based on an ultra-fast push-pull amplifier}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516898}, doi = {10.1109/ASICON.2015.7516898}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhenWYCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhengCHXC15, author = {Weiguo Zheng and Min Cai and Xiao{-}Yong He and Ken Xu and Zhijian Chen}, title = {Analysis and design of quickly starting crystal oscillator}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517135}, doi = {10.1109/ASICON.2015.7517135}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhengCHXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhengLQZYL15, author = {Zhong{-}Shan Zheng and Zhen{-}Tao Li and Ning Qiao and Kai Zhao and Fang Yu and Jia{-}Jun Luo}, title = {Comparison of decoupling resistors and capacitors for increasing the single event upset resistance of {SRAM} cells}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517078}, doi = {10.1109/ASICON.2015.7517078}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhengLQZYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhengWGZ15, author = {Zheng Zheng and Xin'an Wang and Zhaoyang Guo and Guoxing Zhang}, title = {An automatic software/hardware verification platform prototype for reconfigurable audio algorithm in media SoC}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517196}, doi = {10.1109/ASICON.2015.7517196}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhengWGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhongyuanF15, author = {Xiang Zhongyuan and Zhang Feng}, title = {A dynamic reprogramming scheme to enhance the reliability of {RRAM}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517191}, doi = {10.1109/ASICON.2015.7517191}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhongyuanF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouFLTWGSXDC15, author = {Xingye Zhou and Zhihong Feng and Yuanjie Lv and Xin Tan and Yuangang Wang and Guodong Gu and Xubo Song and Peng Xu and Shaobo Dun and Shujun Cai}, title = {Dependency of current collapse on the device structure of GaN-based HEMTs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516946}, doi = {10.1109/ASICON.2015.7516946}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouFLTWGSXDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouGFW15, author = {RongRong Zhou and Fen Ge and Gui Feng and Ning Wu}, title = {A network components insertion method for 3D application-specific Network-on-Chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516952}, doi = {10.1109/ASICON.2015.7516952}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouGFW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouHCH15, author = {Jiangyun Zhou and Jianhao Hu and Jienan Chen and Shuaining He}, title = {Biased {MMSE} soft-output detection based on conjugate gradient in massive {MIMO}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517204}, doi = {10.1109/ASICON.2015.7517204}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouHCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouMAL0R15, author = {Guangyao Zhou and Shunli Ma and Fazhi An and Ning Li and Fan Ye and Junyan Ren}, title = {A 30-GHz to 39-GHz mm-Wave low-power injection-locked frequency divider in 65nm {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516965}, doi = {10.1109/ASICON.2015.7516965}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhouMAL0R15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouQLLZ15, author = {Xin Zhou and Ming Qiao and Yang Li and Zhaoji Li and Bo Zhang}, title = {Effect of field implantation on off- and on-state characteristics for thin layer {SOI} field P-channel {LDMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517069}, doi = {10.1109/ASICON.2015.7517069}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouQLLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuCDGCD15, author = {Lei Zhu and Qi Cheng and Jianghui Deng and Jianping Guo and Dihu Chen and Xidong Ding}, title = {A 3.5-A buck {DC-DC} regulator with wire drop compensation for remote-loading applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516896}, doi = {10.1109/ASICON.2015.7516896}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuCDGCD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuLGZF15, author = {Yunzhong Zhu and Tao Li and Jingpeng Guo and Haiyang Zhou and Fangfa Fu}, title = {A novel low-cost interface design for SystemC and SystemVerilog Co-simulation}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516907}, doi = {10.1109/ASICON.2015.7516907}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuLGZF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuRTA15, author = {Liang Zhu and Qian Ren and Neo Tan and Zhibo Ai}, title = {3D resist modeling for {OPC} correction and verification}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517066}, doi = {10.1109/ASICON.2015.7517066}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuRTA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuXCM15, author = {Tao{-}Tao Zhu and Xiaoyan Xiang and Chen Chen and Jianyi Meng}, title = {A near threshold error resilient processor based on dynamic timing error prediction and within-a-cycle timing error correction}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517178}, doi = {10.1109/ASICON.2015.7517178}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuXCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuZ0ZC15, author = {Guodong Zhu and Junfeng Zhang and Yang Xu and Zehong Zhang and Baoyong Chi}, title = {A 1/2/4MHz multi-mode reconfigurable lowpass/complex bandpass {CT} {\(\Sigma\)}{\(\Delta\)} modulator for short range wireless receiver}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516891}, doi = {10.1109/ASICON.2015.7516891}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuZ0ZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZouL15, author = {Tian Zou and Zuying Luo}, title = {PS-BloTAM: Pre-sampling based architecture-level temperature analysis methodology}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517167}, doi = {10.1109/ASICON.2015.7517167}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZouL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZouQH15, author = {Chen Zou and Weikang Qian and Jie Han}, title = {{DPALS:} {A} dynamic programming-based algorithm for two-level approximate logic synthesis}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516961}, doi = {10.1109/ASICON.2015.7516961}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZouQH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZouZ15, author = {Wanghui Zou and Yun Zeng}, title = {An analytical series resistance model for on-chip stacked inductors with inclusion of proximity effect between stacked layers}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517081}, doi = {10.1109/ASICON.2015.7517081}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZouZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asicon/2015, title = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7506193/proceeding}, isbn = {978-1-4799-8483-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.