Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/asicon/asicon2013.bht:"
@inproceedings{DBLP:conf/asicon/BiLZZW13, author = {Zhaori Bi and Wei Li and Dian Zhou and Xuan Zeng and Sheng{-}Guo Wang}, title = {Mixed-signal system verification by SystemC/SystemC-AMS and {HSIM-VCS} in near field communication tag design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811930}, doi = {10.1109/ASICON.2013.6811930}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/BiLZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaiGLHLQG13, author = {Lei Cai and Xiaocheng Gu and Jiancheng Li and Chong Huang and Cong Li and Qin Qin and Junping Guo}, title = {A single branch charge pump without overstress for {RFID} tag}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812055}, doi = {10.1109/ASICON.2013.6812055}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CaiGLHLQG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChangT13, author = {Meng{-}Chou Chang and Shih{-}Ju Tsai}, title = {A low-power ternary content-addressable memory using pulse current based match-line sense amplifiers}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811987}, doi = {10.1109/ASICON.2013.6811987}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChangT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenCL13, author = {Si Chen and Xiaole Cui and Chung Len Lee}, title = {A novel test scheme for {NAND} flash memory based on built-in oscillator ring}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811957}, doi = {10.1109/ASICON.2013.6811957}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenCZYR13, author = {Yongzhen Chen and Chixiao Chen and Qiang Zhang and Fan Ye and Junyan Ren}, title = {A 12-bit 200-MS/s sample-and-hold amplifier with a hybrid Miller-Feedforward compensation technique}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811866}, doi = {10.1109/ASICON.2013.6811866}, timestamp = {Mon, 27 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenCZYR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenFWL13, author = {Zhixiang Chen and Yi Fang and Fang Wang and Zhaolin Li}, title = {Implementation of {H.264} intra-frame encoding on clustered stream architectures}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811985}, doi = {10.1109/ASICON.2013.6811985}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenFWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenFZ13, author = {Yun Chen and Chaojie Fan and Jianjun Zhou}, title = {Low jitter clock driver for high-performance pipeline {ADC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812047}, doi = {10.1109/ASICON.2013.6812047}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenGC13, author = {Peng Chen and Rui Guan and Dongpo Chen}, title = {{AVCO} with {F-V} linearization techniques for {CNS} application}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811906}, doi = {10.1109/ASICON.2013.6811906}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenLZL13, author = {Xiaofei Chen and Fanhong Liu and Xuecheng Zou and Shuangxi Lin}, title = {A linearized {VBE} bandgap voltage reference with wide temperature range}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812025}, doi = {10.1109/ASICON.2013.6812025}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenLZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenSZLZ13, author = {Xiaofei Chen and Yading Shen and Xuecheng Zou and Shuang{-}Xi Lin and Wanghui Zou}, title = {A new high performance {RF} {LDMOS} with vertical n+n-p-p+ drain structure}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811949}, doi = {10.1109/ASICON.2013.6811949}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenSZLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenWWH13, author = {Yingrui Chen and Teng Wang and Xin'an Wang and Ziyi Hu}, title = {Implementation of an embedded dual-core processor for portable medical electronics applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812002}, doi = {10.1109/ASICON.2013.6812002}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenWWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenYCZ13, author = {Weijie Chen and Hailong Yao and Yici Cai and Qiang Zhou}, title = {Analog routing considering min-area constraint}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811904}, doi = {10.1109/ASICON.2013.6811904}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenYCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenYSW13, author = {Zhe Chen and Jie Yang and Cong Shi and Nanjian Wu}, title = {A novel architecture of local memory for programmable {SIMD} vision chip}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811989}, doi = {10.1109/ASICON.2013.6811989}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChenYSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenYZLZ13, author = {Jianfeng Chen and Yuhua Yu and Xiangyu Zeng and Jian Li and Jia Zhou}, title = {Evaluation of Cyanoethyl Pullulan material as the dielectric layer for {EWOD} devices}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812063}, doi = {10.1109/ASICON.2013.6812063}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenYZLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenZWYLWF13, author = {Zhiqing Chen and Qi Zhang and Ning Wang and Dunshan Yuan and Guohong Li and Hui Wang and Songlin Feng}, title = {A low spur {CMOS} phase-locked loop with wide tuning range for {CMOS} Image Sensor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811881}, doi = {10.1109/ASICON.2013.6811881}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenZWYLWF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChengCBHDZ13, author = {Jie Cheng and Yun Chen and Wenxu Bao and Yuanzhou Hu and Na Ding and Xiaoyang Zeng}, title = {Positionable wearable fall detection system for elderly assisted living applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812004}, doi = {10.1109/ASICON.2013.6812004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChengCBHDZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChengYWT13, author = {Tao Cheng and Tao Yang and Xin Wang and Zhangwen Tang}, title = {A wideband {CMOS} variable-gain low noise amplifier with novel attenuator}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812014}, doi = {10.1109/ASICON.2013.6812014}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChengYWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChengZZZ13, author = {Jieqiong Cheng and Junsong Zheng and Xiaofang Zhou and Linshan Zhang}, title = {Implementation of a configurable {MIMO} detector with complex K-best algorithm}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811830}, doi = {10.1109/ASICON.2013.6811830}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChengZZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChiaLCW13, author = {Chu{-}Hsiang Chia and Pui{-}Sun Lei and Robert Chen{-}Hao Chang and Wei{-}Chih Wang}, title = {A nonlinear weighted {PID} controlled 12V to 1V {DC-DC} converter with transient suppression}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811895}, doi = {10.1109/ASICON.2013.6811895}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ChiaLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CuiLWGC13, author = {Xiaoxin Cui and Rui Li and Wei Wei and Juan Gu and Xiaole Cui}, title = {AHardware implementation of {DES} with combined countermeasure against {DPA}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812024}, doi = {10.1109/ASICON.2013.6812024}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CuiLWGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Deng13, author = {Chenxi Deng}, title = {An area-efficient implementation of {\(\Sigma\)}{\(\Delta\)} {ADC} multistage decimation filter}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811998}, doi = {10.1109/ASICON.2013.6811998}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Deng13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DevalRVRGMBT13, author = {Yann Deval and Francois Rivet and Yoan Veyrac and Nicolas Regimbal and Patrick Garrec and Richard Montigny and Didier Belot and Thierry Taris}, title = {Full Software Radio transceivers}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811860}, doi = {10.1109/ASICON.2013.6811860}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DevalRVRGMBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DingZWYLWF13, author = {Yiling Ding and Qi Zhang and Ning Wang and Dunshan Yuan and Guohong Li and Hui Wang and Songlin Feng}, title = {A 10-bit pipelined {ADC} with improved {S/H} circuit for {CMOS} image sensor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811872}, doi = {10.1109/ASICON.2013.6811872}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DingZWYLWF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DongZXZ13, author = {Jun Dong and Hengliang Zhu and Min Xie and Xuan Zeng}, title = {Graph Steiner tree construction and its routing applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811916}, doi = {10.1109/ASICON.2013.6811916}, timestamp = {Thu, 20 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DongZXZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DouBHZ13, author = {Renfeng Dou and Yifan Bo and Jun Han and Xiaoyang Zeng}, title = {Design of a high throughput configurable variable-length {FFT} processor based on switch network architecture}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811852}, doi = {10.1109/ASICON.2013.6811852}, timestamp = {Mon, 27 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DouBHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DuJK13, author = {Zhe Du and Yu Jin and Shinji Kimura}, title = {Controlling-value-based power gating considering controllability propagation and power-off probability}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811909}, doi = {10.1109/ASICON.2013.6811909}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DuJK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DuNWLY13, author = {Ling Du and Ning Ning and Kejun Wu and Yang Liu and Qi Yu}, title = {A process variation insensitive bandgap reference with self-calibration technique}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811901}, doi = {10.1109/ASICON.2013.6811901}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DuNWLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DuanHY13, author = {Maoqiang Duan and Xiaoli Huang and Zhijia Yang}, title = {A {GFSK} transceiver for {IEEE} Std. 802.15.4g used in China}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812008}, doi = {10.1109/ASICON.2013.6812008}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DuanHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DunLLGH13, author = {Dun Yan and Jiancheng Li and Songting Li and Xiaochen Gu and Chong Huang}, title = {A fast and accurate automatic frequency calibration scheme for frequency synthesizer}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811885}, doi = {10.1109/ASICON.2013.6811885}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DunLLGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FanPWZ13, author = {Chaojie Fan and Wenjie Pan and Ke Wang and Jianjun Zhou}, title = {Digital calibration techniques for interstage gain nonlinearity in pipelined ADCs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811962}, doi = {10.1109/ASICON.2013.6811962}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FanPWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FanWZ13, author = {Siqiang Fan and Albert Z. Wang and Bin Zhao}, title = {Folding and interpolation {ADC} design methodology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811871}, doi = {10.1109/ASICON.2013.6811871}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FanWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengCY0R13, author = {Zemin Feng and Chixiao Chen and Fan Ye and Jun Xu and Junyan Ren}, title = {A finite gain bandwidth compensation method for low power continuous-time {\(\Sigma\)}{\(\Delta\)} modulator}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811891}, doi = {10.1109/ASICON.2013.6811891}, timestamp = {Mon, 27 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/FengCY0R13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengGYW13, author = {Gui Feng and Fen Ge and Shuang Yu and Ning Wu}, title = {A thermal-aware mapping algorithm for 3D Mesh Network-on-Chip architecture}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811834}, doi = {10.1109/ASICON.2013.6811834}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FengGYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengWWHK13, author = {Chao Feng and Jinhui Wang and Wei Wu and Ligang Hou and Jianbo Kang}, title = {{CMOS} 1.2V bandgap voltage reference design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812039}, doi = {10.1109/ASICON.2013.6812039}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FengWWHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuWLW13, author = {Fangfa Fu and Liang Wang and Yu Lu and Jinxiang Wang}, title = {Low overhead task migration mechanism in NoC-based MPSoC}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811839}, doi = {10.1109/ASICON.2013.6811839}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FuWLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuYW13, author = {Lingzhi Fu and Xiao Yan and Junyu Wang}, title = {A collision and tag number detector for {UHF} {RFID} reader conforming to {EPC} Gen2 protocol}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812013}, doi = {10.1109/ASICON.2013.6812013}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FuYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Fujishima13, author = {Minoru Fujishima}, title = {Low-power high-speed communication with short-millimeter-wave {CMOS} transceivers}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811828}, doi = {10.1109/ASICON.2013.6811828}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Fujishima13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FujishiroYT13, author = {Mika Fujishiro and Masao Yanagisawa and Nozomu Togawa}, title = {Scan-based attack against Trivium stream cipher independent of scan structure}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811855}, doi = {10.1109/ASICON.2013.6811855}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FujishiroYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FuketaTTNSS13, author = {Hiroshi Fuketa and Ryo Takahashi and Makoto Takamiya and Masahiro Nomura and Hirofumi Shinohara and Takayasu Sakurai}, title = {Variation-aware subthreshold logic circuit design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811842}, doi = {10.1109/ASICON.2013.6811842}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FuketaTTNSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GaoWLZH13, author = {Yingke Gao and Diancheng Wu and Quanquan Li and Tiejun Zhang and Chaohuan Hou}, title = {Design and implementation of transaction level processor based on {UVM}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811924}, doi = {10.1109/ASICON.2013.6811924}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GaoWLZH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GaoY13, author = {Xiaohao Gao and Takeshi Yoshimura}, title = {Genetic Algorithm based pipeline scheduling in high-level synthesis}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811982}, doi = {10.1109/ASICON.2013.6811982}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GaoY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GaoYDP13, author = {Jhih{-}Rong Gao and Bei Yu and Duo Ding and David Z. Pan}, title = {Lithography hotspot detection and mitigation in nanometer {VLSI}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811917}, doi = {10.1109/ASICON.2013.6811917}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/GaoYDP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GimignaniPRS13, author = {Massimo Gimignani and Mario Paparo and Domenico Rossi and Salvatore Scaccianoce}, title = {{RF} design and technology supporting Active Safety in automotive applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811875}, doi = {10.1109/ASICON.2013.6811875}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GimignaniPRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GongXZST13, author = {Liwei Gong and Yuan Xu and Zhi Zhang and Weiwei Shi and Robert K. F. Teng}, title = {An open 45nm {PD-SOI} standard cell library based on verified {BSIM} {SOI} spice model with predictive technology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812058}, doi = {10.1109/ASICON.2013.6812058}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GongXZST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuanHZ13, author = {Tianchan Guan and Jun Han and Xiaoyang Zeng}, title = {Highly flexible {WBAN} transmit-receive system based on {USRP}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811831}, doi = {10.1109/ASICON.2013.6811831}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuanHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuoWWH13, author = {Zijia Guo and Teng Wang and Xin'an Wang and Ziyi Hu}, title = {Design of an optimized low-latency interrupt controller for {IMS-DPU}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811840}, doi = {10.1109/ASICON.2013.6811840}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuoWWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuoWZYZ13, author = {Yangyang Guo and Liji Wu and Tengfei Zhai and Xiao Yu and Xiangmin Zhang}, title = {Mixed-signal SoC design and low power research for tire pressure monitoring systems}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812005}, doi = {10.1109/ASICON.2013.6812005}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GuoWZYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HangYZHY13, author = {Guoqiang Hang and Yang Yang and Peiyi Zhao and Xiaohui Hu and Xiaohu You}, title = {A clocked differential switch logic using floating-gate {MOS} transistors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811997}, doi = {10.1109/ASICON.2013.6811997}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HangYZHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HaoK13, author = {Jifa Hao and T. E. Kopley}, title = {Building-in reliability in {BCD} (Bipolar-CMOS-DMOS) technologies}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811954}, doi = {10.1109/ASICON.2013.6811954}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HaoK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HaoWCYW13, author = {Cong Hao and Nan Wang and Song Chen and Takeshi Yoshimura and Min{-}You Wu}, title = {Interconnection allocation between functional units and registers in High-Level Synthesis}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811938}, doi = {10.1109/ASICON.2013.6811938}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HaoWCYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Hashimoto13, author = {Masanori Hashimoto}, title = {Soft error immunity of subthreshold {SRAM}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811841}, doi = {10.1109/ASICON.2013.6811841}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Hashimoto13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeCJ13, author = {Long He and Zhihui Chen and Anquan Jiang}, title = {Piezoelectric force microscopy study of local bipolar diode current dependence of preferential domain orientation in BiFeO3 thin films with different thicknesses}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812022}, doi = {10.1109/ASICON.2013.6812022}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeCLCJ13, author = {Yibo He and Xiaole Cui and Chung Len Lee and Xiaoxin Cui and Yufeng Jin}, title = {New DfT architectures for 3D-SICs with a wireless test port}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812017}, doi = {10.1109/ASICON.2013.6812017}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HeCLCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeXYH13, author = {Rui He and Jianfei Xu and Na Yan and Min Hao}, title = {A 20 Gb/s Limiting Amplifier in 65nm {CMOS} technology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811865}, doi = {10.1109/ASICON.2013.6811865}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeXYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeZG13, author = {Gang He and Dajiang Zhou and Satoshi Goto}, title = {Transform-based fast mode and depth decision algorithm for {HEVC} intra prediction}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811850}, doi = {10.1109/ASICON.2013.6811850}, timestamp = {Mon, 25 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HeZG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Hong-WunC13, author = {Hong{-}Wun Gao and Te{-}Kuang Chiang}, title = {A novel scaling theory for fully-depleted omega-gate ({\(\Omega\)}G) MOSFETs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811953}, doi = {10.1109/ASICON.2013.6811953}, timestamp = {Tue, 16 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Hong-WunC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HouWPY13, author = {Zhengxiong Hou and Yipeng Wang and Quan Pan and C. Patrick Yue}, title = {A 25-Gb/s 32.1-dB {CMOS} limiting amplifier for integrated optical receivers}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811897}, doi = {10.1109/ASICON.2013.6811897}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HouWPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HsiaoD13, author = {Yu{-}Chung Hsiao and Luca Daniel}, title = {Sparse basis pursuit on automatic nonlinear circuit modeling}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811858}, doi = {10.1109/ASICON.2013.6811858}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HsiaoD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HsuHL13, author = {Meng{-}Ting Hsu and Jie{-}An Huang and Yao{-}Yan Lee}, title = {Design of drain-gate transformer feedback {VCO} with body-biasing}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811883}, doi = {10.1109/ASICON.2013.6811883}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HsuHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HsuHO13, author = {Meng{-}Ting Hsu and Yu{-}Chang Hsieh and An{-}Cheng Ou}, title = {Design of low power {UWB} {CMOS} {LNA} using {RC} feedback and body-bias technology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811829}, doi = {10.1109/ASICON.2013.6811829}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HsuHO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HsuLH13, author = {Meng{-}Ting Hsu and Po{-}Yu Lee and Yu{-}Zhang Huang}, title = {Design of dual-wideband low noise amplifier base on common gate topology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811869}, doi = {10.1109/ASICON.2013.6811869}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HsuLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Hu13, author = {Tianyi Hu}, title = {A semi-auto interactive 2D-to-3D video conversion technique based on edge detection}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812006}, doi = {10.1109/ASICON.2013.6812006}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Hu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuMZZ13, author = {Yunpu Hu and Songping Mai and Yixin Zhao and Chun Zhang}, title = {Low-resistance wide-voltage-range analog switch for implantable neural stimulators}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812045}, doi = {10.1109/ASICON.2013.6812045}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuMZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuSZ13, author = {Hanbin Hu and Guoyong Shi and Yan Zhu}, title = {Incremental symbolic construction for topological modeling of analog circuits}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811928}, doi = {10.1109/ASICON.2013.6811928}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuWLPW13, author = {Tongning Hu and Bo Wang and Ke Lin and Yi Peng and Xin'an Wang}, title = {A three-stage {LDO} with active feedback frequency compensation and slew-rate enhancement}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812035}, doi = {10.1109/ASICON.2013.6812035}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HuWLPW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuaWL13, author = {Siliang Hua and Donghui Wang and Yan Liu}, title = {A {CMOS} synchronous time amplifier}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811867}, doi = {10.1109/ASICON.2013.6811867}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuaWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangCRY13, author = {Jiasen Huang and Hao Chen and Junyan Ren and Fan Ye}, title = {A novel joint estimation and compensation algorithm for non-idealities of analog front-end in {DC-OFDM} system}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811975}, doi = {10.1109/ASICON.2013.6811975}, timestamp = {Mon, 27 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HuangCRY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangGCLYZQWL13, author = {Chong Huang and Xiaochen Gu and Lei Cai and Cong Li and Dun Yan and Bingbing Zhang and Qin Qin and Hongyi Wang and Jiancheng Li}, title = {A high conversion coefficient {RF} front end of ultra-low power {RFID} tag}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811879}, doi = {10.1109/ASICON.2013.6811879}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HuangGCLYZQWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangGCLYZQWL13a, author = {Chong Huang and Xiaochen Gu and Lei Cai and Cong Li and Dun Yan and Bingbing Zhang and Qin Qin and Hongyi Wang and Jiancheng Li}, title = {An adaptive {Q} factor tuning and input impedance matching method for ultra-low power front end of {UHF} {RFID} tag}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811878}, doi = {10.1109/ASICON.2013.6811878}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/HuangGCLYZQWL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangHLHWSCWHLLSLL13, author = {Nan{-}Xiong Huang and Hsi Rong Han and Wen Tui Liao and Chih{-}Hung Huang and Wen Chun Wang and Miin{-}Shyue Shiau and Ching{-}Hwa Cheng and Hong{-}Chong Wu and Heng{-}Shou Hsu and Juin J. Liou and Shry{-}Sann Liao and Ruei{-}Cheng Sun and Guang{-}Bao Lu and Don{-}Gey Liu}, title = {Integrated amorphous-Si {TFT} circuits for gate drivers on {LCD} panels}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811951}, doi = {10.1109/ASICON.2013.6811951}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangHLHWSCWHLLSLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangLFZHZY13, author = {Xiaozong Huang and Luncai Liu and Liu Fan and Jing Zhang and Wengang Huang and Yanlin Zhang and Lei Yu}, title = {A proposed data converter for current signal with temperature-compensated sample resistor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812041}, doi = {10.1109/ASICON.2013.6812041}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangLFZHZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangSGLZ13, author = {Anwen Huang and Chao Song and Wei Guo and Peng Li and Minxuan Zhang}, title = {An interference miss isolation mechanism based on skewed mapping for shared cache in Chip Multiprocessors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811977}, doi = {10.1109/ASICON.2013.6811977}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangSGLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangYSL13, author = {D. M. Huang and C. J. Yao and D. H. Shi and M. F. Li}, title = {An empirical model for static {I-V} characteristics of double gate tunneling field effect transistor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811948}, doi = {10.1109/ASICON.2013.6811948}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangYSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuangZZW13, author = {Guanming Huang and Dian Zhou and Xuan Zeng and Shengguo Wang}, title = {A practical method for auto-design and optimization of {DC-DC} buck converter}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811899}, doi = {10.1109/ASICON.2013.6811899}, timestamp = {Thu, 20 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuangZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Ikeda13, author = {Makoto Ikeda}, title = {Self-synchronous circuit designs, {SSFPGA} and {SSRSA} for low voltage autonomous control and tamper resistivity}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811907}, doi = {10.1109/ASICON.2013.6811907}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Ikeda13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangL13, author = {Jinguang Jiang and Sen Li}, title = {An equalization system for 2 series-connected Li-ion batteries}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811988}, doi = {10.1109/ASICON.2013.6811988}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JiangL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangWLW13, author = {Guoyue Jiang and Fang Wang and Zhaolin Li and Shaojun Wei}, title = {A power-efficient network-on-chip for multi-core stream processors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811833}, doi = {10.1109/ASICON.2013.6811833}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JiangWLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiangWTLCC13, author = {Lele Jiang and Song Wen and Wei Tai and Wang Lei and Lifu Chang and Yuhua Cheng}, title = {Device parameter variations of n-MOSFETS with dog-bone layouts in 65nm and 40nm technologies}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812060}, doi = {10.1109/ASICON.2013.6812060}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JiangWTLCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JiaoF13, author = {Jiajia Jiao and Yuzhuo Fu}, title = {A cost-effective method for masking transient errors in NoC flit type}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811961}, doi = {10.1109/ASICON.2013.6811961}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JiaoF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JingCYLR13, author = {Bing Jing and Hao Chen and Fan Ye and Ning Li and Junyan Ren}, title = {Low-complexity synchronizer used in {DC-OFDM} {UWB} system}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811862}, doi = {10.1109/ASICON.2013.6811862}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JingCYLR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JingXYLR13, author = {Bing Jing and Yuankun Xue and Fan Ye and Ning Li and Junyan Ren}, title = {Automatic gain control algorithm with high-speed and double closed-loop in {UWB} system}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812010}, doi = {10.1109/ASICON.2013.6812010}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JingXYLR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/JosephW13, author = {Alvin Joseph and Randy Wolf}, title = {Integrated silicon {RF} front-end solutions for mobile communications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811827}, doi = {10.1109/ASICON.2013.6811827}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/JosephW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KangYZYCZYXZ13, author = {Xiaoxu Kang and Chao Yuan and Qingyun Zuo and Changwa Yao and Shoumian Chen and Yuhang Zhao and Yilin Yan and Yuanjun Xu and Weiping Zhou}, title = {Fabrication of silicon-based {MEMS} capacitive microphone structure with thin starting wafer}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811942}, doi = {10.1109/ASICON.2013.6811942}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KangYZYCZYXZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KongYY13, author = {Dexin Kong and Ting Yu and Fengqi Yu}, title = {A temperature sensing front-end using {CMOS} substrate {PNP} transistors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811854}, doi = {10.1109/ASICON.2013.6811854}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KongYY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/KuwabaraKT13, author = {Syota Kuwabara and Yukihide Kohira and Yasuhiro Takashima}, title = {An acceleration method by {GPGPU} for analytical placement using quasi-Newton method}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811935}, doi = {10.1109/ASICON.2013.6811935}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/KuwabaraKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LaiLSBL13, author = {Jinmei Lai and Yanquan Luo and Qi Shao and Lichun Bao and Xueling Liu}, title = {A high-resolution {TDC} implemented in a 90nm process {FPGA}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811853}, doi = {10.1109/ASICON.2013.6811853}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LaiLSBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LeeCS13, author = {Jong{-}Ho Lee and Kyu{-}Bong Choi and Jongmin Shin}, title = {Design and analysis of nano-scale bulk FinFETs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811940}, doi = {10.1109/ASICON.2013.6811940}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LeeCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiCC13, author = {Hongwei Li and Guang Chen and Huijuan Cheng}, title = {Gate oxide enhancement for whole chip {ESD} design between different power domains}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811959}, doi = {10.1109/ASICON.2013.6811959}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiFZWLW13, author = {Ting Li and Dongbing Fu and Yong Zhang and Yan Wang and Lu Liu and Xu Wang}, title = {A high-speed front-end circuit used in a 16bit 250MSPS pipelined {ADC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811964}, doi = {10.1109/ASICON.2013.6811964}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiFZWLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiJZZ13, author = {Sen Li and Jinguang Jiang and Xifeng Zhou and Zeyu Zhang}, title = {Current-mode square-wave converter with current-rectifying function employing {MOCCII}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812027}, doi = {10.1109/ASICON.2013.6812027}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiJZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiJZZ13a, author = {Sen Li and Jinguang Jiang and Xifeng Zhou and Zeyu Zhang}, title = {A novel current-mode versatile filter employing {CCCDCC} and {MO-OTA}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812026}, doi = {10.1109/ASICON.2013.6812026}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiJZZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiLM13, author = {Zhe Li and Yuxiao Lu and Tingting Mo}, title = {Calibration for split capacitor {DAC} in {SAR} {ADC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811966}, doi = {10.1109/ASICON.2013.6811966}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiQLH13, author = {Qiuli Li and Yao Qian and Danzhu Lu and Zhiliang Hong}, title = {{VCCS} controlled {LDO} with small on-chip capacitor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811903}, doi = {10.1109/ASICON.2013.6811903}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiQLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiW13, author = {Jing Li and Xingang Wang}, title = {Developing a design system to help reduce design cycle time}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811913}, doi = {10.1109/ASICON.2013.6811913}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiWBLC13, author = {Chaojiang Li and Dawn Wang and Myra Boenke and Ted Letavic and John Cohn}, title = {An integrated zigbee transmitter and {DC-DC} converter on 0.18{\(\mu\)}m {HV} {RF} {CMOS} technology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811896}, doi = {10.1109/ASICON.2013.6811896}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiWBLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiWL13, author = {Huagang Li and Jian Wang and Jinmei Lai}, title = {Weight-based {FPGA} placement algorithm with wire effect considered}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811915}, doi = {10.1109/ASICON.2013.6811915}, timestamp = {Thu, 17 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiWL13a, author = {Lei Li and Jian Wang and Jinmei Lai}, title = {Improved unified interconnect unit for high speed and scalable {FPGA}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811912}, doi = {10.1109/ASICON.2013.6811912}, timestamp = {Thu, 17 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiWL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiWZ13, author = {Yang Li and Liji Wu and Xiangmin Zhang}, title = {Design of 13.56MHz power recovery circuit with signal transmission for contactless bank {IC} card}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812051}, doi = {10.1109/ASICON.2013.6812051}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiWZWH13, author = {Quanquan Li and Qi Wang and Tiejun Zhang and Donghui Wang and Chaohuan Hou}, title = {Low power instruction cache design based on branch execution tracks}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811822}, doi = {10.1109/ASICON.2013.6811822}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiWZWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiXMB13, author = {Yuanyuan Li and Ning Xu and Yuchun Ma and Jinian Bian}, title = {Incremental 3D NoC synthesis based on physical-aware router merging algorithm}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811920}, doi = {10.1109/ASICON.2013.6811920}, timestamp = {Fri, 13 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LiXMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZLDMLHZW13, author = {Hui Li and Wei Zhu and Ningxi Liu and Cunlin Dong and Chao Meng and Yinyin Lin and Ryan Huang and Qingtian Zou and Jingang Wu}, title = {Novel operation scheme and technological optimization for 1T bulk capacitor-less {DRAM}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812021}, doi = {10.1109/ASICON.2013.6812021}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZLDMLHZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZWZ13, author = {Minghua Li and Dian Zhou and Sheng{-}Guo Wang and Xuan Zeng}, title = {{FMSSQP:} An efficient global optimization tool for the robust design of Rail-to-Rail Op-Amp}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812030}, doi = {10.1109/ASICON.2013.6812030}, timestamp = {Thu, 20 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZZ13, author = {You Li and Feng Zhang and Yumei Zhou}, title = {A novel equalizer for the high-loss backplane at Nyquist frequency}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811893}, doi = {10.1109/ASICON.2013.6811893}, timestamp = {Tue, 22 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiZZ13a, author = {Jian Li and Xiangyu Zeng and Jia Zhou}, title = {Simulation design for continuous separating and 3D focusing of particles based on inertial microfluidics}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811945}, doi = {10.1109/ASICON.2013.6811945}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiZZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Liang13, author = {Chao Liang}, title = {Mixed-signal verification methods for multi-power mixed-signal System-on-Chip (SoC) design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812042}, doi = {10.1109/ASICON.2013.6812042}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Liang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiangD13, author = {Bin Liang and Yankang Du}, title = {Two sides of pulse quenching effect on the single-event transient pulse width at circuit-level}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811956}, doi = {10.1109/ASICON.2013.6811956}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiangD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiangZCW13, author = {Feng Liang and Si{-}Qi Zhao and Aobo Chen and Gaofeng Wang}, title = {Three-dimensional on-chip inductor design based on through-silicon vias}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812066}, doi = {10.1109/ASICON.2013.6812066}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiangZCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LinTM13, author = {Zhiheng Lin and Xi Tan and Hao Min}, title = {A {CMOS} passive mixer-first receiver front-end for {UHF} {RFID} Reader}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811877}, doi = {10.1109/ASICON.2013.6811877}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LinTM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LinZYZ13, author = {Jie Lin and Wei Zhou and Zhiyi Yu and Xiaoyang Zeng}, title = {A hybrid router combining circuit switching and packet switching with virtual channels for on-chip networks}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811838}, doi = {10.1109/ASICON.2013.6811838}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LinZYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuHTWT13, author = {Zao Liu and Xin Huang and Sheldon X.{-}D. Tan and Hai Wang and He Tang}, title = {Distributed task migration for thermal hot spot reduction in many-core microprocessors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811821}, doi = {10.1109/ASICON.2013.6811821}, timestamp = {Sat, 12 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuHTWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuJ13, author = {Dan Liu and Chuan Jin}, title = {Low noise design and measurement of 32-channel X-ray {ROIC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812032}, doi = {10.1109/ASICON.2013.6812032}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuJDLHL13, author = {Ningxi Liu and Yu Jiang and Qing Dong and Hui Li and Xinyi Hu and Yinyin Lin}, title = {Low-power high-yield {SRAM} design with {VSS} adaptive boosting and {BL} capacitance variation sensing}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811968}, doi = {10.1109/ASICON.2013.6811968}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuJDLHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuSHM13, author = {Haopeng Liu and Weiguang Sheng and Weifeng He and Zhigang Mao}, title = {Delay hidden techniques based on configuration contexts reuse and differential reconfiguration in coarse-grained reconfigurable processor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811824}, doi = {10.1109/ASICON.2013.6811824}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuSHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuSMFZ13, author = {Cong Liu and Weiwei Shen and Tianlong Ma and Yibo Fan and Xiaoyang Zeng}, title = {A highly pipelined {VLSI} architecture for all modes and block sizes intra prediction in {HEVC} encoder}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811849}, doi = {10.1109/ASICON.2013.6811849}, timestamp = {Thu, 27 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuSMFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuWGJZ13, author = {Baoguang Liu and Yuan Wang and Guangliang Guo and Song Jia and Xing Zhang}, title = {A novel dynamic element match technique in current-steering {DAC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811898}, doi = {10.1109/ASICON.2013.6811898}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LiuWGJZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuWHYK13, author = {Wenbin Liu and Jinhui Wang and Ligang Hou and Hongyan Yang and Jianbo Kang}, title = {Design and test of an {SRAM} chip}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812023}, doi = {10.1109/ASICON.2013.6812023}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuWHYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuZHX13, author = {Fan Liu and Junfeng Zhu and Xiaozong Huang and Xun Xiang}, title = {A novel inverse quantization algorithm based on Taylor series for digital audio codecs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811981}, doi = {10.1109/ASICON.2013.6811981}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuZHX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuZJWQ13, author = {Sujuan Liu and Meihui Zhang and Wenshu Jiang and Junshan Wang and Peipei Qi}, title = {Theory and hardware implementation of an analog-to-Information Converter based on Compressive Sensing}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812033}, doi = {10.1109/ASICON.2013.6812033}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LiuZJWQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Low13, author = {Tony Low}, title = {Graphene electronics and photonics (Invited)}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811946}, doi = {10.1109/ASICON.2013.6811946}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Low13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuCCSHTC13, author = {Jingwei Lu and Pengwen Chen and Chin{-}Chih Chang and Lu Sha and Dennis Jen{-}Hsin Huang and Chin{-}Chi Teng and Chung{-}Kuan Cheng}, title = {{FFTPL:} An analytic placement algorithm using fast fourier transform for density equalization}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811936}, doi = {10.1109/ASICON.2013.6811936}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LuCCSHTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuSZR13, author = {Wenqing Lu and Gerald E. Sobelman and Xiaofang Zhou and Junyan Ren}, title = {{FFT} design for OFDM-based cognitive radio using a reconfigurable baseband processing architecture}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811971}, doi = {10.1109/ASICON.2013.6811971}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LuSZR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuTYHLDWL13, author = {D. Y. Lu and X. A. Tran and H. Y. Yu and D. M. Huang and Yung{-}Yang Lin and S. J. Ding and P. F. Wang and Ming{-}Fu Li}, title = {Conduction mechanism of self-rectifying n\({}^{\mbox{+}}\)Si-HfO2-Ni {RRAM}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811967}, doi = {10.1109/ASICON.2013.6811967}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/LuTYHLDWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuWCJZZ13, author = {Guangyi Lu and Yuan Wang and Jian Cao and Song Jia and Ganggang Zhang and Xing Zhang}, title = {Novel gate-voltage-bias techniques for gate-coupled {MOS} {(GCMOS)} {ESD} protection circuits}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811960}, doi = {10.1109/ASICON.2013.6811960}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuWCJZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LuWXM13, author = {Jieliang Lu and Qin Wang and Jing Xie and Zhigang Mao}, title = {TSVs-aware floorplanning for 3D integrated circuit}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812068}, doi = {10.1109/ASICON.2013.6812068}, timestamp = {Fri, 26 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LuWXM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LvA13, author = {Jian Lv and Simon S. Ang}, title = {Design philosophy of hysteretic controller for {DC-DC} switching converters}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811963}, doi = {10.1109/ASICON.2013.6811963}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LvA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MaCL13, author = {Weijia Ma and Xiaole Cui and Chung Len Lee}, title = {Enhanced error correction against multiple-bit-upset based on {BCH} code for {SRAM}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812015}, doi = {10.1109/ASICON.2013.6812015}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/MaCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MaLFZ13, author = {Tianlong Ma and Cong Liu and Yibo Fan and Xiaoyang Zeng}, title = {A fast 8{\texttimes}8 {IDCT} algorithm for {HEVC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811848}, doi = {10.1109/ASICON.2013.6811848}, timestamp = {Thu, 27 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MaLFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MaWZF13, author = {Yanzhao Ma and Shaoxi Wang and Shengbing Zhang and Xiaoya Fan}, title = {An automatic peak-valley current mode step-up/step-down {DC-DC} converter with smooth transition}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812054}, doi = {10.1109/ASICON.2013.6812054}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MaWZF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MahalingamWMMY13, author = {Nagarajan Mahalingam and Yisheng Wang and Kaixue Ma and Shouxian Mou and Kiat Seng Yeo}, title = {A 24 GHz reconfigurable frequency synthesizer for 60 GHz {WPAN}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811880}, doi = {10.1109/ASICON.2013.6811880}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MahalingamWMMY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MatsunoTYKTS13, author = {Shota Matsuno and Masashi Tawada and Masao Yanagisawa and Shinji Kimura and Nozomu Togawa and Tadahiko Sugibayashi}, title = {Energy evaluation for two-level on-chip cache with non-volatile memory on mobile processors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811826}, doi = {10.1109/ASICON.2013.6811826}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MatsunoTYKTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MeiXCYR13, author = {Jian Mei and Jixuan Xiang and Huabin Chen and Fan Ye and Junyan Ren}, title = {A 4-mW8-b 600-MS/s 2-b-per-cycle {SAR} {ADC} with a capacitive {DAC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812048}, doi = {10.1109/ASICON.2013.6812048}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MeiXCYR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MengGC13, author = {Fan Meng and Rui Guan and Dongpo Chen}, title = {Dual control mode {AGC} for wireless communication system}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811894}, doi = {10.1109/ASICON.2013.6811894}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MengGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/MiyakeMU13, author = {Masataka Miyake and Kai Matsuura and Akifumi Ueno}, title = {Compact modeling of the diode reverse recovery effect for leading developments of power electronic applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811933}, doi = {10.1109/ASICON.2013.6811933}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/MiyakeMU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NanWQ13, author = {Peng{-}Fei Nan and Xu Wang and Xin{-}Ping Qu}, title = {Ag dendrite formed on the Cu pyramids as {SERS} substrate}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811944}, doi = {10.1109/ASICON.2013.6811944}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NanWQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PanGC13, author = {Xingpeng Pan and Rui Guan and Dongpo Chen}, title = {A {CMOS} {PGA} with {DCOC} and {I/Q} mismatch calibration}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811902}, doi = {10.1109/ASICON.2013.6811902}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PanGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PanHWY13, author = {Quan Pan and Zhengxiong Hou and Yipeng Wang and C. Patrick Yue}, title = {A 65-nm {CMOS} P-well/Deep N-well avalanche photodetector for integrated 850-nm optical}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811921}, doi = {10.1109/ASICON.2013.6811921}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PanHWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PengWHZW13, author = {Yi Peng and Bo Wang and Tongning Hu and Jinhai Zhang and Xin'an Wang}, title = {A 2.4 mW, 11.7{\(\pm\)}0.4dB, 3 to 5 GHz wide-band {LNA} for super-regenerative {IR-UWB} receiver}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812034}, doi = {10.1109/ASICON.2013.6812034}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/PengWHZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PiZZWZZZ13, author = {Zhaoyang Pi and Lun Zhu and Jingwei Zhang and Dongping Wu and David Wei Zhang and Zhi{-}Bin Zhang and Shi{-}Li Zhang}, title = {Ultra-low frequency P(VDF-TrFE) piezoelectric energy harvester on flexible substrate}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811943}, doi = {10.1109/ASICON.2013.6811943}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/PiZZWZZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QianCWZL13, author = {Zhengyu Qian and Xiaole Cui and Bo Wang and Xiangrong Zhang and Chung Len Lee}, title = {A folded current-reused {CMOS} power amplifier for low-voltage 3.0-5.0 GHz {UWB} applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812036}, doi = {10.1109/ASICON.2013.6812036}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/QianCWZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QianZZW13, author = {Liuxi Qian and Dian Zhou and Xuan Zeng and Shengguo Wang}, title = {Oscillator phase noise verification accounting for process variations}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811914}, doi = {10.1109/ASICON.2013.6811914}, timestamp = {Thu, 20 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/QianZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QianZZYW13, author = {Liuxi Qian and Dian Zhou and Xuan Zeng and Fan Yang and Shengguo Wang}, title = {A parallel sparse linear system solver for large-scale circuit simulation based on Schur Complement}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812062}, doi = {10.1109/ASICON.2013.6812062}, timestamp = {Wed, 19 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/QianZZYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QiangZMLWF13, author = {Wenhua Qiang and Qi Zhang and Wei Miao and Guohong Li and Hui Wang and Songlin Feng}, title = {A power-constrained contrast enhancement algorithm for {AMOLED} display using histogram segmentation}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812072}, doi = {10.1109/ASICON.2013.6812072}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/QiangZMLWF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QueDLJ13, author = {Longcheng Que and Yiying Du and Jian Lv and Yadong Jiang}, title = {Background calibration techniques for multistage pipelined ADCs with dynamic element matching and pseudorandom noise}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812029}, doi = {10.1109/ASICON.2013.6812029}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/QueDLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/RaoWWW13, author = {Xiantuo Rao and Teng Wang and Xin'an Wang and Yinhui Wang}, title = {A low-power and high-efficiency cache design for embedded bus-based symmetric multiprocessors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811823}, doi = {10.1109/ASICON.2013.6811823}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/RaoWWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Sato13, author = {Takashi Sato}, title = {Statistical simulation methods for circuit performance analysis}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811844}, doi = {10.1109/ASICON.2013.6811844}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Sato13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Schwierz13, author = {Frank Schwierz}, title = {Transition metal dichalcogenides - {A} new material class for semiconductor electronics?}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811950}, doi = {10.1109/ASICON.2013.6811950}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Schwierz13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SeoZM13, author = {Jung{-}Hun Seo and Weidong Zhou and Zhenqiang Ma}, title = {Toward microwave integrated circuits on flexible substrates (invited)}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811947}, doi = {10.1109/ASICON.2013.6811947}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/SeoZM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShaoWZ13, author = {Jiajia Shao and Liji Wu and Xiangmin Zhang}, title = {Design and implementation of {RSA} for dual interface bank {IC} card}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811995}, doi = {10.1109/ASICON.2013.6811995}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShaoWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShenZWSTWDZ13, author = {Y. L. Shen and P. Zhou and L. H. Wang and Q. Q. Sun and Q. Q. Tao and P. F. Wang and S. J. Ding and D. W. Zhang}, title = {The annealing effect of chemical vapor deposited graphene}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812069}, doi = {10.1109/ASICON.2013.6812069}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShenZWSTWDZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShiCT13, author = {Weiwei Shi and Oliver Chiu{-}sing Choy and Robert K. F. Teng}, title = {Key component designs of subthreshold baseband processors in passive {RF} device}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811908}, doi = {10.1109/ASICON.2013.6811908}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShiCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShiLHCZ13, author = {Weijing Shi and Yi Li and Jun Han and Xu Cheng and Xiaoyang Zeng}, title = {An extensible and real-time compressive sensing reconstruction hardware for WBANs using {OMP}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811911}, doi = {10.1109/ASICON.2013.6811911}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShiLHCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShiWWC13, author = {Zitao Shi and Xin Wang and Albert Z. Wang and Yuhua Cheng}, title = {A 5kV ESD-protected 2.4GHz {PA} in 180nm {RFCMOS} optimized by {ESD-PA} co-design technique}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811874}, doi = {10.1109/ASICON.2013.6811874}, timestamp = {Sat, 31 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShiWWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SuL13, author = {Jianing Su and Zhenghao Lu}, title = {Reduced complexity implementation of quasi-cyclic {LDPC} decoders by parity-check matrix reordering}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811974}, doi = {10.1109/ASICON.2013.6811974}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SuL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunLM13, author = {Lu Sun and Yuxiao Lu and Tingting Mo}, title = {A 300MHz 10b time-interleaved pipelined-SAR {ADC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811965}, doi = {10.1109/ASICON.2013.6811965}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunSWXL13, author = {Daying Sun and Weifeng Sun and Qing Wang and Shen Xu and Shengli Lu}, title = {A novel digital controller for boost {PFC} converter with high power factor and fast dynamic response}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812040}, doi = {10.1109/ASICON.2013.6812040}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunSWXL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunWY13, author = {Fei Sun and Pengjun Wang and Haizhen Yu}, title = {Best polarity searching for ternary {FPRM} logic circuit area based on whole annealing genetic algorithm}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812057}, doi = {10.1109/ASICON.2013.6812057}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SunXHMWH13, author = {Zheng Sun and Yong Xu and Chen Hu and Guangyan Ma and Yuanliang Wu and Ying Huang}, title = {Design of novel high speed dual-modulus prescaler based on new optimized structure}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811890}, doi = {10.1109/ASICON.2013.6811890}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SunXHMWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SuzukiY13, author = {Goro Suzuki and Ryo Yamanaka}, title = {Interconnect waveform calculation method with parameter variation}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811926}, doi = {10.1109/ASICON.2013.6811926}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SuzukiY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TaiJLWCC13, author = {Wei Tai and Lele Jiang and Wang Lei and Song Wen and Lifu Chang and Yuhua Cheng}, title = {Characteristics of n-MOSFETs with stress effects from neighborhood devices}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812059}, doi = {10.1109/ASICON.2013.6812059}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TaiJLWCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TangPLWW13, author = {He Tang and Yong Peng and Xiang Lu and Hai Wang and Albert Z. Wang}, title = {Quantitative analysis for high speed interpolated/averaging {ADC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811870}, doi = {10.1109/ASICON.2013.6811870}, timestamp = {Fri, 23 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/TangPLWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TangXM13, author = {Zheng Tang and Jing Xie and Zhigang Mao}, title = {A novel architecture scheme with adaptive pipeline coupling technique for {DSP} processor design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812003}, doi = {10.1109/ASICON.2013.6812003}, timestamp = {Sat, 08 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/TangXM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Wang13, author = {Leiou Wang}, title = {A new fast median filtering algorithm based on {FPGA}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812071}, doi = {10.1109/ASICON.2013.6812071}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Wang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangCWWT13, author = {Shengye Wang and Wei Cao and Lingli Wang and Na Wang and Ping Tao}, title = {A novel structure of dynamic configurable scan chain bypassing unconcerned segments on the fly}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812016}, doi = {10.1109/ASICON.2013.6812016}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangCWWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangDWZMZ13, author = {Zhuo Wang and Yuan Dong and Xia Wang and Zekun Zhou and Xin Ming and Bo Zhang}, title = {A high-efficiency high-power {BUCK} converter based on fully N-type power transistors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812031}, doi = {10.1109/ASICON.2013.6812031}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangDWZMZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangGWZH13, author = {Qi Wang and Yingke Gao and Donghui Wang and Tiejun Zhang and Chaohuan Hou}, title = {Design and implementation of a dynamic loop buffer by reusing the instruction buffer}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811979}, doi = {10.1109/ASICON.2013.6811979}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangGWZH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangHLZY13, author = {Nan Wang and Cong Hao and Nan Liu and Haoran Zhang and Takeshi Yoshimura}, title = {Timing and resource constrained leakage power aware scheduling in high-level synthesis}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811939}, doi = {10.1109/ASICON.2013.6811939}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/WangHLZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangHY13, author = {Xinsheng Wang and Mingyang Hu and Mingyan Yu}, title = {Robust current-mode on-chip interconnect signaling scheme in deep submicron}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811910}, doi = {10.1109/ASICON.2013.6811910}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangJ13, author = {Jiangpeng Wang and Jinguang Jiang}, title = {Ultra-low noise and high {PSR} {LDO} design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812044}, doi = {10.1109/ASICON.2013.6812044}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangLCZH13, author = {Qi Wang and Quanquan Li and Shi Chen and Tiejun Zhang and Chaohuan Hou}, title = {An optimized hardware architecture for intra prediction in {H.264} decoder}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811847}, doi = {10.1109/ASICON.2013.6811847}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangLCZH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangLYZR13, author = {Yongsheng Wang and Fang Li and Hualing Yang and Yonglai Zhang and Yanhui Ren}, title = {3D hybrid modeling of substrate coupling noise in lightly doped mixed-signal ICs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811905}, doi = {10.1109/ASICON.2013.6811905}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangLYZR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangWLF13, author = {Hanyu Wang and Jinxiang Wang and Yu Lu and Fangfa Fu}, title = {An efficient low-cost fixed-point digital down converter with modified filter bank}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811864}, doi = {10.1109/ASICON.2013.6811864}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangWLF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangYCZZ13, author = {BaoCun Wang and Guoyi Yu and Xiaofei Chen and Li Zhang and Xavier Zou}, title = {Analysis inductively coupling wireless connection in 3D package}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811861}, doi = {10.1109/ASICON.2013.6811861}, timestamp = {Mon, 16 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangYCZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangYR13, author = {Yuwen Wang and Fan Ye and Junyan Ren}, title = {A {DLL} based low-phase-noise clock multiplier with offset-tolerant {PFD}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812037}, doi = {10.1109/ASICON.2013.6812037}, timestamp = {Mon, 27 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/WangYR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangZCFZ13, author = {Biao Wang and Meng Zhang and Xu Cheng and Qi Feng and Xiaoyang Zeng}, title = {A 1.8-V 14-bit inverter-based incremental {\(\Sigma\)}{\(\Delta\)} {ADC} for {CMOS} image sensor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812046}, doi = {10.1109/ASICON.2013.6812046}, timestamp = {Wed, 17 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/WangZCFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangZN13, author = {Bo Wang and Jinhai Zhang and Edouard Ngoya}, title = {A reference spur estimation method for integer-N PLLs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811884}, doi = {10.1109/ASICON.2013.6811884}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangZNSYB13, author = {Xiang Wang and Su Zhang and Wei Ni and Yukun Song and Yanhui Yang and Jichun Bu}, title = {Design of a hybrid reconfigurable coprocessor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811980}, doi = {10.1109/ASICON.2013.6811980}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangZNSYB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangZYYZ13, author = {Zongyan Wang and Dexue Zhang and Xueqiu Yu and Zhiyi Yu and Xiaoyang Zeng}, title = {A fast multi-core virtual platform and its application on software development}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811991}, doi = {10.1109/ASICON.2013.6811991}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangZYYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WongFW13, author = {Richard Wong and Rita Fung and Shi{-}Jie Wen}, title = {Networking industry trends in {ESD} protection for high speed IOs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811955}, doi = {10.1109/ASICON.2013.6811955}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WongFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WongS13, author = {Thomas Wong and Tao Shen}, title = {Network functions for characterization of elementary semiconductor nanostructures}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811941}, doi = {10.1109/ASICON.2013.6811941}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WongS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuCHUZZ13, author = {Di Wu and Yun Chen and Yuebin Huang and Yeong{-}Luh Ueng and Li{-}Rong Zheng and Xiaoyang Zeng}, title = {A high-throughput {LDPC} decoder for optical communication}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811973}, doi = {10.1109/ASICON.2013.6811973}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuCHUZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuCZWWY13, author = {Chenlu Wu and Wei Cao and Xuegong Zhou and Lingli Wang and Fang Wang and Baodi Yuan}, title = {A reconfigurable floating-point {FFT} architecture}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811996}, doi = {10.1109/ASICON.2013.6811996}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuCZWWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuHLC13, author = {Shili Wu and Xiaowei He and Yuwei Liu and Guoan Chen}, title = {Polarity dependent of gate oxide breakdown from measurements}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812018}, doi = {10.1109/ASICON.2013.6812018}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuLZMW13, author = {Jianping Wu and Ming Ling and Yang Zhang and Chen Mei and Huan Wang}, title = {A novel energy-oriented reconfigurable on-chip unified memory architecture based on Cache Behavior Phase Graph}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812000}, doi = {10.1109/ASICON.2013.6812000}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuLZMW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuWHYZZW13, author = {Linghan Wu and Ziqiang Wang and Ke Huang and Shuai Yuan and Xuqiang Zheng and Chun Zhang and Zhihua Wang}, title = {A 10Gb/s analog equalizer in 0.18um {CMOS}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811888}, doi = {10.1109/ASICON.2013.6811888}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuWHYZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XiangDHR0WZ13, author = {Ping Xiang and Zhihao Ding and Guangxi Hu and Hui Chol Ri and Ran Liu and Lingli Wang and Xing Zhou}, title = {Analytic models for electric potential and subthreshold swing of the dual-material double-gate {MOSFET}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812065}, doi = {10.1109/ASICON.2013.6812065}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XiangDHR0WZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XiangMCY13, author = {Jixuan Xiang and Jian Mei and Hao Chang and Fan Ye}, title = {A 7.9-fJ/conversion-step 8-b 400-MS/s 2-b-per-cycle {SAR} {ADC} with {A} preset capacitive {DAC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812049}, doi = {10.1109/ASICON.2013.6812049}, timestamp = {Tue, 28 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/XiangMCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XiaoCWJ0R13, author = {Yuzhong Xiao and Chixiao Chen and Rui Wei and Fan Jiang and Jun Xu and Junyan Ren}, title = {A 80-dB DR, 10-MHz {BW} continuous-time sigma-delta modulator with low power comparators and switch drivers}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811892}, doi = {10.1109/ASICON.2013.6811892}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XiaoCWJ0R13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XieWLLY13, author = {Zheng Xie and Xin'an Wang and Zhibin Lian and Qiuping Li and Shanshan Yong}, title = {A universal framework of dual-use model for both performance and functionality based on the abstract state machine}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812056}, doi = {10.1109/ASICON.2013.6812056}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XieWLLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuFXXNLQ13, author = {Jingbo Xu and Feng Hui and Wen{-}Zhong Xu and Xu Wang and Peng{-}Fei Nan and Yu{-}Ling Liu and Xin{-}Ping Qu}, title = {Barrier and low k polish with a novel alkaline barrier slurry combining with {FA/O} chelating agent}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812070}, doi = {10.1109/ASICON.2013.6812070}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuFXXNLQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuHHH13, author = {Yusen Xu and Wei Hu and Fengying Huang and Jiwei Huang}, title = {Design of a novel all-CMOS low power voltage reference circuit}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812028}, doi = {10.1109/ASICON.2013.6812028}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuHHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuJCWD13, author = {Heqing Xu and Song Jia and Jiyu Chen and Yuan Wang and Gang Du}, title = {A current mode sense amplifier with self-compensation circuit for {SRAM} application}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812020}, doi = {10.1109/ASICON.2013.6812020}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/XuJCWD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuL13, author = {Hanyang Xu and Jinmei Lai}, title = {A high throughput {FPGA} embedded {DSP} architecture design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811825}, doi = {10.1109/ASICON.2013.6811825}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuLGZT13, author = {Yuan Xu and Jinsong Liu and Liwei Gong and Zhi Zhang and Robert K. F. Teng}, title = {A high performance {VLSI} architecture for integer motion estimation in {HEVC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811845}, doi = {10.1109/ASICON.2013.6811845}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuLGZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuYGZT13, author = {Yuan Xu and Haodong Yao and Liwei Gong and Mingcheng Zhu and Robert K. F. Teng}, title = {A {FPGA} real-time stereo vision system with luminance control and projected pattern}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811983}, doi = {10.1109/ASICON.2013.6811983}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuYGZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuZHSWL13, author = {Yong Xu and Fei Zhao and Chen Hu and Zheng Sun and Yuanliang Wu and Jianwen Lu}, title = {Design of frequency synthesizer in frequency-hopping transceiver}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811882}, doi = {10.1109/ASICON.2013.6811882}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuZHSWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuZHZ13, author = {Gaowei Xu and Yao Zou and Jun Han and Xiaoyang Zeng}, title = {Low power design for {FIR} filter}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811978}, doi = {10.1109/ASICON.2013.6811978}, timestamp = {Mon, 27 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuZHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuZTM13, author = {Hantian Xu and Longxiang Zhang and Xi Tan and Hao Min}, title = {An integrated stacked transformer with large inductance at 900MHz}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812043}, doi = {10.1109/ASICON.2013.6812043}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuZTM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XueYGZWH13, author = {Zhiyuan Xue and Huan Ying and Yingke Gao and Tiejun Zhang and Donghui Wang and Chaohuan Hou}, title = {A design of configurable image enhancement unit}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811999}, doi = {10.1109/ASICON.2013.6811999}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XueYGZWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YanFW13, author = {Xiao Yan and Lingzhi Fu and Junyu Wang}, title = {An 8-bit 100KS/s low power successive approximation register {ADC} for biomedical applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811873}, doi = {10.1109/ASICON.2013.6811873}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YanFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangCWL13, author = {Xuan Yang and Xiaole Cui and Chao Wang and Chung Len Lee}, title = {A test pattern selection method for dynamic burn-in of logic circuits based on {ATPG} technique}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811958}, doi = {10.1109/ASICON.2013.6811958}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/YangCWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangCXJYZ13, author = {Haofan Yang and Kedong Chen and Shengqiong Xie and Ming{-}e Jing and Zhiyi Yu and Xiaoyang Zeng}, title = {Efficient implementation of 3780-point {FFT} on a 16-core processor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811851}, doi = {10.1109/ASICON.2013.6811851}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangCXJYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangHQ13, author = {Qi Yang and Xiaoting Hu and Zhongping Qin}, title = {Secure systolic architecture for montgomery modular multiplication algorithm}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812007}, doi = {10.1109/ASICON.2013.6812007}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangHQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangMXHZWL13, author = {Jianguo Yang and Ying Meng and Xiaoyong Xue and Ryan Huang and Q. T. Zhou and J. G. Wu and Yinyin Lin}, title = {A 2Mb ReRAM with two bits error correction codes circuit for high reliability application}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811970}, doi = {10.1109/ASICON.2013.6811970}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangMXHZWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YaoZCC13, author = {Yiwu Yao and Kailiang Zhang and Hongming Chen and Yuhua Cheng}, title = {The decimator with multiplier-free realizations for high precision {ADC} applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812001}, doi = {10.1109/ASICON.2013.6812001}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/YaoZCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Ye13, author = {Zuochang Ye}, title = {Pmm: {A} Matlab toolbox for passive macromodeling in RF/mm-wave circuit design}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811857}, doi = {10.1109/ASICON.2013.6811857}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Ye13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YinWZZZ13, author = {Ningyuan Yin and Liji Wu and Tengfei Zhai and Xiangmin Zhang and Rui Zhu}, title = {A novel {ESD} device for Whole-Chip {ESD} protection network of {TPMS} mixed signal SoC}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812019}, doi = {10.1109/ASICON.2013.6812019}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YinWZZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YongWCLX13, author = {Shanshan Yong and Xin'an Wang and Ying Cao and Yawei Lu and Zheng Xie}, title = {An integrated development environment for reconfigurable operators array}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812061}, doi = {10.1109/ASICON.2013.6812061}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YongWCLX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Yu13, author = {Wenjian Yu}, title = {RWCap2: Advanced floating random walk solver for the capacitance extraction of {VLSI} interconnects}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811859}, doi = {10.1109/ASICON.2013.6811859}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Yu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuGFW13, author = {Shuang Yu and Fen Ge and Gui Feng and Ning Wu}, title = {A two-phase floorplanning approach for Application-specific Network-on-Chip}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811835}, doi = {10.1109/ASICON.2013.6811835}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YuGFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuLM13, author = {Xiaoxue Yu and Hong Liu and Hao Min}, title = {Frame synchronization for a narrow-band power line {OFDM} communication system}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811986}, doi = {10.1109/ASICON.2013.6811986}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YuLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuYZ13, author = {Wenjian Yu and Siyu Yang and Qingqing Zhang}, title = {Analytical model of the coupling capacitance between cylindrical through silicon via and horizontal interconnect in 3D {IC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812067}, doi = {10.1109/ASICON.2013.6812067}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YuYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuanMG13, author = {Hengzhou Yuan and Zhuo Ma and Yang Guo}, title = {An adaptive multi-modulus frequency divider}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811984}, doi = {10.1109/ASICON.2013.6811984}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YuanMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YuanWZHWW13, author = {Shuai Yuan and Ziqiang Wang and Xuqiang Zheng and Ke Huang and Liji Wu and Zhihua Wang}, title = {A 10-Gb/s simplified transceiver with a quarter-rate 4-tap decision feedback equalizer in 0.18-{\(\mu\)}m {CMOS} technology}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811887}, doi = {10.1109/ASICON.2013.6811887}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YuanWZHWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YueguoHaoZXSMC13, author = {Yueguo Hao and Qiao Zhang and Xiaopeng Bai and Zitao Shi and Huainan Ma and Yuhua Cheng}, title = {Co-design of {ESD} protection and {LNA} in {RFIC}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812038}, doi = {10.1109/ASICON.2013.6812038}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YueguoHaoZXSMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangCW13, author = {Yang Zhang and Quan Chen and Ngai Wong}, title = {Fast transistor-level circuit simulation and variational analysis via the ultra-compact virtual source model}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811856}, doi = {10.1109/ASICON.2013.6811856}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangHWCY13, author = {Haoran Zhang and Cong Hao and Nan Wang and Song Chen and Takeshi Yoshimura}, title = {Power and resource aware scheduling with multiple voltages}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811931}, doi = {10.1109/ASICON.2013.6811931}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangHWCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangSWZ13, author = {Chun{-}Min Zhang and Qing{-}Qing Sun and Peng{-}Fei Wang and David Wei Zhang}, title = {{PEALD} Ru/RuOx films for {ULSI} applications and its transition control between metal and metal oxide}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811922}, doi = {10.1109/ASICON.2013.6811922}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangSWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangTZSZ13, author = {Kaidi Zhang and Guowei Tao and Xiangyu Zeng and Wenjie Sheng and Jia Zhou}, title = {Compact and portable chemiluminescence detector for glucose}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811925}, doi = {10.1109/ASICON.2013.6811925}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangTZSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWCLBL13, author = {Xinrui Zhang and Jian Wang and Dan Chen and Jinmei Lai and Lichun Bao and Xueling Liu}, title = {The timing control design of 65nm block {RAM} in {FPGA}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811932}, doi = {10.1109/ASICON.2013.6811932}, timestamp = {Thu, 17 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWCLBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWPHW13, author = {Jinhai Zhang and Bo Wang and Yi Peng and Tongning Hu and Xin'an Wang}, title = {A 800nW high-accuracy {RC} oscillator with resistor calibration for {RFID}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811876}, doi = {10.1109/ASICON.2013.6811876}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWPHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWW13, author = {Ran Zhang and Xue Wei and Takahiro Watanabe}, title = {A sorting-based {IO} connection assignment for flip-chip designs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811927}, doi = {10.1109/ASICON.2013.6811927}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangWZ13, author = {Xuelong Zhang and Pengjun Wang and Yuejun Zhang}, title = {Highly stable data {SRAM-PUF} in 65nm {CMOS} process}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811994}, doi = {10.1109/ASICON.2013.6811994}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangXDM13, author = {Longxiang Zhang and Hantian Xu and Yingbo Dai and Hao Min}, title = {An {NFC} system with high sensitivity based on {SDR}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812011}, doi = {10.1109/ASICON.2013.6812011}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangXDM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangXMWB13, author = {Jixin Zhang and Ning Xu and Yuchun Ma and Yu Wang and Jinian Bian}, title = {Data dependency aware prefetch scheduling for Dynamic Partial reconfigurable designs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811919}, doi = {10.1109/ASICON.2013.6811919}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangXMWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangYYZ13, author = {Qing Zhang and Xueqiu Yu and Zhiyi Yu and Xiaoyang Zeng}, title = {A turbo decoder implementation for {LTE} downlink mapped on a multi-core processor platform}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811992}, doi = {10.1109/ASICON.2013.6811992}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhangYYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangZWWC13, author = {Dexue Zhang and Xiaoyang Zeng and Zongyan Wang and Weike Wang and Xinhua Chen}, title = {MCVP-NoC: Many-Core Virtual Platform with Networks-on-Chip support}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811836}, doi = {10.1109/ASICON.2013.6811836}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhangZWWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoLH13, author = {Yang Zhao and Bill Yang Liu and Zhiliang Hong}, title = {Design of a time-interleaved band-pass {\(\Sigma\)}{\(\Delta\)} modulator for Class-S power amplifier}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811868}, doi = {10.1109/ASICON.2013.6811868}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoLSZ013, author = {Wenzhe Zhao and Minjie Lv and Hongbin Sun and Nanning Zheng and Tong Zhang}, title = {{VLSI} design of fuzzy-decision bit-flipping {QC-LDPC} decoder}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811972}, doi = {10.1109/ASICON.2013.6811972}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoLSZ013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoYCZ13, author = {Jinming Zhao and Hailong Yao and Yici Cai and Qiang Zhou}, title = {A new splitting graph construction algorithm for {SIAR} router}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811918}, doi = {10.1109/ASICON.2013.6811918}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoYCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoYSW13, author = {Huatao Zhao and Jiongyao Ye and Yuxin Sun and Takahiro Watanabe}, title = {Pseudo Dual Path Processing to reduce the branch misprediction penalty in embedded processors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811990}, doi = {10.1109/ASICON.2013.6811990}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoYSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhaoZL13, author = {Yan Zhao and Xiaofang Zhou and Chao Lu}, title = {A new channel emulator for low voltage broadband power line communication}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811832}, doi = {10.1109/ASICON.2013.6811832}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhaoZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhongCGY13, author = {Wei Zhong and Song Chen and Yang Geng and Takeshi Yoshimura}, title = {Lagrangian relaxation based pin assignment and Through-Silicon Via planning for 3-D SoCs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811934}, doi = {10.1109/ASICON.2013.6811934}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhongCGY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhongYZ13, author = {Shengyou Zhong and Libin Yao and Jiqing Zhang}, title = {A small-area low-power {ADC} array for image sensor applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812052}, doi = {10.1109/ASICON.2013.6812052}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhongYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhongZX13, author = {Geng Zhong and Jian Zhou and Bei Xia}, title = {Parameter and UVM, making a layered testbench powerful}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811929}, doi = {10.1109/ASICON.2013.6811929}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhongZX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouH13, author = {Dian Zhou and Guanming Huang}, title = {Design automation of analog circuit considering the process variations}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811900}, doi = {10.1109/ASICON.2013.6811900}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouRH13, author = {Yuanzhong (Paul) Zhou and Alan W. Righter and Jean{-}Jacques Hajjar}, title = {Investigation on effectiveness of series gate resistor in {CDM} {ESD} protection designs}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811976}, doi = {10.1109/ASICON.2013.6811976}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouRH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouXSWHMZ13, author = {Ze{-}kun Zhou and Haiwu Xie and Yue Shi and Chuankui Wu and Jiangang Huang and Xin Ming and Bo Zhang}, title = {A high-performance current sensing circuit with full-phase sampling capability}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812050}, doi = {10.1109/ASICON.2013.6812050}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhouXSWHMZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhouYLYZ13, author = {Wei Zhou and Jianming Yu and Jie Lin and Zhiyi Yu and Xiaoyang Zeng}, title = {A 2D mesh NoC with self-configurable and shared-FIFOs routers}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811837}, doi = {10.1109/ASICON.2013.6811837}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhouYLYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuWZXS13, author = {Yong{-}xu Zhu and Bin Wu and Yumei Zhou and Kaifeng Xia and Lu Sun}, title = {A configurable distributed systolic array for {QR} decomposition in {MIMO-OFDM} systems}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812012}, doi = {10.1109/ASICON.2013.6812012}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhuWZXS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuYCYZ13, author = {Shikai Zhu and Zheng Yu and Shile Cui and Zhiyi Yu and Xiaoyang Zeng}, title = {{H.264} video parallel decoder on a 24-core processor}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811993}, doi = {10.1109/ASICON.2013.6811993}, timestamp = {Tue, 06 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhuYCYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuZSL13, author = {Jing Zhu and Yunwu Zhang and Weifeng Sun and Shengli Lu}, title = {A novel Operational Transconductance Amplifier with high Gm using improved differential current redistribution technique {(DCRT)}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812053}, doi = {10.1109/ASICON.2013.6812053}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuZSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuangWC13, author = {Hao Zhuang and Shih{-}Hung Weng and Chung{-}Kuan Cheng}, title = {Power grid simulation using matrix exponential method with rational Krylov subspaces}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811937}, doi = {10.1109/ASICON.2013.6811937}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuangWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZouCZ13, author = {Wanghui Zou and Xiaofei Chen and Xuecheng Zou}, title = {An improved analytical series resistance model for on-chip stacked inductors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811923}, doi = {10.1109/ASICON.2013.6811923}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZouCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZouWLYZ13, author = {Zhige Zou and Wuyue Wang and Jianming Lei and Guoyi Yu and Xuecheng Zou}, title = {A {CMOS} low-noise amplifier for {BCC} applications}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812009}, doi = {10.1109/ASICON.2013.6812009}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZouWLYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asicon/2013, title = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6805351/proceeding}, isbn = {978-1-4673-6415-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.