Search dblp for Publications

export results for "toc:db/journals/vlsi/vlsi2008.bht:"

 download as .bib file

@article{DBLP:journals/vlsi/Abdel-Kader08,
  author       = {Rehab F. Abdel{-}Kader},
  title        = {Particle Swarm Optimization for Constrained Instruction Scheduling},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {930610:1--930610:7},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/930610},
  doi          = {10.1155/2008/930610},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/Abdel-Kader08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/AgarwalS08,
  author       = {Alpana Agarwal and
                  Chandra Shekhar},
  title        = {Figure-of-Merit-Based Area-Constrained Design of Differential Amplifiers},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {847932:1--847932:5},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/847932},
  doi          = {10.1155/2008/847932},
  timestamp    = {Wed, 09 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/AgarwalS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/AramendiAL08,
  author       = {Pedro Echeverr{\'{\i}}a Aramendi and
                  Jos{\'{e}} L. Ayala and
                  Marisa L{\'{o}}pez{-}Vallejo},
  title        = {Power Considerations in Banked CAMs: {A} Leakage Reduction Approach},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {674259:1--674259:7},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/674259},
  doi          = {10.1155/2008/674259},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/AramendiAL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/AugustoA08,
  author       = {Jos{\'{e}} A. Soares Augusto and
                  Carlos Beltr{\'{a}}n Almeida},
  title        = {A Tool for Single-Fault Diagnosis in Linear Analog Circuits with Tolerance
                  Using the T-Vector Approach},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {630951:1--630951:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/630951},
  doi          = {10.1155/2008/630951},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/AugustoA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/BegueretT08,
  author       = {Jean{-}Baptiste B{\'{e}}gueret and
                  Thierry Taris},
  title        = {International Conference on Electronics, Circuits, and Systems},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {629076:1},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/629076},
  doi          = {10.1155/2008/629076},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/BegueretT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/CeratoMV08,
  author       = {Barbara Cerato and
                  Guido Masera and
                  Emanuele Viterbo},
  title        = {Enabling {VLSI} Processing Blocks for {MIMO-OFDM} Communications},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {351962:1--351962:10},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/351962},
  doi          = {10.1155/2008/351962},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/CeratoMV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/CharbouillotPF08,
  author       = {Samuel Charbouillot and
                  Annie P{\'{e}}rez and
                  Daniele Fronte},
  title        = {A Programmable Hardware Cellular Automaton: Example of Data Flow Transformation},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {160728:1--160728:7},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/160728},
  doi          = {10.1155/2008/160728},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/CharbouillotPF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/CortesVZIS08,
  author       = {Ainhoa Cort{\'{e}}s and
                  Igone V{\'{e}}lez and
                  Ibon Zalbide and
                  Andoni Irizar and
                  Juan F. Sevillano},
  title        = {An {FFT} Core for {DVB-T/DVB-H} Receivers},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {610420:1--610420:9},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/610420},
  doi          = {10.1155/2008/610420},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/CortesVZIS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/FuA08,
  author       = {Bo Fu and
                  Paul Ampadu},
  title        = {An Energy-Efficient Multiwire Error Control Scheme for Reliable On-Chip
                  Interconnects Using Hamming Product Codes},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {109490:1--109490:14},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/109490},
  doi          = {10.1155/2008/109490},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/FuA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/Hamedi-HaghB08,
  author       = {Sotoudeh Hamedi{-}Hagh and
                  Ahmet Bindal},
  title        = {Design and Characterization of the Next Generation Nanowire Amplifiers},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {190315:1--190315:5},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/190315},
  doi          = {10.1155/2008/190315},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/Hamedi-HaghB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/HassaniJBRDTR08,
  author       = {Mohammed El Hassani and
                  St{\'{e}}phanie Jehan{-}Besson and
                  Luc Brun and
                  Marinette Revenu and
                  Marc Duranton and
                  David Tschumperl{\'{e}} and
                  Delphine Rivasseau},
  title        = {A Time-Consistent Video Segmentation Algorithm Designed for Real-Time
                  Implementation},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {892370:1--892370:12},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/892370},
  doi          = {10.1155/2008/892370},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/HassaniJBRDTR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/Jimenez-PachecoHC08,
  author       = {Alberto Jimenez{-}Pacheco and
                  Angel Fernandez Herrero and
                  Francisco Javier Casaj{\'{u}}s{-}Quir{\'{o}}s},
  title        = {Design and Implementation of a Hardware Module for {MIMO} Decoding
                  in a 4G Wireless Receiver},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {312614:1--312614:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/312614},
  doi          = {10.1155/2008/312614},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/Jimenez-PachecoHC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/JoannonBRTC08,
  author       = {Yves Joannon and
                  Vincent Beroulle and
                  Chantal Robach and
                  Smail Tedjini and
                  Jean{-}Louis Carbon{\'{e}}ro},
  title        = {Choice of a High-Level Fault Model for the Optimization of Validation
                  Test Set Reused for Manufacturing Test},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {596146:1--596146:9},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/596146},
  doi          = {10.1155/2008/596146},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/JoannonBRTC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KaminskaLS08,
  author       = {Bozena Kaminska and
                  Marcelo Lubaszewski and
                  Jos{\'{e}} Machado da Silva},
  title        = {Selected Papers from the International Mixed Signals Testing and GHz/Gbps
                  Test Workshop},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {165673:1--165673:2},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/165673},
  doi          = {10.1155/2008/165673},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/KaminskaLS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KeezerMDVFM08,
  author       = {David C. Keezer and
                  Dany Minier and
                  Patrice Ducharme and
                  Doris Viens and
                  Greg Flynn and
                  John McKillop},
  title        = {{MEMS} Switches and SiGe Logic for Multi-GHz Loopback Testing},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {291686:1--291686:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/291686},
  doi          = {10.1155/2008/291686},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/KeezerMDVFM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KerkhoffZMNLR08,
  author       = {Hans G. Kerkhoff and
                  Xiao Zhang and
                  Fr{\'{e}}d{\'{e}}rick Mailly and
                  Pascal Nouet and
                  Hongyuan Liu and
                  Andrew Richardson},
  title        = {A Dependable Micro-Electronic Peptide Synthesizer Using Electrode
                  Data},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {437879:1--437879:9},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/437879},
  doi          = {10.1155/2008/437879},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/KerkhoffZMNLR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KerzerhoCBARCC08,
  author       = {Vincent Kerzerho and
                  Philippe Cauvet and
                  Serge Bernard and
                  Florence Aza{\"{\i}}s and
                  Michel Renovell and
                  Mariane Comte and
                  Omar Chakib},
  title        = {{ADC} Production Test Technique Using Low-Resolution Arbitrary Waveform
                  Generator},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {482159:1--482159:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/482159},
  doi          = {10.1155/2008/482159},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/KerzerhoCBARCC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KorahP08,
  author       = {Reeba Korah and
                  J. Raja Paul Perinbam},
  title        = {Fully Pipelined Parallel Architecture for Candidate Block and Pixel-Subsampling-Based
                  Motion Estimation},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {890410:1--890410:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/890410},
  doi          = {10.1155/2008/890410},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/KorahP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/LeeAK08,
  author       = {Ler Chun Lee and
                  Abu Khari bin A'Ain and
                  Albert Victor Kordesch},
  title        = {Design of {CMOS} Tunable Image-Rejection Low-Noise Amplifier with
                  Active Inductor},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {479173:1--479173:6},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/479173},
  doi          = {10.1155/2008/479173},
  timestamp    = {Thu, 16 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/LeeAK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/LeeW08,
  author       = {Tzung{-}Je Lee and
                  Chua{-}Chin Wang},
  title        = {A Phase-Locked Loop with 30{\%} Jitter Reduction Using Separate Regulators},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {512946:1--512946:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/512946},
  doi          = {10.1155/2008/512946},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/LeeW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/LinR08,
  author       = {Yu Shen Lin and
                  Damu Radhakrishnan},
  title        = {Delay Efficient 32-Bit Carry-Skip Adder},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {218565:1--218565:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/218565},
  doi          = {10.1155/2008/218565},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/LinR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/LitvinM08,
  author       = {Miguel Eduardo Litvin and
                  Samiha Mourad},
  title        = {Wave Pipelining Using Self Reset Logic},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {738983:1--738983:6},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/738983},
  doi          = {10.1155/2008/738983},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/LitvinM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/NatarajanSSC08,
  author       = {Vishwanath Natarajan and
                  Rajarajan Senguttuvan and
                  Shreyas Sen and
                  Abhijit Chatterjee},
  title        = {Built-in Test Enabled Diagnosis and Tuning of {RF} Transmitter Systems},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {418165:1--418165:10},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/418165},
  doi          = {10.1155/2008/418165},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/NatarajanSSC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/PatriP08,
  author       = {Sreehari Rao Patri and
                  K. S. R. Krishna Prasad},
  title        = {A Robust Low-Voltage On-Chip {LDO} Voltage Regulator in 180 nm},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {259281:1--259281:7},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/259281},
  doi          = {10.1155/2008/259281},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/PatriP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/PeraliasJR08,
  author       = {Eduardo J. Peral{\'{\i}}as and
                  Maria Angeles Jal{\'{o}}n and
                  Adoraci{\'{o}}n Rueda},
  title        = {Simple Evaluation of the Nonlinearity Signature of an {ADC} Using
                  a Spectral Approach},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {657207:1--657207:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/657207},
  doi          = {10.1155/2008/657207},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/PeraliasJR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/RochaMCWS08,
  author       = {Lu{\'{\i}}s Alexandre Rocha and
                  Lukas Mol and
                  Edmond Cretu and
                  Reinoud F. Wolffenbuttel and
                  Jos{\'{e}} Machado da Silva},
  title        = {A Pull-in Based Test Mechanism for Device Diagnostic and Process Characterization},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {283451:1--283451:7},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/283451},
  doi          = {10.1155/2008/283451},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/RochaMCWS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/RoyPM08,
  author       = {Jarrod A. Roy and
                  David A. Papa and
                  Igor L. Markov},
  title        = {Fine Control of Local Whitespace in Placement},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {517919:1--517919:10},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/517919},
  doi          = {10.1155/2008/517919},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/RoyPM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/SalmelaST08,
  author       = {Perttu Salmela and
                  Harri Sorokin and
                  Jarmo Takala},
  title        = {A Programmable Max-Log-MAP Turbo Decoder Implementation},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {319095:1--319095:17},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/319095},
  doi          = {10.1155/2008/319095},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/SalmelaST08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/SeetharamanVL08,
  author       = {Gopalakrishnan Seetharaman and
                  Balasubramanian Venkataramani and
                  Gopalakrishnan Lakshminarayanan},
  title        = {{VLSI} Implementation of Hybrid Wave-Pipelined 2D {DWT} Using Lifting
                  Scheme},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {512746:1--512746:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/512746},
  doi          = {10.1155/2008/512746},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/SeetharamanVL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/SimeuNCMRK08,
  author       = {Emmanuel Simeu and
                  Hoang Nam Nguyen and
                  Philippe Cauvet and
                  Salvador Mir and
                  Libor Rufer and
                  Rafik Khereddine},
  title        = {Using Signal Envelope Detection for Online and Offline {RF} {MEMS}
                  Switch Testing},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {294014:1--294014:10},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/294014},
  doi          = {10.1155/2008/294014},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/SimeuNCMRK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/SzantoSF08,
  author       = {P{\'{e}}ter Sz{\'{a}}nt{\'{o}} and
                  G{\'{a}}bor Szed{\"{o}} and
                  B{\'{e}}la Feh{\'{e}}r},
  title        = {High-Performance Timing-Driven Rank Filter},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {753043:1--753043:6},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/753043},
  doi          = {10.1155/2008/753043},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/SzantoSF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/TekinYL08,
  author       = {Ahmet Tekin and
                  Mehmet R. Yuce and
                  Wentai Liu},
  title        = {Integrated VCOs for Medical Implant Transceivers},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {912536:1--912536:10},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/912536},
  doi          = {10.1155/2008/912536},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/TekinYL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/Voyiatzis08,
  author       = {Ioannis Voyiatzis},
  title        = {A Low-Cost {BIST} Scheme for Test Vector Embedding in Accumulator-Generated
                  Sequences},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {680157:1--680157:8},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/680157},
  doi          = {10.1155/2008/680157},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/Voyiatzis08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/WuJMJ08,
  author       = {Shen Hui Wu and
                  Sridhar Jandhyala and
                  Yashwant K. Malaiya and
                  Anura P. Jayasumana},
  title        = {Antirandom Testing: {A} Distance-Based Approach},
  journal      = {{VLSI} Design},
  volume       = {2008},
  pages        = {165709:1--165709:9},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/165709},
  doi          = {10.1155/2008/165709},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/WuJMJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics