Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/journals/jssc/jssc55.bht:"
@article{DBLP:journals/jssc/AbbottYKQKWGPH20, author = {Jeffrey Abbott and Tianyang Ye and Keith Krenek and Ling Qin and Youbin Kim and Wenxuan Wu and Rona S. Gertner and Hongkun Park and Donhee Ham}, title = {The Design of a {CMOS} Nanoelectrode Array With 4096 Current-Clamp/Voltage-Clamp Amplifiers for Intracellular Recording/Stimulation of Mammalian Neurons}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2567--2582}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005816}, doi = {10.1109/JSSC.2020.3005816}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AbbottYKQKWGPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AbdulslamM20, author = {Abdullah Abdulslam and Patrick P. Mercier}, title = {A Symmetric Modified Multilevel Ladder {PMIC} for Battery-Connected Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {767--780}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2957658}, doi = {10.1109/JSSC.2019.2957658}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AbdulslamM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AdorniSB20, author = {Nicola Adorni and Stefano Stanzione and Andrea Boni}, title = {A 10-mA {LDO} With 16-nA {IQ} and Operating From 800-mV Supply}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {404--413}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948820}, doi = {10.1109/JSSC.2019.2948820}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AdorniSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AhmadMDBMNAB20, author = {Meraj Ahmad and Shahid Malik and Sourya Dewan and Arnesh K. Bose and Dinesh Maddipatla and Binu B. Narakathu and Massood Z. Atashbar and Maryam Shojaei Baghini}, title = {An Auto-Calibrated Resistive Measurement System With Low Noise Instrumentation {ASIC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3036--3050}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3017639}, doi = {10.1109/JSSC.2020.3017639}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AhmadMDBMNAB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AhmedELMRS20, author = {Abdelrahman H. Ahmed and Abdellatif Elmoznine and Daihyun Lim and Yangjin Ma and Alexander V. Rylyakov and Sudip Shekhar}, title = {A Dual-Polarization Silicon-Photonic Coherent Transmitter Supporting 552 Gb/s/wavelength}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2597--2608}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2988399}, doi = {10.1109/JSSC.2020.2988399}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AhmedELMRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AhmedKALWRTD20, author = {Khondker Zakir Ahmed and Harish K. Krishnamurthy and Charles Augustine and Xiaosen Liu and Sheldon Weng and Krishnan Ravichandran and James W. Tschanz and Vivek De}, title = {A Variation-Adaptive Integrated Computational Digital {LDO} in 22-nm {CMOS} With Fast Transient Response}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {977--987}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2961854}, doi = {10.1109/JSSC.2019.2961854}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AhmedKALWRTD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AkitaOKFA20, author = {Ippei Akita and Takayuki Okazawa and Yoshihiko Kurui and Akira Fujimoto and Takashi Asano}, title = {A Feedforward Noise Reduction Technique in Capacitive {MEMS} Accelerometer Analog Front-End for Ultra-Low-Power IoT Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1599--1609}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952837}, doi = {10.1109/JSSC.2019.2952837}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AkitaOKFA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AlShammaryHHB20, author = {Hussam AlShammary and Ahmed Hamza and Cameron Hill and James F. Buckwalter}, title = {A Reconfigurable Spectrum-Compressing Receiver for Non-Contiguous Carrier Aggregation in {CMOS} {SOI}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {261--271}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960201}, doi = {10.1109/JSSC.2019.2960201}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AlShammaryHHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AlShammaryHHB20a, author = {Hussam AlShammary and Cameron Hill and Ahmed Hamza and James F. Buckwalter}, title = {A Code-Domain {RF} Signal Processing Front End With High Self-Interference Rejection and Power Handling for Simultaneous Transmit and Receive}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1199--1211}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960486}, doi = {10.1109/JSSC.2019.2960486}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AlShammaryHHB20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AliDBBDMPP20, author = {Ahmed M. A. Ali and H{\"{u}}seyin Dinc and Paritosh Bhoraskar and Scott Bardsley and Christopher Dillon and Matthew McShea and Joel Prabhakar and Scott Puckett}, title = {A 12-b 18-GS/s {RF} Sampling {ADC} With an Integrated Wideband Track-and-Hold Amplifier and Background Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3210--3224}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3023882}, doi = {10.1109/JSSC.2020.3023882}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AliDBBDMPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AngelovN20, author = {Pavel Angelov and Martin Nielsen{-}L{\"{o}}nn}, title = {A Fully Integrated Multilevel Synchronized-Switch-Harvesting-on-Capacitors Interface for Generic PEHs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2118--2128}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2979178}, doi = {10.1109/JSSC.2020.2979178}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AngelovN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AssemLLHP20, author = {Pourya Assem and Wen{-}Chuen Liu and Yutian Lei and Pavan Kumar Hanumolu and Robert C. N. Pilawa{-}Podgurski}, title = {Hybrid Dickson Switched-Capacitor Converter With Wide Conversion Ratio in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2513--2528}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3004256}, doi = {10.1109/JSSC.2020.3004256}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AssemLLHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AurangozebDMH20, author = {Aurangozeb and Carson R. Dick and Maruf Mohammad and Masum Hossain}, title = {Sequence-Coded Multilevel Signaling for High-Speed Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {27--37}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2941016}, doi = {10.1109/JSSC.2019.2941016}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AurangozebDMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BabamirR20, author = {Seyed Mehrdad Babamir and Behzad Razavi}, title = {A Digital {RF} Transmitter With Background Nonlinearity Correction}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1502--1515}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2968796}, doi = {10.1109/JSSC.2020.2968796}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BabamirR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaertD20, author = {Maarten Baert and Wim Dehaene}, title = {A 5-GS/s 7.2-ENOB Time-Interleaved VCO-Based {ADC} Achieving 30.5 fJ/cs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1577--1587}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959484}, doi = {10.1109/JSSC.2019.2959484}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaertD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BangCMMKTD20, author = {Suyoung Bang and Minki Cho and Pascal Andreas Meinerzhagen and Andres Malavasi and Muhammad M. Khellah and James W. Tschanz and Vivek De}, title = {An All-Digital, {\textdollar}V{\_}\{{\textbackslash}mathrm\{MAX\}\}{\textdollar} -Compliant, Stable, and Scalable Distributed Charge Injection Scheme in 10-nm {CMOS} for Fast and Local Mitigation of Voltage Droop}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1898--1908}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2992892}, doi = {10.1109/JSSC.2020.2992892}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BangCMMKTD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BassatGLNKSBBKD20, author = {Assaf Ben Bassat and Shahar Gross and Aaron Lane and Anna Nazimov and Bassam Khamaisi and Elad Solomon and Elan Banin and Eli Borokhovich and Nahum Kimiagorov and Nati Dinur and Phillip Skliar and Roi Cohen and Rotem Banin and Sarit Zur and Sebastian Reinhold and Smadar Breuer{-}Bruker and Tomer Abuhazira and Tom Livneh and Tzvi Maimon and Uri Parker and Ashoke Ravi and Ofir Degani}, title = {A Fully Integrated 27-dBm Dual-Band All-Digital Polar Transmitter Supporting 160 MHz for Wi-Fi 6 Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3414--3425}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3024973}, doi = {10.1109/JSSC.2020.3024973}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/BassatGLNKSBBKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BillaDP20, author = {Sujith Billa and Suhas Dixit and Shanthi Pavan}, title = {Analysis and Design of an Audio Continuous-Time 1-X {FIR-MASH} Delta-Sigma Modulator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2649--2659}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2992891}, doi = {10.1109/JSSC.2020.2992891}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BillaDP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BlaauwLKCP20, author = {David T. Blaauw and Hoi Lee and John P. Keane and Jaehyouk Choi and Sudhakar Pamarti}, title = {Introduction to the Special Issue on the 2020 {IEEE} International Solid-State Circuits Conference {(ISSCC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3127--3130}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3029347}, doi = {10.1109/JSSC.2020.3029347}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/BlaauwLKCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BoseSJ20, author = {Soumya Bose and Boyu Shen and Matthew L. Johnston}, title = {A Batteryless Motion-Adaptive Heartbeat Detection System-on-Chip Powered by Human Body Heat}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2902--2913}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3013789}, doi = {10.1109/JSSC.2020.3013789}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BoseSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BreemsRC20, author = {Lucien J. Breems and Patrick Reynaert and Sylvain Clerc}, title = {Guest Editorial Special Section on the 45th {IEEE} European Solid-State Circuits Conference {(ESSCIRC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1747--1748}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2994427}, doi = {10.1109/JSSC.2020.2994427}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BreemsRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CaoCR20, author = {Ningyuan Cao and Muya Chang and Arijit Raychowdhury}, title = {A 65-nm 8-to-3-b 1.0-0.36-V 9.1-1.1-TOPS/W Hybrid-Digital-Mixed-Signal Computing Platform for Accelerating Swarm Robotics}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {49--59}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2935533}, doi = {10.1109/JSSC.2019.2935533}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CaoCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CaoZ20, author = {Yuhe Cao and Jin Zhou}, title = {Integrated Self-Adaptive and Power-Scalable Wideband Interference Cancellation for Full-Duplex {MIMO} Wireless}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2984--2996}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005755}, doi = {10.1109/JSSC.2020.3005755}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/CaoZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CarusoneSCC20, author = {Tony Chan Carusone and Mingoo Seok and Hsie{-}Chia Chang and Meng{-}Fan Chang}, title = {Introduction to the Special Issue on the 2019 {IEEE} International Solid-State Circuits Conference {(ISSCC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {3--5}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953371}, doi = {10.1109/JSSC.2019.2953371}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CarusoneSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CerqueiraRPPKS20, author = {Joao Pedro Cerqueira and Thomas J. Repetti and Yu Pu and Shivam Priyadarshi and Martha A. Kim and Mingoo Seok}, title = {Catena: {A} Near-Threshold, Sub-0.4-mW, 16-Core Programmable Spatial Array Accelerator for the Ultralow-Power Mobile and Embedded Internet of Things}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2270--2284}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2978137}, doi = {10.1109/JSSC.2020.2978137}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CerqueiraRPPKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangCCWLLLLY20, author = {Wei{-}Hsu Chang and Yen{-}Ming Chen and Ching{-}Jan Chen and Pin{-}Ying Wang and Kun{-}Yu Lin and Chun{-}Ching Lee and Li{-}Di Lo and Jenn{-}Yu Lin and Ta{-}Yung Yang}, title = {Highly Integrated {ZVS} Flyback Converter ICs With Pulse Transformer to Optimize {USB} Power Delivery for Fast-Charging Mobile Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3189--3199}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021509}, doi = {10.1109/JSSC.2020.3021509}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangCCWLLLLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangLRR20, author = {Muya Chang and Li{-}Hsiang Lin and Justin Romberg and Arijit Raychowdhury}, title = {{OPTIMO:} {A} 65-nm 279-GOPS/W 16-b Programmable Spatial-Array Processor with On-Chip Network for Solving Distributed Optimizations via the Alternating Direction Method of Multipliers}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {629--638}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953831}, doi = {10.1109/JSSC.2019.2953831}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChangLRR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChappidiLWS20, author = {ChandraKanth Reddy Chappidi and Xuyang Lu and Xue Wu and Kaushik Sengupta}, title = {Antenna Preprocessing and Element-Pattern Shaping for Multi-Band mmWave Arrays: Multi-Port Transmitters and Antennas}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1441--1454}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967545}, doi = {10.1109/JSSC.2020.2967545}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChappidiLWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChatterjeeSMSKM20, author = {Baibhab Chatterjee and Shreyas Sen and Charilaos Mousoulis and Dong{-}Hyun Seo and Anurag Kumar and Shovan Maity and Sean Scott and Daniel J. Valentino and Dallas T. Morisette and Dimitrios Peroulis}, title = {A Wearable Real-Time {CMOS} Dosimeter With Integrated Zero-Bias Floating Gate Sensor and an 861-nW 18-Bit Energy-Resolution Scalable Time-Based Radiation to Digital Converter}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {650--665}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953833}, doi = {10.1109/JSSC.2019.2953833}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChatterjeeSMSKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenBCZ20, author = {Thomas Chen and Jacob Botimer and Teyuh Chou and Zhengya Zhang}, title = {A 1.87-mm\({}^{\mbox{2}}\) 56.9-GOPS Accelerator for Solving Partial Differential Equations}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1709--1718}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2963591}, doi = {10.1109/JSSC.2019.2963591}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenLMZM20, author = {Zhiyuan Chen and Man{-}Kay Law and Pui{-}In Mak and Xiaoyang Zeng and Rui Paulo Martins}, title = {Piezoelectric Energy-Harvesting Interface Using Split-Phase Flipping-Capacitor Rectifier With Capacitor Reuse for Input Power Adaptation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2106--2117}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2989873}, doi = {10.1109/JSSC.2020.2989873}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenLMZM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChengGNKZKTL20, author = {Lin Cheng and Xinyuan Ge and Wai Chiu Ng and Wing{-}Hung Ki and Jiawei Zheng and Tsz Fai Kwok and Chi{-}Ying Tsui and Ming Liu}, title = {A 6.78-MHz Single-Stage Wireless Charger With Constant-Current Constant-Voltage Charging Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {999--1010}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2961852}, doi = {10.1109/JSSC.2019.2961852}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChengGNKZKTL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CherupallyYKBKS20, author = {Sai Kiran Cherupally and Shihui Yin and Deepak Kadetotad and Chisung Bae and Sang Joon Kim and Jae{-}sun Seo}, title = {A Smart Hardware Security Engine Combining Entropy Sources of ECG, HRV, and {SRAM} {PUF} for Authentication and Secret Key Generation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2680--2690}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3010705}, doi = {10.1109/JSSC.2020.3010705}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CherupallyYKBKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Chien20, author = {Jun{-}Chau Chien}, title = {A 1.8-GHz Near-Field Dielectric Plethysmography Heart-Rate Sensor With Time-Based Edge Sampling}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {615--628}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951691}, doi = {10.1109/JSSC.2019.2951691}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Chien20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChienBSA20, author = {Jun{-}Chau Chien and Sam W. Baker and H. Tom Soh and Amin Arbabian}, title = {Design and Analysis of a Sample-and-Hold {CMOS} Electrochemical Sensor for Aptamer-Based Therapeutic Drug Monitoring}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2914--2929}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3020789}, doi = {10.1109/JSSC.2020.3020789}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChienBSA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuZCSLTSHWWHS20, author = {Yen{-}Cheng Chiu and Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Ruhui Liu and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Je{-}Min Hung and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Chih{-}I Wu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2790--2801}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005754}, doi = {10.1109/JSSC.2020.3005754}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuZCSLTSHWWHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuZL20, author = {Chun{-}Yuan Chiu and Zhen{-}Cheng Zhang and Tsung{-}Hsien Lin}, title = {Design of a 0.6-V, 429-MHz {FSK} Transceiver Using Q-Enhanced and Direct Power Transfer Techniques in 90-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3024--3035}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3010374}, doi = {10.1109/JSSC.2020.3010374}, timestamp = {Sat, 07 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChiuZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiSKCKK20, author = {Seungkyu Choi and Jaehyeong Sim and Myeonggu Kang and Yeongjae Choi and Hyeonuk Kim and Lee{-}Sup Kim}, title = {An Energy-Efficient Deep Convolutional Neural Network Training Accelerator for In Situ Personalization on Smart Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2691--2702}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005786}, doi = {10.1109/JSSC.2020.3005786}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoiSKCKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunA20, author = {Yusang Chun and Tejasvi Anand}, title = {An ISI-Resilient Data Encoding for Equalizer-Free Wireline Communication - Dicode Encoding and Error Correction for 24.2-dB Loss With 2.56 pJ/bit}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {567--579}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959487}, doi = {10.1109/JSSC.2019.2959487}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChunA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChuoFKCYMKGWBK20, author = {Li{-}Xuan Chuo and Zhen Feng and Yejoong Kim and Nikolaos Chiotellis and Makoto Yasuda and Satoru Miyoshi and Masaru Kawaminami and Anthony Grbic and David D. Wentzloff and David T. Blaauw and Hun{-}Seok Kim}, title = {Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency-Interlocking {IF} Receiver for a Fully Integrated 4 {\textdollar}{\textbackslash}times{\textdollar} 4 {\textdollar}{\textbackslash}times{\textdollar} 4 mm\({}^{\mbox{3}}\) Wireless Sensor Node}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1128--1138}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959505}, doi = {10.1109/JSSC.2019.2959505}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChuoFKCYMKGWBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ConcaSBVSMCTTPZ20, author = {Enrico Conca and Vincenzo Sesta and Mauro Buttafava and Federica A. Villa and Laura Di Sieno and Alberto Dalla Mora and Davide Contini and Paola Taroni and Alessandro Torricelli and Antonio Pifferi and Franco Zappa and Alberto Tosi}, title = {Large-Area, Fast-Gated Digital SiPM With Integrated {TDC} for Portable and Wearable Time-Domain {NIRS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3097--3111}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3006442}, doi = {10.1109/JSSC.2020.3006442}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ConcaSBVSMCTTPZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CoudyzerOBY20, author = {Gertjan Coudyzer and Peter Ossieur and Johan Bauwelinck and Xin Yin}, title = {A 25Gbaud {PAM-4} Linear Burst-Mode Receiver With Analog Gain- and Offset Control in 0.25{\(\mu\)}m SiGe: {C} BiCMOS}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2206--2218}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987680}, doi = {10.1109/JSSC.2020.2987680}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CoudyzerOBY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CuiL20, author = {Bolun Cui and John R. Long}, title = {A 1.7-dB Minimum NF, 22-32-GHz Low-Noise Feedback Amplifier With Multistage Noise Matching in 22-nm {FD-SOI} {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1239--1248}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967548}, doi = {10.1109/JSSC.2020.2967548}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CuiL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DengSMLLYKHJC20, author = {Wei Deng and Zheng Song and Ruichang Ma and Jianfu Lin and Yutian Li and Jialiang Ye and Shangcheng Kong and Sanming Hu and Haikun Jia and Baoyong Chi}, title = {An Energy-Efficient 10-Gb/s {CMOS} Millimeter-Wave Transceiver With Direct-Modulation Digital Transmitter and {I/Q} Phase-Coupled Frequency Synthesizer}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2027--2042}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2978022}, doi = {10.1109/JSSC.2020.2978022}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DengSMLLYKHJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DengWLLLZWYZPWH20, author = {Lei Deng and Guanrui Wang and Guoqi Li and Shuangchen Li and Ling Liang and Maohua Zhu and Yujie Wu and Zheyu Yang and Zhe Zou and Jing Pei and Zhenzhi Wu and Xing Hu and Yufei Ding and Wei He and Yuan Xie and Luping Shi}, title = {Tianjic: {A} Unified and Scalable Chip Bridging Spike-Based and Continuous Neural Computation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2228--2246}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2970709}, doi = {10.1109/JSSC.2020.2970709}, timestamp = {Wed, 09 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/DengWLLLZWYZPWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DenizPBADBM20, author = {Zeynep Toprak Deniz and Jonathan E. Proesel and John F. Bulzacchelli and Herschel A. Ainspan and Timothy O. Dickson and Michael P. Beakes and Mounir Meghelli}, title = {A 128-Gb/s 1.3-pJ/b {PAM-4} Transmitter With Reconfigurable 3-Tap {FFE} in 14-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {19--26}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2939081}, doi = {10.1109/JSSC.2019.2939081}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DenizPBADBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DenizPBADBM20a, author = {Zeynep Toprak Deniz and Jonathan E. Proesel and John F. Bulzacchelli and Herschel A. Ainspan and Timothy O. Dickson and Michael P. Beakes and Mounir Meghelli}, title = {Errata Erratum to "A 128-Gb/s 1.3-pJ/b {PAM-4} Transmitter With Reconfigurable 3-Tap {FFE} in 14-nm CMOS"}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1124}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2976337}, doi = {10.1109/JSSC.2020.2976337}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DenizPBADBM20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DielsST20, author = {Wouter Diels and Michiel Steyaert and Filip Tavernier}, title = {1310/1550 nm Optical Receivers With Schottky Photodiode in Bulk {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1776--1784}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991517}, doi = {10.1109/JSSC.2020.2991517}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DielsST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DijkPSXSCJSJERC20, author = {Jeroen Petrus Gerardus van Dijk and Bishnu Patra and Sushil Subramanian and Xiao Xue and Nodar Samkharadze and Andrea Corna and Charles Jeon and Farhana Sheikh and Esdras Juarez Hernandez and Brando Perez Esparza and Huzaifa Rampurawala and Brent R. Carlton and Surej Ravikumar and Carlos Nieva and Sungwon Kim and Hyung{-}Jin Lee and Amir Sammak and Giordano Scappucci and Menno Veldhorst and Lieven M. K. Vandersypen and Edoardo Charbon and Stefano Pellerano and Masoud Babaie and Fabio Sebastiano}, title = {A Scalable Cryo-CMOS Controller for the Wideband Frequency-Multiplexed Control of Spin Qubits and Transmons}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2930--2946}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3024678}, doi = {10.1109/JSSC.2020.3024678}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/DijkPSXSCJSJERC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ElAnsarySKMDCTG20, author = {Maged ElAnsary and Nima Soltani and Hossein Kassiri and Ruben Machado and Suzie Dufour and Peter L. Carlen and Michael Thompson and Roman Genov}, title = {50nW Opamp-Less {\(\Delta\)}{\(\Sigma\)}-Modulated Bioimpedance Spectrum Analyzer for Electrochemical Brain Interfacing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1971--1983}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2981033}, doi = {10.1109/JSSC.2020.2981033}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ElAnsarySKMDCTG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ElsaeghVZALSJBW20, author = {Samar Elsaegh and Clemens Veit and Ute Zschieschang and Mohammad Amayreh and Florian Letzkus and Holger Sailer and Michael Jurisch and Joachim N. Burghartz and Uli W{\"{u}}rfel and Hagen Klauk and Hans Zappe and Yiannos Manoli}, title = {Low-Power Organic Light Sensor Array Based on Active-Matrix Common-Gate Transimpedance Amplifier on Foil for Imaging Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2553--2566}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2993732}, doi = {10.1109/JSSC.2020.2993732}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ElsaeghVZALSJBW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ErfaniMNM20, author = {Reza Erfani and Fatemeh Marefat and Sudip Nag and Pedram Mohseni}, title = {A 1-10-MHz Frequency-Aware {CMOS} Active Rectifier With Dual-Loop Adaptive Delay Compensation and {\textgreater}230-mW Output Power for Capacitively Powered Biomedical Implants}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {756--766}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2956883}, doi = {10.1109/JSSC.2019.2956883}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ErfaniMNM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FanHC20, author = {Qingjun Fan and Yi Hong and Jinghong Chen}, title = {A Time-Interleaved {SAR} {ADC} With Bypass-Based Opportunistic Adaptive Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2082--2093}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987687}, doi = {10.1109/JSSC.2020.2987687}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FanHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FanKIRCSP20, author = {Yang{-}Hang Fan and Ankur Kumar and Takayuki Iwai and Ashkan Roshan{-}Zamir and Shengchang Cai and Bo Sun and Samuel Palermo}, title = {A 32-Gb/s Simultaneous Bidirectional Source-Synchronous Transceiver With Adaptive Echo Cancellation Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {439--451}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2956369}, doi = {10.1109/JSSC.2019.2956369}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FanKIRCSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FletcherDM20, author = {Benjamin J. Fletcher and Shidhartha Das and Terrence S. T. Mak}, title = {A Spike-Latency Transceiver With Tunable Pulse Control for Low-Energy Wireless 3-D Integration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2414--2428}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2989543}, doi = {10.1109/JSSC.2020.2989543}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FletcherDM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FranceschinAPBB20, author = {Alessandro Franceschin and Pietro Andreani and Fabio Padovan and Matteo Bassi and Andrea Bevilacqua}, title = {A 19.5-GHz 28-nm Class-C {CMOS} VCO, With a Reasonably Rigorous Result on 1/f Noise Upconversion Caused by Short-Channel Effects}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1842--1853}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987702}, doi = {10.1109/JSSC.2020.2987702}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FranceschinAPBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FurubayashiOYWM20, author = {Yuki Furubayashi and Takashi Oshima and Taizo Yamawaki and Keiki Watanabe and Keijiro Mori and Naoki Mori and Akira Matsumoto and Yudai Kamada and Atsushi Isobe and Tomonori Sekiguchi}, title = {A 22-ng/ {\textdollar}{\textbackslash}surd{\textdollar} Hz 17-mW Capacitive {MEMS} Accelerometer With Electrically Separated Mass Structure and Digital Noise- Reduction Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2539--2552}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991533}, doi = {10.1109/JSSC.2020.2991533}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FurubayashiOYWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GaoLCM20, author = {Yuan Gao and Lisong Li and Kwun{-}Hok Chong and Philip K. T. Mok}, title = {A Hybrid {LED} Driver With Improved Efficiency}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2129--2139}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987730}, doi = {10.1109/JSSC.2020.2987730}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GaoLCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GiraldoLBV20, author = {Juan Sebastian Piedrahita Giraldo and Steven Lauwereins and Komail M. H. Badami and Marian Verhelst}, title = {Vocell: {A} 65-nm Speech-Triggered Wake-Up SoC for 10- {\textdollar}{\textbackslash}mu{\textdollar} {W} Keyword Spotting and Speaker Verification}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {868--878}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2968800}, doi = {10.1109/JSSC.2020.2968800}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GiraldoLBV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GodoyK20, author = {Daniel de Godoy and Peter R. Kinget}, title = {An Ultra-Low-Power Polarity-Coincidence Feedback Time-Delay-to-Digital Converter for Sound-Source Localization}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1610--1623}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2950322}, doi = {10.1109/JSSC.2019.2950322}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GodoyK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GonenKVM20, author = {Burak G{\"{o}}nen and Shoubhik Karmakar and Robert H. M. van Veldhoven and Kofi A. A. Makinwa}, title = {A Continuous-Time Zoom {ADC} for Low-Power Audio Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1023--1031}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959480}, doi = {10.1109/JSSC.2019.2959480}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GonenKVM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GuglielmiTZSMSF20, author = {Emanuele Guglielmi and Fabio Toso and Francesco Zanetto and Giuseppe Sciortino and Alireza Mesri and Marco Sampietro and Giorgio Ferrari}, title = {High-Value Tunable Pseudo-Resistors Design}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2094--2105}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2973639}, doi = {10.1109/JSSC.2020.2973639}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/GuglielmiTZSMSF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GuoMSWM20, author = {Mingqiang Guo and Jiaji Mao and Sai{-}Weng Sin and He Gong Wei and Rui Paulo Martins}, title = {A 1.6-GS/s 12.2-mW Seven-/Eight-Way Split Time-Interleaved {SAR} {ADC} Achieving 54.2-dB {SNDR} With Digital Background Timing Mismatch Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {693--705}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2945298}, doi = {10.1109/JSSC.2019.2945298}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GuoMSWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaLPKOSBPLLLMHP20, author = {Kyung{-}Soo Ha and Seungseob Lee and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Hyong{-}Ryol Hwang and Dukha Park and Young{-}Hwa Kim and Young Hoon Son and Byongwook Na}, title = {A 7.5 Gb/s/pin 8-Gb {LPDDR5} {SDRAM} With Various High-Speed and Low-Power Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {157--166}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2938396}, doi = {10.1109/JSSC.2019.2938396}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaLPKOSBPLLLMHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaapalaRH20, author = {Tuomas Haapala and Tuomas Rantataro and Kari A. I. Halonen}, title = {A Fully Integrated Programmable 6.0-8.5-GHz {UWB} {IR} Transmitter Front-End for Energy-Harvesting Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1922--1934}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987734}, doi = {10.1109/JSSC.2020.2987734}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaapalaRH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HamadaTMFASOISS20, author = {Hiroshi Hamada and Takuya Tsutsumi and Hideaki Matsuzaki and Takuya Fujimura and Ibrahim Abdo and Atsushi Shirane and Kenichi Okada and Go Itami and Ho{-}Jin Song and Hiroki Sugiyama and Hideyuki Nosaka}, title = {300-GHz-Band 120-Gb/s Wireless Front-End Based on InP-HEMT PAs and Mixers}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2316--2335}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005818}, doi = {10.1109/JSSC.2020.3005818}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HamadaTMFASOISS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HamzaHAB20, author = {Ahmed Hamza and Cameron Hill and Hussam AlShammary and James F. Buckwalter}, title = {High-Rejection {RF} Code Domain Receivers for Simultaneous Transmit and Receive Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1909--1921}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2970718}, doi = {10.1109/JSSC.2020.2970718}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HamzaHAB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Hanumolu20, author = {Pavan Kumar Hanumolu}, title = {New Associate Editors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1439--1440}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2988136}, doi = {10.1109/JSSC.2020.2988136}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Hanumolu20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HardyRSL20, author = {Casey Hardy and Yogesh Ramadass and Kevin Scoones and Hanh{-}Phuc Le}, title = {Erratum to "A Flying-Inductor Hybrid {DC-DC} Converter for 1-Cell and 2-Cell Smart-Cable Battery Chargers"}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {837}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2969532}, doi = {10.1109/JSSC.2020.2969532}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HardyRSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Hong20, author = {Sung{-}Wan Hong}, title = {A Resonant Current-Mode Wireless Power and Data Receiver for Loosely Coupled Implantable Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3200--3209}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3013007}, doi = {10.1109/JSSC.2020.3013007}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/Hong20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongL20, author = {Cheng{-}Yao Hong and Tsung{-}Te Liu}, title = {A Variation-Resilient Microprocessor With a Two-Level Timing Error Detection and Correction System in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2285--2294}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951692}, doi = {10.1109/JSSC.2019.2951692}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuAS20, author = {Chen{-}Kai Hsu and Timothy R. Andeen and Nan Sun}, title = {A Pipeline {SAR} {ADC} With Second-Order Interstage Gain Error Shaping}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1032--1042}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2962140}, doi = {10.1109/JSSC.2019.2962140}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HsuAS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuLH20, author = {Yu{-}Pin Hsu and Zemin Liu and Mona Mostafa Hella}, title = {A 12.3-{\(\mu\)}W 0.72-mm{\({^2}\)} Fully Integrated Front-End {IC} for Arterial Pulse Waveform and ExG Recording}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2756--2770}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3007177}, doi = {10.1109/JSSC.2020.3007177}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HsuLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangCLHW20, author = {Min{-}Yu Huang and Taiyun Chi and Sensen Li and Tzu{-}Yuan Huang and Hua Wang}, title = {A 24.5-43.5-GHz Ultra-Compact {CMOS} Receiver Front End With Calibration-Free Instantaneous Full-Band Image Rejection for Multiband 5G Massive {MIMO}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1177--1186}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959495}, doi = {10.1109/JSSC.2019.2959495}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangCLHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangCLY20, author = {Shuo{-}An Huang and Kai{-}Chieh Chang and Horng{-}Huei Liou and Chia{-}Hsiang Yang}, title = {A 1.9-mW {SVM} Processor With On-Chip Active Learning for Epileptic Seizure Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {452--464}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2954775}, doi = {10.1109/JSSC.2019.2954775}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangCLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangK20, author = {Peng{-}Chang Huang and Tai{-}Haur Kuo}, title = {A Reconfigurable and Extendable Single-Inductor Single-Path Three-Switch Converter for Indoor Photovoltaic Energy Harvesting}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1998--2008}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987722}, doi = {10.1109/JSSC.2020.2987722}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangK20a, author = {Hung{-}Yi Huang and Tai{-}Haur Kuo}, title = {A 0.07-mm\({}^{\mbox{2}}\) 162-mW {DAC} Achieving {\textgreater}65 dBc {SFDR} and {\textless} -70 dBc {IM3} at 10 GS/s With Output Impedance Compensation and Concentric Parallelogram Routing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2478--2488}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2993672}, doi = {10.1109/JSSC.2020.2993672}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangK20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangLM20, author = {Mo Huang and Yan Lu and Rui Paulo Martins}, title = {An Analog-Proportional Digital-Integral Multiloop Digital {LDO} With {PSR} Improvement and {LCO} Reduction}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1637--1650}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967540}, doi = {10.1109/JSSC.2020.2967540}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IottiKLN20, author = {Lorenzo Iotti and Sashank Krishnamurthy and Greg LaCaille and Ali M. Niknejad}, title = {A Low-Power 70-100-GHz Mixer-First {RX} Leveraging Frequency-Translational Feedback}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2043--2054}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991541}, doi = {10.1109/JSSC.2020.2991541}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IottiKLN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JahromiJKK20, author = {Sahba Jahromi and Jussi{-}Pekka Jansson and Pekka Ker{\"{a}}nen and Juha Kostamovaara}, title = {A 32 {\texttimes} 128 {SPAD-257} {TDC} Receiver {IC} for Pulsed {TOF} Solid-State 3-D Imaging}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1960--1970}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2970704}, doi = {10.1109/JSSC.2020.2970704}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JahromiJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JainHAGP20, author = {Ritesh Jain and Philipp Hillger and Eamal Ashna and Janusz Grzyb and Ullrich R. Pfeiffer}, title = {A 64-Pixel 0.42-THz Source SoC With Spatial Modulation Diversity for Computational Imaging}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3281--3293}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3018819}, doi = {10.1109/JSSC.2020.3018819}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JainHAGP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JainLA20, author = {Saurabh Jain and Longyang Lin and Massimo Alioto}, title = {Processor Energy-Performance Range Extension Beyond Voltage Scaling via Drop-In Methodologies}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2670--2679}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005778}, doi = {10.1109/JSSC.2020.3005778}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JainLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JaliliM20, author = {Hossein Jalili and Omeed Momeni}, title = {A 230-GHz High-Power and Wideband Coupled Standing Wave {VCO} in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {547--556}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2949260}, doi = {10.1109/JSSC.2019.2949260}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JaliliM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JaliliM20a, author = {Hossein Jalili and Omeed Momeni}, title = {A 0.46-THz 25-Element Scalable and Wideband Radiator Array With Optimized Lens Integration in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2387--2400}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2989897}, doi = {10.1109/JSSC.2020.2989897}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JaliliM20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JangLCLY20, author = {Jaeeun Jang and Jihee Lee and Hyunwoo Cho and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {Wireless Body-Area-Network Transceiver and Low-Power Receiver With High Application Expandability}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2781--2789}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005765}, doi = {10.1109/JSSC.2020.3005765}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JangLCLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeonBKLKC20, author = {Duhyun Jeon and Jong{-}Hak Baek and Yong{-}Duck Kim and Jaeseong Lee and Dong Kyue Kim and Byong{-}Deok Choi}, title = {A Physical Unclonable Function With Bit Error Rate {\texttimes} 10\({}^{\mbox{-8}}\) Based on Contact Formation Probability Without Error Correction Code}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {805--816}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951415}, doi = {10.1109/JSSC.2019.2951415}, timestamp = {Fri, 19 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JeonBKLKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeonKLLKSHS20, author = {Cheonhoo Jeon and Jahyun Koo and Kyongsu Lee and Minseob Lee and Su{-}Kyoung Kim and Sangbaie Shin and Sei Kwang Hahn and Jae{-}Yoon Sim}, title = {A Smart Contact Lens Controller {IC} Supporting Dual-Mode Telemetry With Wireless-Powered Backscattering {LSK} and EM-Radiated {RF} Transmission Using a Single-Loop Antenna}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {856--867}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959493}, doi = {10.1109/JSSC.2019.2959493}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeonKLLKSHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JiaVTZV20, author = {Hongyang Jia and Hossein Valavi and Yinqi Tang and Jintao Zhang and Naveen Verma}, title = {A Programmable Heterogeneous Microprocessor Based on Bit-Scalable In-Memory Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2609--2621}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987714}, doi = {10.1109/JSSC.2020.2987714}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JiaVTZV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JiaWJG20, author = {Tianyu Jia and Yijie Wei and Russ Joseph and Jie Gu}, title = {An Adaptive Clock Scheme Exploiting Instruction-Based Dynamic Timing Slack for a {GPGPU} Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2259--2269}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2979451}, doi = {10.1109/JSSC.2020.2979451}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JiaWJG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JiangHCH20, author = {Haowei Jiang and Chih{-}Cheng Huang and Matthew R. Chan and Drew A. Hall}, title = {A 2-in-1 Temperature and Humidity Sensor With a Single {FLL} Wheatstone-Bridge Front-End}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2174--2185}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2989585}, doi = {10.1109/JSSC.2020.2989585}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JiangHCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JiangWGPRHM20, author = {Haowei Jiang and Po{-}Han Peter Wang and Li Gao and Corentin Pochet and Gabriel M. Rebeiz and Drew A. Hall and Patrick P. Mercier}, title = {A 22.3-nW, 4.55 cm\({}^{\mbox{2}}\) Temperature-Robust Wake-Up Receiver Achieving a Sensitivity of -69.5 dBm at 9 GHz}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1530--1541}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948812}, doi = {10.1109/JSSC.2019.2948812}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JiangWGPRHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JiangYSS20, author = {Zhewei Jiang and Shihui Yin and Jae{-}Sun Seo and Mingoo Seok}, title = {{C3SRAM:} An In-Memory-Computing {SRAM} Macro Based on Robust Capacitive Coupling Computing Mechanism}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1888--1897}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2992886}, doi = {10.1109/JSSC.2020.2992886}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JiangYSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JiangZZCM20, author = {Wenning Jiang and Yan Zhu and Minglei Zhang and Chi{-}Hang Chan and Rui Paulo Martins}, title = {A Temperature-Stabilized Single-Channel 1-GS/s 60-dB {SNDR} SAR-Assisted Pipelined {ADC} With Dynamic Gm-R-Based Amplifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {322--332}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948170}, doi = {10.1109/JSSC.2019.2948170}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JiangZZCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JieZCF20, author = {Lu Jie and Boyi Zheng and Hsiang{-}Wen Chen and Michael P. Flynn}, title = {A Cascaded Noise-Shaping {SAR} Architecture for Robust Order Extension}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3236--3247}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3019487}, doi = {10.1109/JSSC.2020.3019487}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JieZCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JinPKKKC20, author = {Xuefan Jin and Woosung Park and Dong{-}Seok Kang and Youngjun Ko and Kee{-}Won Kwon and Jung{-}Hoon Chun}, title = {A 4-GHz Sub-Harmonically Injection-Locked Phase-Locked Loop With Self-Calibrated Injection Timing and Pulsewidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2724--2733}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005806}, doi = {10.1109/JSSC.2020.3005806}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JinPKKKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JohnsonDZGAJKN20, author = {Manoj Johnson and Armagan Dascurcu and Kai Zhan and Arman Galioglu and Naresh Kumar Adepu and Sanket Jain and Harish Krishnaswamy and Arun Natarajan}, title = {Code-Domain Multiplexing for Shared {IF/LO} Interfaces in Millimeter-Wave {MIMO} Arrays}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1270--1281}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967538}, doi = {10.1109/JSSC.2020.2967538}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JohnsonDZGAJKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JoshiMB20, author = {Kishan Joshi and Sanjeev Manandhar and Bertan Bakkaloglu}, title = {A 5.6 {\(\mu\)} {A} Wide Bandwidth, High Power Supply Rejection Linear Low-Dropout Regulator With 68 dB of {PSR} Up To 2 MHz}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2151--2160}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2978033}, doi = {10.1109/JSSC.2020.2978033}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JoshiMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JuGC20, author = {Inchan Ju and Yunyi Gong and John D. Cressler}, title = {Highly Linear High-Power 802.11ac/ax {WLAN} SiGe {HBT} Power Amplifiers With a Compact 2nd-Harmonic-Shorted Four-Way Transformer and a Thermally Compensating Dynamic Bias Circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2356--2370}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2993720}, doi = {10.1109/JSSC.2020.2993720}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JuGC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungLPHZW20, author = {Doohwan Jung and Sensen Li and Jong Seok Park and Tzu{-}Yuan Huang and Huan Zhao and Hua Wang}, title = {A {CMOS} 1.2-V Hybrid Current- and Voltage-Mode Three-Way Digital Doherty {PA} With Built-In Phase Nonlinearity Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {525--535}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953832}, doi = {10.1109/JSSC.2019.2953832}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungLPHZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KadetotadYBCS20, author = {Deepak Kadetotad and Shihui Yin and Visar Berisha and Chaitali Chakrabarti and Jae{-}sun Seo}, title = {An 8.93 {TOPS/W} {LSTM} Recurrent Neural Network Accelerator Featuring Hierarchical Coarse-Grain Sparsity for On-Device Speech Recognition}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1877--1887}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2992900}, doi = {10.1109/JSSC.2020.2992900}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KadetotadYBCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangTACVSMMP20, author = {Eunchul Kang and Mingliang Tan and Jae{-}Sung An and Zu{-}Yao Chang and Philippe Vince and Nicolas S{\'{e}}n{\'{e}}gond and Tony Mateo and Cyril Meynier and Michiel A. P. Pertijs}, title = {A Variable-Gain Low-Noise Transimpedance Amplifier for Miniature Ultrasound Probes}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3157--3168}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3023618}, doi = {10.1109/JSSC.2020.3023618}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangTACVSMMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KarmakarZVBBFM20, author = {Shoubhik Karmakar and Huajun Zhang and Robert H. M. van Veldhoven and Lucien J. Breems and Marco Berkhout and Qinwen Fan and Kofi A. A. Makinwa}, title = {A 28-W, -102.2-dB {THD+N} Class-D Amplifier Using a Hybrid {\(\Delta\)}{\(\Sigma\)}M-PWM Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3146--3156}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3023874}, doi = {10.1109/JSSC.2020.3023874}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KarmakarZVBBFM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KashmiriBPWL20, author = {Mahdi Kashmiri and Behnam Behroozpour and Vladimir P. Petkov and Kenneth E. Wojciechowski and Christoph Lang}, title = {A 4-GS/s 80-dB {DR} Current-Domain Analog Frontend for Phase-Coded Pulse-Compression Direct Time-of-Flight Automotive Lidar}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3131--3145}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3022658}, doi = {10.1109/JSSC.2020.3022658}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KashmiriBPWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KaufmannW20, author = {Maik Kaufmann and Bernhard Wicht}, title = {A Monolithic GaN-IC With Integrated Control Loop for 400-V Offline Buck Operation Achieving 95.6{\%} Peak Efficiency}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3169--3178}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3018404}, doi = {10.1109/JSSC.2020.3018404}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KaufmannW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KawasumiHC20, author = {Atsushi Kawasumi and Mototsugu Hamada and Po{-}Hung Chen}, title = {Introduction to the Special Section on the 2019 Asian Solid-State Circuits Conference {(A-SSCC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2627--2628}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3012639}, doi = {10.1109/JSSC.2020.3012639}, timestamp = {Mon, 05 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KawasumiHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeelJKKKBCSKACJ20, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Seoung{-}Jae Yoo and Chang{-}Rok Moon and Hyunsurk Ryu and Joonseok Kim}, title = {A {VGA} Indirect Time-of-Flight {CMOS} Image Sensor With 4-Tap 7- {\textdollar}{\textbackslash}mu{\textdollar} m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {889--897}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959502}, doi = {10.1109/JSSC.2019.2959502}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KeelJKKKBCSKACJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KennedyBLR20, author = {Henry Kennedy and Rares Bodnar and Teerasak Lee and William Redman{-}White}, title = {A High-Q Resonant Inductive Link Transmit Driver With Adaptive-Predictive Phase-Continuous Tuning and Deviation Frequency Calibration for Enhanced {FSK/PSK} Modulation and Power Transfer}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2401--2413}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991516}, doi = {10.1109/JSSC.2020.2991516}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KennedyBLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeumHK20, author = {Nack{-}Hyeon Keum and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {An {AMOLED} Pixel Circuit With a Compensating Scheme for Variations in Subthreshold Slope and Threshold Voltage of Driving TFTs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3087--3096}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3014149}, doi = {10.1109/JSSC.2020.3014149}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KeumHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KhashabaEMAH20, author = {Amr Khashaba and Ahmed Elkholy and Karim M. Megawer and Mostafa Gamal Ahmed and Pavan Kumar Hanumolu}, title = {A Low-Noise Frequency Synthesizer Using Multiphase Generation and Combining Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {592--601}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951384}, doi = {10.1109/JSSC.2019.2951384}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KhashabaEMAH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimACEH20, author = {Dongwook Kim and Mostafa Gamal Ahmed and Woo{-}Seok Choi and Ahmed Elkholy and Pavan Kumar Hanumolu}, title = {A 12-Gb/s 10-ns Turn-On Time Rapid {ON/OFF} Baud-Rate {DFE} Receiver in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2196--2205}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2978138}, doi = {10.1109/JSSC.2020.2978138}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimACEH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKCOBTLKLBMFY20, author = {Gain Kim and Marcel A. Kossel and Alessandro Cevrero and Ilter {\"{O}}zkaya and Andreas Burg and Thomas Toifl and Yusuf Leblebici and Lukas Kull and Danny Luu and Matthias Braendli and Christian Menolfi and Pier Andrea Francese and Hazar Yueksel and Cosimo Aprile and Thomas Morf}, title = {A 161-mW 56-Gb/s ADC-Based Discrete Multitone Wireline Receiver Data-Path in 14-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {38--48}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2938414}, doi = {10.1109/JSSC.2019.2938414}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKCOBTLKLBMFY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKGKY20, author = {Kwantae Kim and Ji{-}Hoon Kim and Surin Gweon and Minseo Kim and Hoi{-}Jun Yoo}, title = {A 0.5-V Sub-10-{\(\mu\)}W 15.28-m{\(\Omega\)}/{\(\surd\)}Hz Bio-Impedance Sensor {IC} With Sub-1{\textdegree} Phase Error}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2161--2173}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991511}, doi = {10.1109/JSSC.2020.2991511}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKGKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {Indirect Time-of-Flight {CMOS} Image Sensor With On-Chip Background Light Cancelling and Pseudo-Four-Tap/Two-Tap Hybrid Imaging for Motion Artifact Suppression}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2849--2865}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021246}, doi = {10.1109/JSSC.2020.3021246}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KoKSC20, author = {Chen{-}Ting Ko and Ting{-}Kuei Kuan and Ruei{-}Pin Shen and Chih{-}Hsien Chang}, title = {A 7-nm FinFET {CMOS} {PLL} With 388-fs Jitter and -80-dBc Reference Spur Featuring a Track-and-Hold Charge Pump and Automatic Loop Gain Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1043--1050}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959735}, doi = {10.1109/JSSC.2019.2959735}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KoKSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KobayashiM20, author = {Kevin W. Kobayashi and Ying Z. McCleary}, title = {Baseband to 140-GHz SiGe {HBT} and 100-GHz InP {DHBT} Broadband Triple-Stacked Distributed Amplifiers With Active Bias Terminations}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2336--2344}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2988420}, doi = {10.1109/JSSC.2020.2988420}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KobayashiM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KondoKKOHTOOOSI20, author = {Satoshi Kondo and Hiroshi Kubota and Hisaaki Katagiri and Yutaka Ota and Masatoshi Hirono and Tuan Thanh Ta and Hidenori Okuni and Shinichi Ohtsuka and Yoshinari Ojima and Tomohiko Sugimoto and Hirotomo Ishii and Kentaro Yoshioka and Katsuyuki Kimura and Akihide Sai and Nobu Matsumoto}, title = {An Automotive LiDAR SoC for 240 {\texttimes} 192-Pixel 225-m-Range Imaging With a 40-Channel 0.0036-mm\({}^{\mbox{2}}\) Voltage/Time Dual-Data-Converter-Based {AFE}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2866--2877}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3020812}, doi = {10.1109/JSSC.2020.3020812}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KondoKKOHTOOOSI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KondoSIUHSOOSOM20, author = {Hiroyuki Kondo and Yasuhisa Shimazaki and Masao Ito and Minoru Uemura and Toshihiro Hattori and Noriaki Sakamoto and Sugako Otani and Norimasa Otsuki and Yasufumi Suzuki and Naoto Okumura and Shohei Maeda and Tomonori Yanagita and Takao Koike and Kosuke Yayama}, title = {A 28-nm Automotive Flash Microcontroller With Virtualization-Assisted Processor Supporting {ISO26262} {ASIL} {D}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {133--144}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953826}, doi = {10.1109/JSSC.2019.2953826}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KondoSIUHSOOSOM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KrishnamurthyN20, author = {Sashank Krishnamurthy and Ali M. Niknejad}, title = {Design and Analysis of Enhanced Mixer-First Receivers Achieving 40-dB/decade {RF} Selectivity}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1165--1176}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2956887}, doi = {10.1109/JSSC.2019.2956887}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KrishnamurthyN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KrupnikPLSEKSLV20, author = {Yoel Krupnik and Yevgeny Perelman and Itamar Levin and Yosi Sanhedrai and Roee Eitan and Ahmad Khairi and Yizhak Shifman and Yoni Landau and Udi Virobnik and Noam Dolev and Alon Meisler and Ariel Cohen}, title = {112-Gb/s {PAM4} ADC-Based {SERDES} Receiver With Resonant {AFE} for Long-Reach Channels}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1077--1085}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959511}, doi = {10.1109/JSSC.2019.2959511}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KrupnikPLSEKSLV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KumarSKSAKAHCKD20, author = {Raghavan Kumar and Vikram B. Suresh and Monodeep Kar and Sudhir Satpathy and Mark A. Anders and Himanshu Kaul and Amit Agarwal and Steven Hsu and Gregory K. Chen and Ram K. Krishnamurthy and Vivek De and Sanu K. Mathew}, title = {A 4900- {\textdollar}{\textbackslash}mu{\textdollar} m\({}^{\mbox{2}}\) 839-Mb/s Side-Channel Attack- Resistant {AES-128} in 14-nm {CMOS} With Heterogeneous Sboxes, Linear Masked MixColumns, and Dual-Rail Key Addition}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {945--955}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960482}, doi = {10.1109/JSSC.2019.2960482}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KumarSKSAKAHCKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCY20, author = {Yu{-}Chi Lee and Tai{-}Shih Chi and Chia{-}Hsiang Yang}, title = {A 2.17-mW Acoustic {DSP} Processor With {CNN-FFT} Accelerators for Intelligent Hearing Assistive Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2247--2258}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987695}, doi = {10.1109/JSSC.2020.2987695}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeDV20, author = {Wooram Lee and Tolga Dinc and Alberto Valdes{-}Garcia}, title = {Multi-Mode 60-GHz Radar Transmitter SoC in 45-nm {SOI} {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1187--1198}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2964150}, doi = {10.1109/JSSC.2020.2964150}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeDV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeGJ20, author = {Junghyup Lee and Arup K. George and Minkyu Je}, title = {An Ultra-Low-Noise Swing-Boosted Differential Relaxation Oscillator in 0.18-{\(\mu\)}m {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2489--2497}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987681}, doi = {10.1109/JSSC.2020.2987681}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeGJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeHLLKKKHJNLCK20, author = {Jongsoo Lee and Jae{-}Yeol Han and Chilun Lo and Jongmi Lee and Wan Kim and Seungjin Kim and Byoungjoong Kang and Juyoung Han and Sangdon Jung and Takahiro Nomiyama and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {NB-IoT and {GNSS} All-In-One System-On-Chip Integrating {RF} Transceiver, 23-dBm {CMOS} Power Amplifier, Power Management Unit, and Clock Management System for Low Cost Solution}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3400--3413}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3012742}, doi = {10.1109/JSSC.2020.3012742}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeHLLKKKHJNLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeHNKS20, author = {Sanghoon Lee and Stephen K. Heinrich{-}Barna and Kyoohyun Noh and Keith Kunz and Edgar S{\'{a}}nchez{-}Sinencio}, title = {A 1-nA 4.5-nW 289-ppm/{\textdegree}C Current Reference Using Automatic Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2498--2512}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2995038}, doi = {10.1109/JSSC.2020.2995038}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeHNKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeJJPKLAHC20, author = {Changuk Lee and Taejune Jeon and Moon Hyung Jang and Sanggeon Park and Jejung Kim and Jeongsik Lim and Jong{-}Hyun Ahn and Yeowool Huh and Youngcheol Chae}, title = {A 6.5-{\(\mu\)}W 10-kHz {BW} 80.4-dB {SNDR} G\({}_{\mbox{m}}\)-C-Based {CT} {\unicode{8710}}{\(\sum\)} Modulator With a Feedback-Assisted G\({}_{\mbox{m}}\) Linearization for Artifact-Tolerant Neural Recording}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2889--2901}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3018478}, doi = {10.1109/JSSC.2020.3018478}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeJJPKLAHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeJKPKC20, author = {Sangwoo Lee and Jinwoong Jeong and Taewoong Kim and Chanmin Park and Taewoo Kim and Youngcheol Chae}, title = {A 5.2-Mpixel 88.4-dB {DR} 12-in {CMOS} X-Ray Detector With 16-bit Column-Parallel Continuous-Time Incremental {\(\Delta\)}{\(\Sigma\)} ADCs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2878--2888}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3011967}, doi = {10.1109/JSSC.2020.3011967}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeJKPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKKPJ20, author = {Jinhyung Lee and Kwangho Lee and Hyojun Kim and Byungmin Kim and Kwanseo Park and Deog{-}Kyoon Jeong}, title = {A 0.1-pJ/b/dB 1.62-to-10.8-Gb/s Video Interface Receiver With Jointly Adaptive {CTLE} and {DFE} Using Biased Data-Level Reference}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2186--2195}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987690}, doi = {10.1109/JSSC.2020.2987690}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKKPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeM20, author = {Dhon{-}Gue Lee and Patrick P. Mercier}, title = {A Sub-mW 2.4-GHz Active-Mixer-Adopted Sub-Sampling {PLL} Achieving an FoM of -256 dB}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1542--1552}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951377}, doi = {10.1109/JSSC.2019.2951377}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeMKBSZDLSKJLY20, author = {Jeongsup Lee and Satoru Miyoshi and Masaru Kawaminami and David T. Blaauw and Dennis Sylvester and Yiqun Zhang and Qing Dong and Wootaek Lim and Mehdi Saligane and Yejoong Kim and Seokhyeon Jeong and Jongyup Lim and Makoto Yasuda}, title = {A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy-Optimal Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {87--97}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2939890}, doi = {10.1109/JSSC.2019.2939890}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeMKBSZDLSKJLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeSNDMFMA20, author = {Kyoungtae Lee and Jessica Scholey and Eric B. Norman and Inder K. Daftari and Kavita K. Mishra and Bruce A. Faddegon and Michel M. Maharbiz and Mekhail Anwar}, title = {A Millimeter-Scale Single Charged Particle Dosimeter for Cancer Radiotherapy}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2947--2958}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3024231}, doi = {10.1109/JSSC.2020.3024231}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeSNDMFMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiCW20, author = {Sensen Li and Taiyun Chi and Hua Wang}, title = {Multi-Feed Antenna and Electronics Co-Design: An E-Band Antenna-LNA Front End With On-Antenna Noise-Canceling and G{\unicode{8344}}-Boosting}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3362--3375}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3024592}, doi = {10.1109/JSSC.2020.3024592}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LiCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiMLM20, author = {Xiaofei Li and Fangyu Mao and Yan Lu and Rui Paulo Martins}, title = {A {VHF} Wide-Input Range {CMOS} Passive Rectifier With Active Bias Tuning}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2629--2638}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005814}, doi = {10.1109/JSSC.2020.3005814}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiMLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiPS20, author = {Shaolan Li and David Z. Pan and Nan Sun}, title = {An OTA-Less Second-Order VCO-Based {CT} {\textdollar}{\textbackslash}Delta{\textbackslash}Sigma{\textdollar} Modulator Using an Inherent Passive Integrator and Capacitive Feedback}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1337--1350}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2941007}, doi = {10.1109/JSSC.2019.2941007}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiSXG20, author = {Jinbo Li and Ran Shu and Zhiwei Xu and Qun Jane Gu}, title = {A 21-dm-OP\({}_{\mbox{1 dB}}\) 20.3{\%}-Efficiency -131.8-dBm/Hz-Noise {\textdollar}X{\textdollar} -Band Cartesian Error Feedback Transmitter With Fully Integrated Power Amplifier in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1491--1501}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948171}, doi = {10.1109/JSSC.2019.2948171}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LiSXG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiY20, author = {Dai Li and Kaiyuan Yang}, title = {A Self-Regulated and Reconfigurable {CMOS} Physically Unclonable Function Featuring Zero-Overhead Stabilization}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {98--107}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2938133}, doi = {10.1109/JSSC.2019.2938133}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LiY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiYGZ20, author = {Kan Li and Chuanshi Yang and Ting Guo and Yuanjin Zheng}, title = {A Multi-Loop Slew-Rate-Enhanced {NMOS} {LDO} Handling 1-A-Load-Current Step With Fast Transient for 5G Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3076--3086}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005789}, doi = {10.1109/JSSC.2020.3005789}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LiYGZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiZLZYS20, author = {Dengquan Li and Zhangming Zhu and Jiaxin Liu and Haoyu Zhuang and Yintang Yang and Nan Sun}, title = {A 7-bit 900-MS/s 2-Then-3-bit/cycle {SAR} {ADC} With Background Offset Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3051--3063}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3011753}, doi = {10.1109/JSSC.2020.3011753}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiZLZYS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiangWL20, author = {Zhen{-}Yu Liang and Hao{-}Hsuan Wei and Tsung{-}Te Liu}, title = {A Wide-Range Variation-Resilient Physically Unclonable Function in 28 nm}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {817--825}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2942374}, doi = {10.1109/JSSC.2019.2942374}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiangWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiaoQLHHYSLCXW20, author = {Qiwen Liao and Nan Qi and Miaofeng Li and Shang Hu and Jian He and Bozhi Yin and Jingbo Shi and Jian Liu and Patrick Yin Chiang and Xi Xiao and Nanjian Wu}, title = {A 50-Gb/s {PAM4} Si-Photonic Transmitter With Digital-Assisted Distributed Driver and Integrated {CDR} in 40-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1282--1296}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967560}, doi = {10.1109/JSSC.2020.2967560}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiaoQLHHYSLCXW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiaoZDCW20, author = {Dongyi Liao and Yucai Zhang and Fa Foster Dai and Zhenqi Chen and Yanjie Wang}, title = {An mm-Wave Synthesizer With Robust Locking Reference-Sampling {PLL} and Wide-Range Injection-Locked {VCO}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {536--546}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959513}, doi = {10.1109/JSSC.2019.2959513}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiaoZDCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimTARDG20, author = {Jaemyung Lim and Coskun Tekes and Evren F. Arkan and Ahmad Rezvanitabar and F. Levent Degertekin and Maysam Ghovanloo}, title = {Highly Integrated Guidewire Ultrasound Imaging System-on-a-Chip}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1310--1323}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967551}, doi = {10.1109/JSSC.2020.2967551}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimTARDG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinHTTHCHHCGFRL20, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Ching{-}Fang Chen and Wen{-}Hung Huang and Chi{-}Wei Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7-nm 4-GHz Arm{\({^1}\)}-Core-Based CoWoS{\({^1}\)} Chiplet Design for High-Performance Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {956--966}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960207}, doi = {10.1109/JSSC.2019.2960207}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinHTTHCHHCGFRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinJA20, author = {Longyang Lin and Saurabh Jain and Massimo Alioto}, title = {Integrated Power Management for Battery-Indifferent Systems With Ultra-Wide Adaptation Down to nW}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {967--976}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959742}, doi = {10.1109/JSSC.2019.2959742}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinJA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuBDDKLMAPC20, author = {Renzhi Liu and K. T. Asma Beevi and Richard Dorrance and Deepak Dasalukunte and Vinod Kristem and Mario A. Santana Lopez and Alexander W. Min and Shahrnaz Azizi and Minyoung Park and Brent R. Carlton}, title = {An 802.11ba-Based Wake-Up Radio Receiver With Wi-Fi Transceiver Integration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1151--1164}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2957651}, doi = {10.1109/JSSC.2019.2957651}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuBDDKLMAPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuL20, author = {Xiaolong Liu and Howard C. Luong}, title = {A Fully Integrated 0.27-THz Injection-Locked Frequency Synthesizer With Frequency-Tracking Loop in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1051--1063}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2954232}, doi = {10.1109/JSSC.2019.2954232}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuMYSS20, author = {Kunyang Liu and Yue Min and Xuan Yang and Hanfeng Sun and Hirofumi Shinohara}, title = {A 373-F\({}^{\mbox{2}}\) 0.21{\%}-Native-BER {EE} {SRAM} Physically Unclonable Function With 2-D Power-Gated Bit Cells and {\textdollar}\{V\}{\_}\{{\textbackslash}text\{SS\}\}{\textdollar} Bias-Based Dark-Bit Detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1719--1732}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2963002}, doi = {10.1109/JSSC.2019.2963002}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuMYSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuNP20, author = {Wen{-}Chuen Liu and Pei Han Ng and Robert C. N. Pilawa{-}Podgurski}, title = {A Three-Level Boost Converter With Full-Range Auto-Capacitor-Compensation Pulse Frequency Modulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {744--755}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959509}, doi = {10.1109/JSSC.2019.2959509}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuNP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuTZSS20, author = {Jiaxin Liu and Xiyuan Tang and Wenda Zhao and Linxiao Shen and Nan Sun}, title = {A 13-bit 0.005-mm\({}^{\mbox{2}}\) 40-MS/s {SAR} {ADC} With kT/C Noise Cancellation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3260--3270}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3016656}, doi = {10.1109/JSSC.2020.3016656}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuTZSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LuCWS20, author = {Xuyang Lu and ChandraKanth Reddy Chappidi and Xue Wu and Kaushik Sengupta}, title = {Antenna Preprocessing and Element-Pattern Shaping for Multi-Band mmWave Arrays: Multi-Port Receivers and Antennas}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1455--1470}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967544}, doi = {10.1109/JSSC.2020.2967544}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LuCWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LuoLTH20, author = {Yuxuan Luo and Yida Li and Aaron Voon{-}Yew Thean and Chun{-}Huat Heng}, title = {An 8.2- {\textdollar}{\textbackslash}mu{\textdollar} {W} 0.14-mm\({}^{\mbox{2}}\) 16-Channel CDMA-Like Capacitance-to-Digital Converter}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1361--1373}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2949232}, doi = {10.1109/JSSC.2019.2949232}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LuoLTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LyuT20, author = {Yifan Lyu and Filip Tavernier}, title = {A 4-GS/s 39.9-dB {SNDR} 11.7-mW Hybrid Voltage-Time Two-Step {ADC} With Feedforward Ring Oscillator-Based TDCs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1807--1818}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987699}, doi = {10.1109/JSSC.2020.2987699}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LyuT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaDCWZWQLC20, author = {Taikun Ma and Wei Deng and Zipeng Chen and Jianxi Wu and Wei Zheng and Shufu Wang and Nan Qi and Yibo Liu and Baoyong Chi}, title = {A {CMOS} 76-81-GHz 2-TX 3-RX {FMCW} Radar Transceiver Based on Mixed-Mode {PLL} Chirp Generator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {233--248}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2950184}, doi = {10.1109/JSSC.2019.2950184}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaDCWZWQLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaLFLW20, author = {Song Ma and Liyuan Liu and Tong Fang and Jian Liu and Nanjian Wu}, title = {A Discrete-Time Audio {\(\Delta\)}{\(\Sigma\)} Modulator Using Dynamic Amplifier With Speed Enhancement and Flicker Noise Reduction Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {333--343}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2941540}, doi = {10.1109/JSSC.2019.2941540}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaLFLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MadoglioPATHSPM20, author = {Paolo Madoglio and Yorgos Palaskas and J{\"{o}}rn Angel and Jakob M. Tomasik and Sven Hampel and Petra Schubert and Peter Preyler and Thomas Mayer and Thomas Bauernfeind and Peter Plechinger and Ashoke Ravi and Ofir Degani and Rotem Banin and Eshel Gordon and Dimo Martev and Timo Gossmann and Andreas Holm and Zdravko Boos}, title = {A Cellular Multiband DTC-Based Digital Polar Transmitter With -153-dBc/Hz Noise in 14-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1830--1841}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987698}, doi = {10.1109/JSSC.2020.2987698}, timestamp = {Fri, 04 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/MadoglioPATHSPM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaengSJPPK20, author = {Junyoung Maeng and Minseob Shim and Junwon Jeong and Inho Park and Yunsoo Park and Chulwoo Kim}, title = {A Sub-fs-FoM Digital {LDO} Using {PMOS} and {NMOS} Arrays With Fully Integrated 7.2-pF Total Capacitance}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1624--1636}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952132}, doi = {10.1109/JSSC.2019.2952132}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaengSJPPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaghamiPMZZGDMF20, author = {Hamidreza Maghami and Pedram Payandehnia and Hossein Mirzaie and Ramin Zanbaghi and Hossein Zareie and Justin B. Goins and Siladitya Dey and Kartikeya Mayaram and Terri S. Fiez}, title = {A Highly Linear OTA-Less 1-1 {MASH} VCO-Based {\(\Delta\)}{\(\Sigma\)} {ADC} With an Efficient Phase Quantization Noise Extraction Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {706--718}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2954764}, doi = {10.1109/JSSC.2019.2954764}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/MaghamiPMZZGDMF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MangalK20, author = {Vivek Mangal and Peter R. Kinget}, title = {Clockless, Continuous-Time Analog Correlator Using Time-Encoded Signal Processing Demonstrating Asynchronous {CDMA} for Wake-Up Receivers}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2069--2081}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2980526}, doi = {10.1109/JSSC.2020.2980526}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MangalK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/McLaughlinXS20, author = {Prescott H. McLaughlin and Ziyu Xia and Jason T. Stauth}, title = {A Monolithic Resonant Switched-Capacitor Voltage Regulator With Dual-Phase Merged-LC Resonator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3179--3188}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3023884}, doi = {10.1109/JSSC.2020.3023884}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/McLaughlinXS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MehtaLYMS20, author = {Nandish Mehta and Sen Lin and Bozhi Yin and Sajjad Moazeni and Vladimir Stojanovic}, title = {A Laser-Forwarded Coherent Transceiver in 45-nm {SOI} {CMOS} Using Monolithic Microring Resonators}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1096--1107}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2968764}, doi = {10.1109/JSSC.2020.2968764}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MehtaLYMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MikiNSMOAWSK20, author = {Takuji Miki and Makoto Nagata and Hiroki Sonoda and Noriyuki Miura and Takaaki Okidono and Yuuki Araga and Naoya Watanabe and Haruo Shimamoto and Katsuya Kikuchi}, title = {Si-Backside Protection Circuits Against Physical Security Attacks on Flip-Chip Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2747--2755}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005779}, doi = {10.1109/JSSC.2020.3005779}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MikiNSMOAWSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MohamadYB20, author = {Saqib Mohamad and Jie Yuan and Amine Bermak}, title = {A 102.2-dB, 181.1-dB FoM Extended Counting Analog-to-Digital Converter With Capacitor Scaling}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1351--1360}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2950180}, doi = {10.1109/JSSC.2019.2950180}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MohamadYB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MohseniC20, author = {Pedram Mohseni and Edoardo Charbon}, title = {Introduction to the Special Issue on the 2020 {IEEE} International Solid-State Circuits Conference {(ISSCC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2847--2848}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3024381}, doi = {10.1109/JSSC.2020.3024381}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/MohseniC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MondalH20, author = {Somok Mondal and Drew A. Hall}, title = {A 13.9-nA {ECG} Amplifier Achieving 0.86/0.99 {NEF/PEF} Using AC-Coupled OTA-Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {414--425}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2957193}, doi = {10.1109/JSSC.2019.2957193}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MondalH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MondalP20, author = {Susnata Mondal and Jeyanandh Paramesh}, title = {Power-Efficient Design Techniques for mm-Wave Hybrid/Digital FDD/Full-Duplex {MIMO} Transceivers}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2011--2026}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987691}, doi = {10.1109/JSSC.2020.2987691}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MondalP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NagataniWYOMIHN20, author = {Munehiko Nagatani and Hitoshi Wakita and Hiroshi Yamazaki and Yoshihiro Ogiso and Miwa Mutoh and Minoru Ida and Fukutaro Hamaoka and Masanori Nakamura and Takayuki Kobayashi and Yutaka Miyamoto and Hideyuki Nosaka}, title = {A Beyond-1-Tb/s Coherent Optical Transmitter Front-End Based on 110-GHz-Bandwidth 2: 1 Analog Multiplexer in 250-nm InP {DHBT}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2301--2315}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2989579}, doi = {10.1109/JSSC.2020.2989579}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NagataniWYOMIHN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NaguluCZK20, author = {Aravind Nagulu and Tingjun Chen and Gil Zussman and Harish Krishnaswamy}, title = {Multi-Watt, 1-GHz {CMOS} Circulator Based on Switched-Capacitor Clock Boosting}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3308--3321}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3022813}, doi = {10.1109/JSSC.2020.3022813}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/NaguluCZK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NamC20, author = {Jae{-}Won Nam and Mike Shuo{-}Wei Chen}, title = {A 12.8-Gbaud ADC-Based Wireline Receiver With Embedded {IIR} Equalizer}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {557--566}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2956395}, doi = {10.1109/JSSC.2019.2956395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NamC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NazariJH20, author = {Peyman Nazari and Saman Jafarlou and Payam Heydari}, title = {A {CMOS} Two-Element 170-GHz Fundamental-Frequency Transmitter With Direct {RF-8PSK} Modulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {282--297}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2945263}, doi = {10.1109/JSSC.2019.2945263}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NazariJH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NguyenW20, author = {Huy Thong Nguyen and Hua Wang}, title = {A Coupler-Based Differential mm-Wave Doherty Power Amplifier With Impedance Inverting and Scaling Baluns}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1212--1223}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2970708}, doi = {10.1109/JSSC.2020.2970708}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NguyenW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NingFHB20, author = {Kang Ning and Yihao Fang and Navid Hosseinzadeh and James F. Buckwalter}, title = {A 30-GHz {CMOS} {SOI} Outphasing Power Amplifier With Current Mode Combining for High Backoff Efficiency and Constant Envelope Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1411--1421}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2949255}, doi = {10.1109/JSSC.2019.2949255}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NingFHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NurmetovFMDSKB20, author = {Umidjon Nurmetov and Tobias Fritz and Ernst M{\"{u}}llner and Christopher M. Dougherty and Michael Szelong and Franz Kreupl and Ralf Brederlow}, title = {A {CMOS} Temperature Stabilized 2-D Mechanical Stress Sensor With 11-bit Resolution}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {846--855}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967554}, doi = {10.1109/JSSC.2020.2967554}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NurmetovFMDSKB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OuhSJ20, author = {Hyunkyu Ouh and Boyu Shen and Matthew L. Johnston}, title = {Combined In-Pixel Linear and Single-Photon Avalanche Diode Operation With Integrated Biasing for Wide-Dynamic-Range Optical Sensing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {392--403}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2944856}, doi = {10.1109/JSSC.2019.2944856}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OuhSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PangLKLWWYFSNAM20, author = {Jian Pang and Zheng Li and Ryo Kubozoe and Xueting Luo and Rui Wu and Yun Wang and Dongwon You and Ashbir Aviat Fadila and Rattanan Saengchan and Takeshi Nakamura and Joshua Alvin and Daiki Matsumoto and Bangan Liu and Aravind Tharayil Narayanan and Junjun Qiu and Hanli Liu and Zheng Sun and Hongye Huang and Korkut Kaan Tokgoz and Keiichi Motoi and Naoki Oshima and Shinichi Hori and Kazuaki Kunihiro and Tomoya Kaneko and Atsushi Shirane and Kenichi Okada}, title = {A 28-GHz {CMOS} Phased-Array Beamformer Utilizing Neutralized Bi-Directional Technique Supporting Dual-Polarized {MIMO} for 5G {NR}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2371--2386}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2995039}, doi = {10.1109/JSSC.2020.2995039}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/PangLKLWWYFSNAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkJLKKK20, author = {Jungwoon Park and Junyoung Jang and Geunhaeng Lee and Hyunmin Koh and Changhwan Kim and Tae Wook Kim}, title = {A Time Domain Artificial Intelligence Radar System Using 33-GHz Direct Sampling for Hand Gesture Recognition}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {879--888}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967547}, doi = {10.1109/JSSC.2020.2967547}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkJLKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkJPPCC20, author = {Injun Park and Woojin Jo and Chanmin Park and Byungchoul Park and Jimin Cheon and Youngcheol Chae}, title = {A 640 {\textdollar}{\textbackslash}times{\textdollar} 640 Fully Dynamic {CMOS} Image Sensor for Always-On Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {898--907}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959486}, doi = {10.1109/JSSC.2019.2959486}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkJPPCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLJ20, author = {Jeongwoo Park and Juyun Lee and Dongsuk Jeon}, title = {A 65-nm Neuromorphic Image Classification Processor With Energy-Efficient Training Through Direct Spike-Only Feedback}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {108--119}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2942367}, doi = {10.1109/JSSC.2019.2942367}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkMSJK20, author = {Inho Park and Junyoung Maeng and Minseob Shim and Junwon Jeong and Chulwoo Kim}, title = {A High-Voltage Dual-Input Buck Converter Achieving 52.9{\%} Maximum End-to-End Efficiency for Triboelectric Energy-Harvesting Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1324--1336}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2942370}, doi = {10.1109/JSSC.2019.2942370}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkMSJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkPFGTRXZAWBC20, author = {Dong{-}Hyeon Park and Subhankar Pal and Siying Feng and Paul Gao and Jielun Tan and Austin Rovinski and Shaolin Xie and Chun Zhao and Aporva Amarnath and Timothy Wesley and Jonathan Beaumont and Kuan{-}Yu Chen and Chaitali Chakrabarti and Michael Bedford Taylor and Trevor N. Mudge and David T. Blaauw and Hun{-}Seok Kim and Ronald G. Dreslinski}, title = {A 7.3 {M} Output Non-Zeros/J, 11.7 {M} Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {933--944}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960480}, doi = {10.1109/JSSC.2019.2960480}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkPFGTRXZAWBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSCLAK20, author = {Yunsoo Park and Jaegeun Song and Yohan Choi and Chaegang Lim and Soonsung Ahn and Chulwoo Kim}, title = {An 11-b 100-MS/s Fully Dynamic Pipelined {ADC} Using a High-Linearity Dynamic Amplifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2468--2477}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987684}, doi = {10.1109/JSSC.2020.2987684}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkSCLAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkTZNGYY20, author = {Jeong Hoan Park and Tao Tang and Lian Zhang and Kian Ann Ng and Gil Gerald Lasam Gammad and Shih{-}Cheng Yen and Jerald Yoo}, title = {A 15-Channel Orthogonal Code Chopping Instrumentation Amplifier for Area-Efficient, Low-Mismatch Bio-Signal Acquisition}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2771--2780}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991542}, doi = {10.1109/JSSC.2020.2991542}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkTZNGYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PazhouhandehCVG20, author = {Mohammad Reza Pazhouhandeh and Michael Chang and Taufik A. Valiante and Roman Genov}, title = {Track-and-Zoom Neural Analog-to-Digital Converter With Blind Stimulation Artifact Rejection}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1984--1997}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991526}, doi = {10.1109/JSSC.2020.2991526}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PazhouhandehCVG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PelecijnS20, author = {Elly De Pelecijn and Michiel S. J. Steyaert}, title = {Stacking Isolated {SC} Cores for High-Voltage Wide Input Range Monolithic {DC-DC} Conversion}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2639--2648}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005795}, doi = {10.1109/JSSC.2020.3005795}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PelecijnS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PengLZYW20, author = {Guiqiang Peng and Leibo Liu and Sheng Zhou and Shouyi Yin and Shaojun Wei}, title = {A 2.92-Gb/s/W and 0.43-Gb/s/MG Flexible and Scalable CGRA-Based Baseband Processor for Massive {MIMO} Detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {505--519}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952839}, doi = {10.1109/JSSC.2019.2952839}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/PengLZYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PerezSBDD20, author = {Miguel E. Perez and Michael A. Sperling and John F. Bulzacchelli and Zeynep Toprak Deniz and Timothy E. Diemoz}, title = {Distributed Network of {LDO} Microregulators Providing Submicrosecond {DVFS} and {IR} Drop Compensation for a 24-Core Microprocessor in 14-nm {SOI} {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {731--743}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2956413}, doi = {10.1109/JSSC.2019.2956413}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PerezSBDD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PfaffAWMMS20, author = {Dirk Pfaff and Robert Abbott and Xin{-}Jie Wang and Shahaboddin Moazzeni and Ralph Mason and Raleigh Smith}, title = {A 14-GHz Bang-Bang Digital {PLL} With Sub-150-fs Integrated Jitter for Wireline Applications in 7-nm FinFET {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {580--591}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2946134}, doi = {10.1109/JSSC.2019.2946134}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PfaffAWMMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PiniMC20, author = {Giacomo Pini and Danilo Manstretta and Rinaldo Castello}, title = {Analysis and Design of a 260-MHz {RF} Bandwidth +22-dBm {OOB-IIP3} Mixer-First Receiver With Third-Order Current-Mode Filtering {TIA}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1819--1829}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987715}, doi = {10.1109/JSSC.2020.2987715}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PiniMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PisatiMBGMCBPNG20, author = {Matteo Pisati and Alberto Minuti and Giacomino Bollati and Fabio Giunco and Roberto G. Massolini and Giovanni Cesura and Fernando De Bernardinis and Paolo Pascale and Claudio Nani and Nicola Ghittori and Enrico Pozzati and Marco Sosio and Marco Garampazzi and Antonio Milani}, title = {A 243-mW 1.25-56-Gb/s Continuous Range {PAM-4} 42.5-dB {IL} ADC/DAC-Based Transceiver in 7-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {6--18}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2936307}, doi = {10.1109/JSSC.2019.2936307}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PisatiMBGMCBPNG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PraveenK20, author = {M. V. Praveen and Nagendra Krishnapura}, title = {High Linearity Transmit Power Mixers Using Baseband Current Feedback}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {272--281}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2945962}, doi = {10.1109/JSSC.2019.2945962}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PraveenK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PurushothamanKC20, author = {Vijaya Kumar Purushothaman and Eric A. M. Klumperink and Berta Trullas Clavera and Bram Nauta}, title = {A Fully Passive {RF} Front End With 13-dB Gain Exploiting Implicit Capacitive Stacking in a Bottom-Plate N-Path Filter/Mixer}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1139--1150}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959489}, doi = {10.1109/JSSC.2019.2959489}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PurushothamanKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/QiJJSMO20, author = {Liang Qi and Ankesh Jain and Dongyang Jiang and Sai{-}Weng Sin and Rui Paulo Martins and Maurits Ortmanns}, title = {A 76.6-dB-SNDR 50-MHz-BW 29.2-mW Multi-Bit {CT} Sturdy {MASH} With {DAC} Non-Linearity Tolerance}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {344--355}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2942359}, doi = {10.1109/JSSC.2019.2942359}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/QiJJSMO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/QiSMYM20, author = {Gengzhen Qi and Haijun Shao and Pui{-}In Mak and Jun Yin and Rui Paulo Martins}, title = {A Multiband {FDD} SAW-Less Transmitter for 5G-NR Featuring a BW-Extended N-Path Filter-Modulator, a Switched-BB Input, and a Wideband TIA-Based {PA} Driver}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3387--3399}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021687}, doi = {10.1109/JSSC.2020.3021687}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/QiSMYM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/QianSZL20, author = {Huizhen Jenny Qian and Yiyang Shu and Jie Zhou and Xun Luo}, title = {A 20-32-GHz Quadrature Digital Transmitter Using Synthesized Impedance Variation Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1297--1309}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2964411}, doi = {10.1109/JSSC.2020.2964411}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/QianSZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RabetA20, author = {Bagher Rabet and Peter M. Asbeck}, title = {A 28 GHz Single-Input Linear Chireix {(SILC)} Power Amplifier in 130 nm SiGe Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1482--1490}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967542}, doi = {10.1109/JSSC.2020.2967542}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RabetA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RahmanPS20, author = {Fahim ur Rahman and Rajesh Pamula and Visvesh S. Sathe}, title = {Computationally Enabled Minimum Total Energy Tracking for a Performance Regulated Sub-Threshold Microprocessor in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {494--504}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2956884}, doi = {10.1109/JSSC.2019.2956884}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RahmanPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RajFCAMHBCGEC20, author = {Mayank Raj and Yohan Frans and Ping{-}Chuan Chiang and Sai Lalith Chaitanya Ambatipudi and David Mahashin and Peter De Heyn and Sadhishkumar Balakrishnan and Joris Van Campenhout and Jimmy Grayson and Marc Epitaux and Ken Chang}, title = {Design of a 50-Gb/s Hybrid Integrated Si-Photonic Optical Link in 16-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1086--1095}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960487}, doi = {10.1109/JSSC.2019.2960487}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RajFCAMHBCGEC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RamachandranCMA20, author = {Ashwin Ramachandran and Yusang Chun and Mohamed Megahed and Tejasvi Anand}, title = {An iPWM Line-Coding-Based Wireline Transceiver With Clock -Domain Encoding for Compensating Up To 27-dB Loss While Operating at 0.5-to-0.9 {V} and 3-to-16 Gb/s in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1946--1959}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2973640}, doi = {10.1109/JSSC.2020.2973640}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RamachandranCMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RamkajRPSVT20, author = {Athanasios T. Ramkaj and Juan Carlos Pena Ramos and Marcel J. M. Pelgrom and Michiel S. J. Steyaert and Marian Verhelst and Filip Tavernier}, title = {A 5-GS/s 158.6-mW 9.4-ENOB Passive-Sampling Time-Interleaved Three-Stage Pipelined-SAR {ADC} With Analog-Digital Corrections in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1553--1564}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960476}, doi = {10.1109/JSSC.2019.2960476}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/RamkajRPSVT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RenukaswamyMWC20, author = {Pratap Tumkur Renukaswamy and Nereo Markulic and Piet Wambacq and Jan Craninckx}, title = {A 12-mW 10-GHz {FMCW} {PLL} Based on an Integrating {DAC} With 28-kHz RMS-Frequency-Error for 23-MHz/{\(\mu\)}s Slope and 1.2-GHz Chirp-Bandwidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3294--3307}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021311}, doi = {10.1109/JSSC.2020.3021311}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/RenukaswamyMWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RheePK20, author = {Cyuyeol Rhee and Junyoung Park and Suhwan Kim}, title = {A 0.3 lx-1.4 Mlx Monolithic Silicon Nanowire Light-to-Digital Converter With Temperature-Independent Offset Cancellation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {378--391}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2949257}, doi = {10.1109/JSSC.2019.2949257}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RheePK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RoccaMHABTLGDH20, author = {Francesco Mattioli Della Rocca and Hanning Mai and Sam W. Hutchings and Tarek Al Abbas and Kasper Buckbee and Andreas Tsiamis and Peter Lomax and Istv{\'{a}}n Gy{\"{o}}ngy and Neale A. W. Dutton and Robert K. Henderson}, title = {A 128 {\texttimes} 128 {SPAD} Motion-Triggered Time-of-Flight Image Sensor With In-Pixel Histogram and Column-Parallel Vision Processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1762--1775}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2993722}, doi = {10.1109/JSSC.2020.2993722}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/RoccaMHABTLGDH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RuffinoPSBC20, author = {Andrea Ruffino and Yatao Peng and Fabio Sebastiano and Masoud Babaie and Edoardo Charbon}, title = {A Wideband Low-Power Cryogenic {CMOS} Circulator for Quantum Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1224--1238}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2978020}, doi = {10.1109/JSSC.2020.2978020}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RuffinoPSBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SaccoVG20, author = {Elisa Sacco and Johan Vergauwen and Georges G. E. Gielen}, title = {A 16.1-bit Resolution 0.064-mm\({}^{\mbox{2}}\) Compact Highly Digital Closed-Loop Single-VCO-Based 1-1 Sturdy-MASH Resistance-to-Digital Converter With High Robustness in 180-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2456--2467}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987692}, doi = {10.1109/JSSC.2020.2987692}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SaccoVG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SadhuVPAGFYSGBL20, author = {Bodhisatwa Sadhu and Alberto Valdes{-}Garcia and Jean{-}Olivier Plouchart and Herschel A. Ainspan and Arpit K. Gupta and Mark A. Ferriss and Mark Yeck and Mihai Sanduleanu and Xiaoxiong Gu and Christian W. Baks and Duixian Liu and Daniel J. Friedman}, title = {A 250-mW 60-GHz {CMOS} Transceiver SoC Integrated With a Four-Element AiP Providing Broad Angular Link Coverage}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1516--1529}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2943918}, doi = {10.1109/JSSC.2019.2943918}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SadhuVPAGFYSGBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SanticcioliMBPC20, author = {Alessio Santiccioli and Mario Mercandelli and Luca Bertulessi and Angelo Parisi and Dmytro Cherniak and Andrea L. Lacaita and Carlo Samori and Salvatore Levantino}, title = {A 66-fs-rms Jitter 12.8-to-15.2-GHz Fractional-N Bang-Bang {PLL} With Digital Frequency-Error Recovery for Fast Locking}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3349--3361}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3019344}, doi = {10.1109/JSSC.2020.3019344}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SanticcioliMBPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SasikanthHHW20, author = {Mannem Naga Sasikanth and Min{-}Yu Huang and Tzu{-}Yuan Huang and Hua Wang}, title = {A Reconfigurable Hybrid Series/Parallel Doherty Power Amplifier With Antenna {VSWR} Resilient Performance for {MIMO} Arrays}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3335--3348}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3022617}, doi = {10.1109/JSSC.2020.3022617}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SasikanthHHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SayalNFK20, author = {Aseem Sayal and S. S. Teja Nibhanupudi and Shirin Fathima and Jaydeep P. Kulkarni}, title = {A 12.08-TOPS/W All-Digital Time-Domain {CNN} Engine Using Bi-Directional Memory Delay Lines for Energy Efficient Edge Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {60--75}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2939888}, doi = {10.1109/JSSC.2019.2939888}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SayalNFK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoJKKR20, author = {Min{-}Jae Seo and Dong{-}Hwan Jin and Ye{-}Dam Kim and Jong{-}Pal Kim and Seung{-}Tak Ryu}, title = {A Single-Supply CDAC-Based Buffer-Embedding {SAR} {ADC} With Skip-Reset Scheme Having Inherent Chopping Capability}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2660--2669}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3006450}, doi = {10.1109/JSSC.2020.3006450}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SeoJKKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShanDWLSSY20, author = {Weiwei Shan and Wentao Dai and Liang Wan and Minyi Lu and Longxing Shi and Mingoo Seok and Jun Yang}, title = {A Bi-Directional, Zero-Latency Adaptive Clocking Circuit in a 28-nm Wide {AVFS} System}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {826--836}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959494}, doi = {10.1109/JSSC.2019.2959494}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShanDWLSSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShanDZCLYS20, author = {Weiwei Shan and Wentao Dai and Chuan Zhang and Hao Cai and Peiye Liu and Jun Yang and Longxing Shi}, title = {{TG-SPP:} {A} One-Transmission-Gate Short-Path Padding for Wide-Voltage-Range Resilient Circuits in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1422--1436}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948164}, doi = {10.1109/JSSC.2019.2948164}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShanDZCLYS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShanZXLSY20, author = {Weiwei Shan and Shuai Zhang and Jiaming Xu and Minyi Lu and Longxing Shi and Jun Yang}, title = {Machine Learning Assisted Side-Channel-Attack Countermeasure and Its Application on a 28-nm {AES} Circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {794--804}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953855}, doi = {10.1109/JSSC.2019.2953855}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ShanZXLSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SharmaN20, author = {Prateek Kumar Sharma and Nagarjuna Nallam}, title = {Breaking the Performance Tradeoffs in N-Path Mixer-First Receivers Using a Second-Order Baseband Noise-Canceling {TIA}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3009--3023}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005776}, doi = {10.1109/JSSC.2020.3005776}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SharmaN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShenTSZXLZSS20, author = {Yi Shen and Xiyuan Tang and Linxiao Shen and Wenda Zhao and Xin Xin and Shubin Liu and Zhangming Zhu and Visvesh Sathe and Nan Sun}, title = {A 10-bit 120-MS/s {SAR} {ADC} With Reference Ripple Cancellation Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {680--692}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2946215}, doi = {10.1109/JSSC.2019.2946215}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShenTSZXLZSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShibataKSSYHDSN20, author = {Noboru Shibata and Takahisa Kawabe and Taira Shibuya and Mario Sako and Kosuke Yanagidaira and Toshifumi Hashimoto and Hiroki Date and Manabu Sato and Tomoki Nakagawa and Junji Musha and Takatoshi Minamoto and Kazushige Kanda and Mizuki Uda and Dai Nakamura and Katsuaki Sakurai and Takahiro Yamashita and Jieyun Zhou and Ryoichi Tachibana and Teruo Takagiwa and Takahiro Sugimoto and Masatsugu Ogawa and Yusuke Ochi and Takahiro Shimizu and Kazuaki Kawaguchi and Masatsugu Kojima and Takeshi Ogawa and Tomoharu Hashiguchi and Ryo Fukuda and Masami Masuda and Koichi Kawakami and Tadashi Someya and Yasuyuki Kajitani and Yuuki Matsumoto and Jun Nakai and Jumpei Sato and Namasivayam Raghunathan and Yee Lih Koh and Shuo Chen and Juan Lee and Hiroaki Nasu and Hiroshi Sugawara and Koji Hosono and Toshiki Hisada and Hiroshi Nakamura and Osamu Nagao and Naoki Kobayashi and Makoto Miakashi and Yasushi Nagadomi and Tomoaki Nakano}, title = {A 1.33-Tb 4-Bit/Cell 3-D Flash Memory on a 96-Word-Line-Layer Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {178--188}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2941758}, doi = {10.1109/JSSC.2019.2941758}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShibataKSSYHDSN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShinCJLC20, author = {Se{-}Un Shin and Minseong Choi and Seungchul Jung and Hyung{-}Min Lee and Gyu{-}Hyeong Cho}, title = {A Time-Interleaved Resonant Voltage Mode Wireless Power Receiver With Delay-Based Tracking Loops for Implantable Medical Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1374--1385}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2947237}, doi = {10.1109/JSSC.2019.2947237}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShinCJLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiLYLHTLCCTHWCW20, author = {Xin Si and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun}, title = {A Twin-8T {SRAM} Computation-in-Memory Unit-Macro for Multibit CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {189--202}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952773}, doi = {10.1109/JSSC.2019.2952773}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiLYLHTLCCTHWCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SinghKCMRDM20, author = {Arvind Singh and Monodeep Kar and Venkata Chaitanya Krishna Chekuri and Sanu K. Mathew and Anand Rajan and Vivek De and Saibal Mukhopadhyay}, title = {Enhanced Power and Electromagnetic {SCA} Resistance of Encryption Engines via a Security-Aware Integrated All-Digital {LDO}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {478--493}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2945944}, doi = {10.1109/JSSC.2019.2945944}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SinghKCMRDM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongCZM20, author = {Yan Song and Chi{-}Hang Chan and Yan Zhu and Rui Paulo Martins}, title = {A 12.5-MHz Bandwidth 77-dB {SNDR} SAR-Assisted Noise Shaping Pipeline {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {312--321}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2944842}, doi = {10.1109/JSSC.2019.2944842}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongCZM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongLZRW20, author = {Haixin Song and Dang Liu and Yining Zhang and Woogeun Rhee and Zhihua Wang}, title = {A 6.5-8.1-GHz Communication/Ranging {VWB} Transceiver for Secure Wireless Connectivity With Enhanced Bandwidth Efficiency and {\(\Delta\)}{\(\Sigma\)} Energy Detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {219--232}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953828}, doi = {10.1109/JSSC.2019.2953828}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SongLZRW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/StandaertR20, author = {Alexander Standaert and Patrick Reynaert}, title = {A 390-GHz Outphasing Transmitter in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2703--2713}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3006433}, doi = {10.1109/JSSC.2020.3006433}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/StandaertR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SunG20, author = {Nan Sun and Qun Jane Gu}, title = {Guest Editorial 2019 Custom Integrated Circuits Conference}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {523--524}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2961757}, doi = {10.1109/JSSC.2019.2961757}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SunG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SunSHAM20, author = {Hyuk Sun and Kazuki Sobue and Koichi Hamashita and Tejasvi Anand and Un{-}Ku Moon}, title = {A 951-fs\({}_{\mbox{rms}}\) Period Jitter 3.2{\%} Modulation Range in-Band Modulation Spread-Spectrum Clock Generator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {426--438}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952852}, doi = {10.1109/JSSC.2019.2952852}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SunSHAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuzukiAT20, author = {Shun Suzuki and Hiroki Aihara and Ken Takeuchi}, title = {Privacy Protection {NAND} Flash System With Flexible Data-Lifetime Control by In-3-D Vertical Cell Processing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2802--2809}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2992904}, doi = {10.1109/JSSC.2020.2992904}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuzukiAT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TajalliPCCGGGHH20, author = {Armin Tajalli and Mani Bastani Parizi and Dario Albino Carnelli and Chen Cao and Kiarash Gharibdoust and Davide Gorret and Amit Gupta and Christopher Hall and Ahmed Hassanin and Klaas L. Hofstra and Brian Holden and Ali Hormati and John Keay and Yohann Mogentale and Victor Perrin and John Phillips and Sumathi Raparthy and Amin Shokrollahi and David Stauffer and Richard Simpson and Andrew Stewart and Giuseppe Surace and Omid Talebi Amiri and Emanuele Truffa and Anton Tschank and Roger Ulrich and Christoph Walter and Anant Singh}, title = {A 1.02-pJ/b 20.83-Gb/s/Wire {USR} Transceiver Using {CNRZ-5} in 16-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1108--1123}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2962655}, doi = {10.1109/JSSC.2019.2962655}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TajalliPCCGGGHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TakemotoHYY20, author = {Takashi Takemoto and Masato Hayashi and Chihiro Yoshimura and Masanao Yamaoka}, title = {A 2{\texttimes} 30k-Spin Multi-Chip Scalable {CMOS} Annealing Processor Based on a Processing-in-Memory Approach for Solving Large-Scale Combinatorial Optimization Problems}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {145--156}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2949230}, doi = {10.1109/JSSC.2019.2949230}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TakemotoHYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TakeuchiG20, author = {Ken Takeuchi and Brian P. Ginsburg}, title = {Introduction to the Special Issue on the 2019 Symposium on {VLSI} Circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {843--845}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2976335}, doi = {10.1109/JSSC.2020.2976335}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TakeuchiG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TamuraTNFASSNSE20, author = {Masahisa Tamura and Hideyuki Takano and Hironori Nakahara and Hiroaki Fujita and Naoya Arisaka and Satoru Shinke and Norihito Suzuki and Yutaka Nakada and Yusuke Shinohe and Shinichirou Etou and Tetsuya Fujiwara and Fumitaka Kondo and Ken Yamamoto and Tomohiro Matsumoto and Yasushi Katayama}, title = {A 0.5-V {BLE} Transceiver With a 1.9-mW {RX} Achieving -96.4-dBm Sensitivity and -27-dBm Tolerance for Intermodulation From Interferers at 6- and 12-MHz Offsets}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3376--3386}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3025225}, doi = {10.1109/JSSC.2020.3025225}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/TamuraTNFASSNSE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TanKACVMSP20, author = {Mingliang Tan and Eunchul Kang and Jae{-}Sung An and Zu{-}Yao Chang and Philippe Vince and Tony Mateo and Nicolas S{\'{e}}n{\'{e}}gond and Michiel A. P. Pertijs}, title = {A 64-Channel Transmit Beamformer With {\(\pm\)}30-V Bipolar High-Voltage Pulsers for Catheter-Based Ultrasound Probes}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1796--1806}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987719}, doi = {10.1109/JSSC.2020.2987719}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TanKACVMSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangFSYTP20, author = {Zhong Tang and Yun Fang and Zheng Shi and Xiao{-}Peng Yu and Nianxiong Tan and Weiwei Pan}, title = {A 1770-{\(\mathrm{\mu}\)}m\({}^{\mbox{2}}\) Leakage-Based Digital Temperature Sensor With Supply Sensitivity Suppression in 55-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {781--793}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952855}, doi = {10.1109/JSSC.2019.2952855}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangFSYTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangLGCWFYWZ20, author = {Kai Tang and Liheng Lou and Ting Guo and Bo Chen and Yisheng Wang and Zhongyuan Fang and Chuanshi Yang and Wensong Wang and Yuanjin Zheng}, title = {A 4TX/4RX Pulsed Chirping Phased-Array Radar Transceiver in 65-nm {CMOS} for X-Band Synthetic Aperture Radar Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2970--2983}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005809}, doi = {10.1109/JSSC.2020.3005809}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/TangLGCWFYWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangLYSZWLTHPS20, author = {Xiyuan Tang and Shaolan Li and Xiangxing Yang and Linxiao Shen and Wenda Zhao and Randall P. Williams and Jiaxin Liu and Zhichao Tan and Neal A. Hall and David Z. Pan and Nan Sun}, title = {An Energy-Efficient Time-Domain Incremental Zoom Capacitance-to-Digital Converter}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3064--3075}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005812}, doi = {10.1109/JSSC.2020.3005812}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangLYSZWLTHPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangSKYSMPS20, author = {Xiyuan Tang and Linxiao Shen and Begum Kasap and Xiangxing Yang and Wei Shi and Abhishek Mukherjee and David Z. Pan and Nan Sun}, title = {An Energy-Efficient Comparator With Dynamic Floating Inverter Amplifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1011--1022}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960485}, doi = {10.1109/JSSC.2019.2960485}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangSKYSMPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangYZHLSMSLPS20, author = {Xiyuan Tang and Xiangxing Yang and Wenda Zhao and Chen{-}Kai Hsu and Jiaxin Liu and Linxiao Shen and Abhishek Mukherjee and Wei Shi and Shaolan Li and David Z. Pan and Nan Sun}, title = {A 13.5-ENOB, 107-{\(\mu\)}W Noise-Shaping {SAR} {ADC} With PVT-Robust Closed-Loop Dynamic Amplifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3248--3259}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3020194}, doi = {10.1109/JSSC.2020.3020194}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangYZHLSMSLPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TheerthamKBP20, author = {Raviteja Theertham and Prasanth Koottala and Sujith Billa and Shanthi Pavan}, title = {Design Techniques for High-Resolution Continuous-Time Delta-Sigma Converters With Low In-Band Noise Spectral Density}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2429--2442}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2979454}, doi = {10.1109/JSSC.2020.2979454}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TheerthamKBP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ThijssenKQN20, author = {Bart J. Thijssen and Eric A. M. Klumperink and Philip Quinlan and Bram Nauta}, title = {Low-Power Highly Selective Channel Filtering Using a Transconductor-Capacitor Analog {FIR}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1785--1795}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987731}, doi = {10.1109/JSSC.2020.2987731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ThijssenKQN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TsaiZPMCW20, author = {Cheng{-}Hsueh Tsai and Zhiwei Zong and Federico Pepe and Giovanni Mangraviti and Jan Craninckx and Piet Wambacq}, title = {Analysis of a 28-nm {CMOS} Fast-Lock Bang-Bang Digital {PLL} With 220-fs {RMS} Jitter for Millimeter-Wave Communication}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1854--1863}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2993717}, doi = {10.1109/JSSC.2020.2993717}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TsaiZPMCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/UehlinSPPPSR20, author = {John P. Uehlin and William Anthony Smith and Venkata Rajesh Pamula and Eric P. Pepin and Steve I. Perlmutter and Visvesh Sathe and Jacques Christophe Rudell}, title = {A Single-Chip Bidirectional Neural Interface With High-Voltage Stimulation and Adaptive Artifact Cancellation in Standard {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1749--1761}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991524}, doi = {10.1109/JSSC.2020.2991524}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/UehlinSPPPSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/VeniACTB20, author = {Simone Veni and Pietro Andreani and Michele Caruso and Marc Tiebout and Andrea Bevilacqua}, title = {Analysis and Design of a 17-GHz All-npn Push-Pull Class-C {VCO}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2345--2355}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991512}, doi = {10.1109/JSSC.2020.2991512}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/VeniACTB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/VerplaetseLVBRD20, author = {Michiel Verplaetse and Joris Lambrecht and Michael Vanhoecke and Laurens Breyne and Hannes Ramon and Piet Demeester and Guy Torfs}, title = {Analog {I/Q} {FIR} Filter in 55-nm SiGe BiCMOS for 16-QAM Optical Communications at 112 Gb/s}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1935--1945}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987732}, doi = {10.1109/JSSC.2020.2987732}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/VerplaetseLVBRD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Wang20, author = {Hua Wang}, title = {Introduction to the Special Section on the 2019 {IEEE} {BCICTS} Conference}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2299--2300}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3010116}, doi = {10.1109/JSSC.2020.3010116}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Wang20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangCZM20, author = {Wei Wang and Chi{-}Hang Chan and Yan Zhu and Rui Paulo Martins}, title = {A 100-MHz {BW} 72.6-dB-SNDR {CT} {\(\Delta\)}{\(\Sigma\)} Modulator Utilizing Preliminary Sampling and Quantization}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1588--1598}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2978384}, doi = {10.1109/JSSC.2020.2978384}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangCZM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangDSW20, author = {Hechen Wang and Fa Foster Dai and Zhan Su and Yanjie Wang}, title = {Sub-Sampling Direct RF-to-Digital Converter With 1024-APSK Modulation for High Throughput Polar Receiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {1064--1076}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2963589}, doi = {10.1109/JSSC.2019.2963589}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangDSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangHK20, author = {Jia{-}Ching Wang and Tsung{-}Chih Hung and Tai{-}Haur Kuo}, title = {A Calibration-Free 14-b 0.7-mW 100-MS/s Pipelined-SAR {ADC} Using a Weighted- Averaging Correlated Level Shifting Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3271--3280}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3015863}, doi = {10.1109/JSSC.2020.3015863}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WangHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangM20, author = {Xiaoyang Wang and Patrick P. Mercier}, title = {A Dynamically High-Impedance Charge-Pump-Based {LDO} With Digital-LDO-Like Properties Achieving a Sub-4-fs FoM}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {719--730}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960004}, doi = {10.1109/JSSC.2019.2960004}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangSS20, author = {Hongying Wang and Filippo Schembari and Robert Bogdan Staszewski}, title = {An Event-Driven Quasi-Level-Crossing Delta Modulator Based on Residue Quantization}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {298--311}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2950175}, doi = {10.1109/JSSC.2019.2950175}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangWESDBS20, author = {Jingcheng Wang and Xiaowei Wang and Charles Eckert and Arun Subramaniyan and Reetuparna Das and David T. Blaauw and Dennis Sylvester}, title = {A 28-nm Compute {SRAM} With Bit-Serial Logic/Arithmetic Operations for Programmable In-Memory Vector Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {76--86}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2939682}, doi = {10.1109/JSSC.2019.2939682}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangWESDBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangWPYFSFMNKKL20, author = {Yun Wang and Rui Wu and Jian Pang and Dongwon You and Ashbir Aviat Fadila and Rattanan Saengchan and Xi Fu and Daiki Matsumoto and Takeshi Nakamura and Ryo Kubozoe and Masaru Kawabuchi and Bangan Liu and Haosheng Zhang and Junjun Qiu and Hanli Liu and Naoki Oshima and Keiichi Motoi and Shinichi Hori and Kazuaki Kunihiro and Tomoya Kaneko and Atsushi Shirane and Kenichi Okada}, title = {A 39-GHz 64-Element Phased-Array Transceiver With Built-In Phase and Amplitude Calibrations for Large-Array 5G {NR} in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1249--1269}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2980509}, doi = {10.1109/JSSC.2020.2980509}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangWPYFSFMNKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangZYDBM20, author = {Po{-}Han Peter Wang and Chi Zhang and Hongsen Yang and Manideep Dunna and Dinesh Bharadia and Patrick P. Mercier}, title = {A Low-Power Backscatter Modulation System Communicating Across Tens of Meters With Standards-Compliant Wi-Fi Transceivers}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2959--2969}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3023956}, doi = {10.1109/JSSC.2020.3023956}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WangZYDBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WilsonDF20, author = {Charley Wilson and Jacob Dean and Brian A. Floyd}, title = {Mixer-First {MIMO} Receiver With Reconfigurable Multi-Port Decoupling and Matching}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1401--1410}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967552}, doi = {10.1109/JSSC.2020.2967552}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WilsonDF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XiongLTH20, author = {Bo Xiong and Yida Li and Aaron Voon{-}Yew Thean and Chun{-}Huat Heng}, title = {A {\textdollar}7{\textbackslash}times7{\textbackslash}times2{\textdollar} mm\({}^{\mbox{3}}\) 8.6- {\textdollar}{\textbackslash}mu{\textdollar} {W} 500-kb/s Transmitter With Robust Injection-Locking-Based Frequency-to-Amplitude Conversion Receiver Targeting for Implantable Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1698--1708}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2961855}, doi = {10.1109/JSSC.2019.2961855}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XiongLTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Xu20, author = {Hongtao Xu}, title = {Introduction to the Special Section on the 2019 {RFIC} Symposium}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1127}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2982726}, doi = {10.1109/JSSC.2020.2982726}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Xu20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XuHMM20, author = {Yang Xu and Hang Hu and Jason Muhlestein and Un{-}Ku Moon}, title = {A 77-dB-DR 0.65-mW 20-MHz 5th-Order Coupled Source Followers Based Low-Pass Filter}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2810--2818}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3006790}, doi = {10.1109/JSSC.2020.3006790}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XuHMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XuYMSM20, author = {Kai Xu and Jun Yin and Pui{-}In Mak and Robert Bogdan Staszewski and Rui Paulo Martins}, title = {A Single-Pin Antenna Interface {RF} Front End Using a Single-MOS {DCO-PA} and a Push-Pull {LNA}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2055--2068}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991520}, doi = {10.1109/JSSC.2020.2991520}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XuYMSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XueCCKCLKLLHTCC20, author = {Cheng{-}Xin Xue and Ting{-}Wei Chang and Tung{-}Cheng Chang and Hui{-}Yao Kao and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Ya{-}Chin King and Chrong Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Wei{-}Hao Chen and Meng{-}Fan Chang and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Tsung{-}Yuan Huang}, title = {Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {203--215}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951363}, doi = {10.1109/JSSC.2019.2951363}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XueCCKCLKLLHTCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YamadaUJKTSTKOM20, author = {Yutaka Yamada and Masato Uchiyama and Masashi Jobashi and Tomohiro Koizumi and Takanori Tamai and Nobuhiro Sato and Jun Tanabe and Katsuyuki Kimura and Yoshinari Ojima and Ryusuke Murakami and Takashi Yoshikawa and Toru Sano and Yasuki Tanabe and Yutaro Ishigaki and Soichiro Hosoda and Fumihiko Hyuga and Akira Moriya and Ryuji Hada and Atsushi Masuda}, title = {A 20.5 {TOPS} Multicore SoC With {DNN} Accelerator and Image Signal Processor for Automotive Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {120--132}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951391}, doi = {10.1109/JSSC.2019.2951391}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YamadaUJKTSTKOM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YanKM20, author = {Dong Yan and Xugang Ke and Dongsheng Brian Ma}, title = {Direct 48-/1-V GaN-Based {DC-DC} Power Converter With Double Step-Down Architecture and Master-Slave AO\({}^{\mbox{2}}\)T Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {988--998}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2957237}, doi = {10.1109/JSSC.2019.2957237}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YanKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YeWXLMS20, author = {Dawei Ye and Yu Wang and Yingfei Xiang and Liangjian Lyu and Hao Min and Chuanjin Richard Shi}, title = {A Wireless Power and Data Transfer Receiver Achieving 75.4{\%} Effective Power Conversion Efficiency and Supporting 0.1{\%} Modulation Depth for {ASK} Demodulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1386--1400}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2943871}, doi = {10.1109/JSSC.2019.2943871}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YeWXLMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YinJSS20, author = {Shihui Yin and Zhewei Jiang and Jae{-}Sun Seo and Mingoo Seok}, title = {{XNOR-SRAM:} In-Memory Computing {SRAM} Macro for Binary/Ternary Deep Neural Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1733--1743}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2963616}, doi = {10.1109/JSSC.2019.2963616}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YinJSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YinLXLMYX20, author = {Yun Yin and Tong Li and Liang Xiong and Yicheng Li and Hao Min and Na Yan and Hongtao Xu}, title = {A Broadband Switched-Transformer Digital Power Amplifier for Deep Back-Off Efficiency Enhancement}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2997--3008}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005798}, doi = {10.1109/JSSC.2020.3005798}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YinLXLMYX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooHY20, author = {Si{-}Wook Yoo and Shih{-}Chang Hung and Sang{-}Min Yoo}, title = {A Multimode Multi-Efficiency-Peak Digital Power Amplifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3322--3334}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3022012}, doi = {10.1109/JSSC.2020.3022012}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YooHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YoonDKOLJ20, author = {Jungmin Yoon and Hyungrok Do and Daehyun Koh and Seunghan Oak and Junphyo Lee and Deog{-}Kyoon Jeong}, title = {A Capacitor-Coupled Offset-Canceled Sense Amplifier for DRAMs With Reduced Variation of Decision Threshold Voltage}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2219--2227}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2972545}, doi = {10.1109/JSSC.2020.2972545}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YoonDKOLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YousefiAM20, author = {Alireza Yousefi and Asad A. Abidi and Dejan Markovic}, title = {Analysis and Design of a Robust, Low-Power, Inductively Coupled {LSK} Data Link}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2583--2596}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005772}, doi = {10.1109/JSSC.2020.3005772}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YousefiAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YousefzadehM20, author = {Bahman Yousefzadeh and Kofi A. A. Makinwa}, title = {A BJT-Based Temperature-to-Digital Converter With a {\(\pm\)}0.25 {\textdegree}C 3 {\(\sigma\)}-Inaccuracy From -40 {\textdegree}C to +180 {\textdegree}C Using Heater-Assisted Voltage Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {369--377}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2953834}, doi = {10.1109/JSSC.2019.2953834}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YousefzadehM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YuSJPSB20, author = {Changzhi Yu and Euije Sa and Soowan Jin and Himchan Park and Jongshin Shin and Jinwook Burm}, title = {A 6.5-12.5-Gb/s Half-Rate Single-Loop All-Digital Referenceless {CDR} in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2831--2841}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005750}, doi = {10.1109/JSSC.2020.3005750}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YuSJPSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YuanLYYWFZLY20, author = {Zhe Yuan and Yongpan Liu and Jinshan Yue and Yixiong Yang and Jingyu Wang and Xiaoyu Feng and Jian Zhao and Xueqing Li and Huazhong Yang}, title = {{STICKER:} An Energy-Efficient Multi-Sparsity Compatible Accelerator for Convolutional Neural Networks in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {465--477}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2946771}, doi = {10.1109/JSSC.2019.2946771}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YuanLYYWFZLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZarghamiGPGSBUP20, author = {Majid Zarghami and Leonardo Gasparini and Luca Parmesan and Manuel Moreno Garcia and Andr{\'{e}} Stefanov and B{\"{a}}nz Bessire and Manuel Untern{\"{a}}hrer and Matteo Perenzoni}, title = {A 32 {\texttimes} 32-Pixel {CMOS} Imager for Quantum Optics With Per-SPAD TDC, 19.48{\%} Fill-Factor in a 44.64-{\(\mu\)}m Pitch Reaching 1-MHz Observation Rate}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2819--2830}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005756}, doi = {10.1109/JSSC.2020.3005756}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZarghamiGPGSBUP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhaNL20, author = {Yue Zha and Etienne Nowak and Jing Li}, title = {Liquid Silicon: {A} Nonvolatile Fully Programmable Processing-in-Memory Processor With Monolithically Integrated ReRAM}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {908--919}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2963005}, doi = {10.1109/JSSC.2019.2963005}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZhaNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangKP20, author = {Yang Zhang and Peter R. Kinget and Kong{-}Pang Pun}, title = {A 0.032-mm\({}^{\mbox{2}}\) 43.3-fJ/Step 100-200-MHz {IF} 2-MHz Bandwidth Bandpass {DSM} Based on Passive N-Path Filters}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2443--2455}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2989549}, doi = {10.1109/JSSC.2020.2989549}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangLJKFSHS20, author = {Yaxin Zhang and Wenfeng Liang and Xiaodi Jin and Mario Krattenmacher and Sophia Falk and Paulius Sakalas and Bernd Heinemann and Michael Schr{\"{o}}ter}, title = {3.2-mW Ultra-Low-Power 173-207-GHz Amplifier With 130-nm SiGe HBTs Operating in Saturation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1471--1481}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959510}, doi = {10.1109/JSSC.2019.2959510}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangLJKFSHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangN20, author = {Luya Zhang and Ali M. Niknejad}, title = {Design and Analysis of a Microwave-Optical Dual Modality Biomolecular Sensing Platform}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {639--649}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2946817}, doi = {10.1109/JSSC.2019.2946817}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangSYQWTJGS20, author = {Yanlong Zhang and Arindam Sanyal and Xueyi Yu and Xing Quan and Kailin Wen and Xiyuan Tang and Gang Jin and Li Geng and Nan Sun}, title = {A Fractional-\emph{N} {PLL} With Space-Time Averaging for Quantization Noise Reduction}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {602--614}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2950154}, doi = {10.1109/JSSC.2019.2950154}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangSYQWTJGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangZCM20, author = {Minglei Zhang and Yan Zhu and Chi{-}Hang Chan and Rui Paulo Martins}, title = {An 8-Bit 10-GS/s 16{\texttimes} Interpolation-Based Time-Domain {ADC} With {\textless}1.5-ps Uncalibrated Quantization Steps}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3225--3235}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3012776}, doi = {10.1109/JSSC.2020.3012776}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZhangZCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangZWWY20, author = {Zhao Zhang and Guang Zhu and Can Wang and Li Wang and C. Patrick Yue}, title = {A 32-Gb/s 0.46-pJ/bit {PAM4} {CDR} Using a Quarter-Rate Linear Phase Detector and a Self-Biased PLL-Based Multiphase Clock Generator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2734--2746}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005780}, doi = {10.1109/JSSC.2020.3005780}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangZWWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangZY20, author = {Zhao Zhang and Guang Zhu and C. Patrick Yue}, title = {A 0.65-V 12-16-GHz Sub-Sampling {PLL} With 56.4-fs\({}_{\mbox{rms}}\) Integrated Jitter and -256.4-dB FoM}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1665--1683}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2967562}, doi = {10.1109/JSSC.2020.2967562}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangZY20a, author = {Jiajun Zhang and Dixian Zhao and Xiaohu You}, title = {A 20-GHz 1.9-mW {LNA} Using g\({}_{\mbox{m}}\)-Boost and Current-Reuse Techniques in 65-nm {CMOS} for Satellite Communications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2714--2723}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2995307}, doi = {10.1109/JSSC.2020.2995307}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangZY20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhaoKLLIN20, author = {Bo Zhao and Nai{-}Chung Kuo and Benyuanyi Liu and Yi{-}An Li and Lorenzo Iotti and Ali M. Niknejad}, title = {A Batteryless Padless Crystalless 116 {\(\mathrm{\mu}\)}m {\texttimes} 116 {\(\mathrm{\mu}\)}m "Dielet" Near-Field Radio With On-Chip Coil Antenna}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {249--260}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2954772}, doi = {10.1109/JSSC.2019.2954772}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhaoKLLIN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhaoLXYTSLPS20, author = {Wenda Zhao and Shaolan Li and Biying Xu and Xiangxing Yang and Xiyuan Tang and Linxiao Shen and Nanshu Lu and David Z. Pan and Nan Sun}, title = {A 0.025-mm\({}^{\mbox{2}}\) 0.8-V 78.5-dB {SNDR} VCO-Based Sensor Readout Circuit in a Hybrid {PLL-} {\(\Delta\)}{\(\Sigma\)} {M} Structure}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {666--679}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959479}, doi = {10.1109/JSSC.2019.2959479}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhaoLXYTSLPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhengDZWZWLWL20, author = {Xuqiang Zheng and Hao Ding and Feng Zhao and DanYu Wu and Lei Zhou and Jin Wu and Fangxu Lv and Jianye Wang and Xinyu Liu}, title = {A 50-112-Gb/s {PAM-4} Transmitter With a Fractional-Spaced {FFE} in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {7}, pages = {1864--1876}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987712}, doi = {10.1109/JSSC.2020.2987712}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhengDZWZWLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhengLZWWZRL20, author = {Xuqiang Zheng and Fangxu Lv and Lei Zhou and DanYu Wu and Jin Wu and Chun Zhang and Woogeun Rhee and Xinyu Liu}, title = {Frequency-Domain Modeling and Analysis of Injection-Locked Oscillators}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1651--1664}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2946226}, doi = {10.1109/JSSC.2019.2946226}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhengLZWWZRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhongLTB20, author = {Xiaopeng Zhong and Man{-}Kay Law and Chi{-}Ying Tsui and Amine Bermak}, title = {A Fully Dynamic Multi-Mode {CMOS} Vision Sensor With Mixed-Signal Cooperative Motion Sensing and Object Segmentation for Adaptive Edge Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1684--1697}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2961848}, doi = {10.1109/JSSC.2019.2961848}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhongLTB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhongLTSZWS20, author = {Yi Zhong and Shaolan Li and Xiyuan Tang and Linxiao Shen and Wenda Zhao and Siliang Wu and Nan Sun}, title = {A Second-Order Purely VCO-Based {CT} {\(\Delta\)}{\(\Sigma\)} {ADC} Using a Modified {DPLL} Structure in 40-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {2}, pages = {356--368}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948008}, doi = {10.1109/JSSC.2019.2948008}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhongLTSZWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhongYXL20, author = {Longjie Zhong and Jun Yang and Donglai Xu and Xinquan Lai}, title = {Bandwidth-Enhanced Oversampling Successive Approximation Readout Technique for Low-Noise Power-Efficient {MEMS} Capacitive Accelerometer}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2529--2538}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005811}, doi = {10.1109/JSSC.2020.3005811}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhongYXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhouJLSKS20, author = {Dadian Zhou and Junning Jiang and Qiyuan Liu and Eric G. Soenen and Martin Kinyua and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, title = {A 245-mA Digitally Assisted Dual-Loop Low-Dropout Regulator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2140--2150}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2987718}, doi = {10.1109/JSSC.2020.2987718}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhouJLSKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhuZDFL20, author = {Zheng Zhu and Xiong Zhou and Yuheng Du and Yao Feng and Qiang Li}, title = {A 14-bit 4-MS/s VCO-Based {SAR} {ADC} With Deep Metastability Facilitated Mismatch Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1565--1576}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2950188}, doi = {10.1109/JSSC.2019.2950188}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhuZDFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZimmerVSCFJKKPR20, author = {Brian Zimmer and Rangharajan Venkatesan and Yakun Sophia Shao and Jason Clemons and Matthew Fojtik and Nan Jiang and Ben Keller and Alicia Klinefelter and Nathaniel Ross Pinckney and Priyanka Raina and Stephen G. Tell and Yanqing Zhang and William J. Dally and Joel S. Emer and C. Thomas Gray and Stephen W. Keckler and Brucek Khailany}, title = {A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {920--932}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960488}, doi = {10.1109/JSSC.2019.2960488}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZimmerVSCFJKKPR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZouGLP20, author = {Yu Zou and Massimo Gottardi and Michela Lecca and Matteo Perenzoni}, title = {A Low-Power {VGA} Vision Sensor With Embedded Event Detection for Outdoor Edge Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3112--3121}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005759}, doi = {10.1109/JSSC.2020.3005759}, timestamp = {Sat, 07 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZouGLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.