Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/journals/ieiceee/ieiceee11.bht:"
@article{DBLP:journals/ieiceee/AhnALK14, author = {Yong{-}Sung Ahn and Taek{-}Joon Ahn and Kyongsu Lee and Jin{-}Ku Kang}, title = {Avoiding noise frequency interference with binary phase pulse driving and {CDS} for capacitive {TSP} controller}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140837}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140837}, doi = {10.1587/ELEX.11.20140837}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnALK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhnHP14, author = {Seungyoung Ahn and Chulsoon Hwang and Hyun Ho Park}, title = {Optimized shield design for reduction of {EMF} from wireless power transfer systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130930}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130930}, doi = {10.1587/ELEX.10.20130930}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhnIAK14, author = {Taek{-}Joon Ahn and Sang{-}Soon Im and Yong{-}Sung Ahn and Jin{-}Ku Kang}, title = {A low jitter clock and data recovery with a single edge sensing Bang-Bang {PD}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {7}, pages = {20140088}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140088}, doi = {10.1587/ELEX.11.20140088}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnIAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhnSAK14, author = {Taek{-}Joon Ahn and Kyung{-}Sub Son and Yong{-}Sung Ahn and Jin{-}Ku Kang}, title = {A low-power {CDR} using dynamic {CML} latches and {V/I} converter merged with {XOR} for half-rate linear phase detection}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140657}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140657}, doi = {10.1587/ELEX.11.20140657}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnSAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AjazL14, author = {Sabooh Ajaz and Hanho Lee}, title = {An efficient radix-4 Quasi-cyclic shift network for {QC-LDPC} decoders}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130837}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130837}, doi = {10.1587/ELEX.11.20130837}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AjazL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AkleylekT14, author = {Sedat Akleylek and Zaliha Y{\"{u}}ce Tok}, title = {Efficient interleaved Montgomery modular multiplication for lattice-based cryptography}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140960}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140960}, doi = {10.1587/ELEX.11.20140960}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AkleylekT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Al-SomaniFI14, author = {Turki F. Al{-}Somani and Ayman G. Fayoumi and Mohammad K. Ibrahim}, title = {An efficient and scalable postcomputation-based generic-point parallel scalar multiplication method}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140356}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140356}, doi = {10.1587/ELEX.11.20140356}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Al-SomaniFI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AmbashankerK14, author = {Arun Ambashanker and Palanisamy Nirmal Kumar}, title = {Modified {TACIT} algorithm based on 4H-key distribution for secure routing in NoC architecture}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140352}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140352}, doi = {10.1587/ELEX.11.20140352}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AmbashankerK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AraiH14, author = {Tomoyuki Arai and Ali Hajimiri}, title = {A self-correcting quadrature voltage controlled oscillator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140684}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140684}, doi = {10.1587/ELEX.11.20140684}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AraiH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaeKH14, author = {Sang{-}Geun Bae and Kyeong{-}Woo Kim and In{-}Chul Hwang}, title = {An 180 nm {CMOS} 1.84-to-3.62 GHz fractional-N frequency synthesizer with skewed-reset {PFD} for removing noise-folding effect}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140490}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140490}, doi = {10.1587/ELEX.11.20140490}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BaeKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaeY14, author = {Chang{-}Hyun Bae and Changsik Yoo}, title = {Data and edge decision feedback equalizer with {\textgreater}1.0-UI timing margin for both data and edge samples}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140274}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140274}, doi = {10.1587/ELEX.11.20140274}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BaeY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BenSalehQAO14, author = {Mohammed S. BenSaleh and Syed Manzoor Qasim and Abdullah A. AlJuffri and Abdulfattah Mohammad Obeid}, title = {Scalable design of microprogrammed digital {FIR} filter for sensor processing subsystem}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140474}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140474}, doi = {10.1587/ELEX.11.20140474}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BenSalehQAO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BuLC14, author = {Yun Bu and Tian{-}Qian Li and Yong{-}Qiang Chen}, title = {A robust digital predistortion algorithm for power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20130759}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130759}, doi = {10.1587/ELEX.10.20130759}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BuLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiJMCN14, author = {Baigen Cai and Chengming Jin and Lian{-}chuan Ma and Yuan Cao and Hideo Nakamura}, title = {Analysis on the application of on-chip redundancy in the safety-critical system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140153}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140153}, doi = {10.1587/ELEX.11.20140153}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiJMCN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChampacVHF14, author = {V{\'{\i}}ctor H. Champac and Hector Villacorta and Nestor Hernandez and Joan Figueras}, title = {Skew violation verification in digital interconnect signals based on signal addition}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140201}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140201}, doi = {10.1587/ELEX.11.20140201}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChampacVHF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenHLWL14, author = {Wenlan Chen and Shanwen Hu and Xiaozhou Liu and Haodong Wu and G. P. Li}, title = {A non common-node chaotic Colpitts oscillator with negative resistance enhancement}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140902}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140902}, doi = {10.1587/ELEX.11.20140902}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenHLWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenL14, author = {Shao{-}Hua Chen and Ming{-}Bo Lin}, title = {A synthesizable architecture of all-digital cyclic TDCs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140875}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140875}, doi = {10.1587/ELEX.11.20140875}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLJCGL14, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Yang Guo and Hengzhu Liu}, title = {Cooperative communication for efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140542}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140542}, doi = {10.1587/ELEX.11.20140542}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLJCGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLZ14, author = {Ting Chen and Hengzhu Liu and Botao Zhang}, title = {A scalable, fixed-shuffling, parallel {FFT} butterfly processing architecture for {SDR} environment}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130905}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130905}, doi = {10.1587/ELEX.10.20130905}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWH14, author = {Hua{-}Pin Chen and San{-}Fu Wang and Wei{-}Yen Huang}, title = {Voltage-mode filter with one input and six outputs using two ICCIIs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140227}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140227}, doi = {10.1587/ELEX.11.20140227}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWH14a, author = {Hua{-}Pin Chen and San{-}Fu Wang and Ming{-}Yuan Hsieh}, title = {Tunable current-mode and voltage-mode quadrature oscillator using a {DVCCTA}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140478}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140478}, doi = {10.1587/ELEX.11.20140478}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWH14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWHH14, author = {Hua{-}Pin Chen and San{-}Fu Wang and Wei{-}Yen Huang and Ming{-}Yuan Hsieh}, title = {Voltage-mode universal biquadratic filter with one input and five outputs using two DDCCTAs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140234}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140234}, doi = {10.1587/ELEX.11.20140234}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Cheng14, author = {Chi{-}Hao Cheng}, title = {Wideband receiver design using frequency-dependent magnitude/phase mismatch}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140238}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140238}, doi = {10.1587/ELEX.11.20140238}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Cheng14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChengITT14, author = {Yongpeng Cheng and Yuki Ikku and Mitsuru Takenaka and Shinichi Takagi}, title = {InGaAs {MSM} photodetector monolithically integrated with InP photonic-wire waveguide on {III-V} {CMOS} photonics platform}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {16}, pages = {20140609}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140609}, doi = {10.1587/ELEX.11.20140609}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChengITT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChibaFM14, author = {Hidetoshi Chiba and Toru Fukasawa and Hiroaki Miyashita}, title = {Convergence property of {IDR} variant methods in the integral equation analysis of electromagnetic scattering problems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140198}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140198}, doi = {10.1587/ELEX.11.20140198}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChibaFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Cho14, author = {Je{-}Kwang Cho}, title = {Low-power sigma-delta modulator with half-sample delayed-input feedforward}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141058}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141058}, doi = {10.1587/ELEX.11.20141058}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Cho14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoJKP14, author = {Seongjae Cho and Sunghun Jung and Sungjun Kim and Byung{-}Gook Park}, title = {Vertical stack array of one-time programmable nonvolatile memory based on pn-junction diode and its operation scheme for faster access}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20131041}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131041}, doi = {10.1587/ELEX.11.20131041}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoJKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoYJ14, author = {Seong Jin Cho and Seung Hyun Yun and Jae Wook Jeon}, title = {A roofline model based on working set size for embedded systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140560}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140560}, doi = {10.1587/ELEX.11.20140560}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiL14, author = {Se{-}Hyu Choi and Keon{-}Jik Lee}, title = {Enhancement of a modified radix-2 Montgomery modular multiplication}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140782}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140782}, doi = {10.1587/ELEX.11.20140782}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiL14a, author = {Se{-}Hyu Choi and Keon{-}Jik Lee}, title = {Low complexity semi-systolic multiplication architecture over \emph{GF}(2\emph{\({}^{\mbox{m}}\)})}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140713}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140713}, doi = {10.1587/ELEX.11.20140713}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiL14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiP14, author = {Ju Hee Choi and Gi{-}Ho Park}, title = {Adaptive replacement policy for hybrid cache architecture}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140946}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140946}, doi = {10.1587/ELEX.11.20140946}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiRSL14, author = {Seung Hyun Choi and Tae Moon Roh and Yong Ho Song and Seong{-}Won Lee}, title = {Design of an application specific instruction set processor for a universal bitstream codec}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141047}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141047}, doi = {10.1587/ELEX.11.20141047}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiRSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiY14, author = {Dong{-}Ho Choi and Changsik Yoo}, title = {A 1.5-5.0 Gb/s clock and data recovery circuit with dual-PFD phase-rotating phase locked loop}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140351}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140351}, doi = {10.1587/ELEX.11.20140351}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChouH14, author = {Fang{-}Ting Chou and Chung{-}Chih Hung}, title = {A compact 12-bit {DAC} with novel bias scheme}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140572}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140572}, doi = {10.1587/ELEX.11.20140572}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChouH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DaiZQL14, author = {Jianfeng Dai and Jinbin Zhao and Keqing Qu and Ming Lin}, title = {A fast hysteresis control strategy based on capacitor charging and discharging}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {7}, pages = {20140145}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140145}, doi = {10.1587/ELEX.11.20140145}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DaiZQL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DingHMN14, author = {Mingjie Ding and Neisei Hayashi and Yosuke Mizuno and Kentaro Nakamura}, title = {Brillouin signal amplification in pumped erbium-doped optical fiber}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140627}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140627}, doi = {10.1587/ELEX.11.20140627}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DingHMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DongZYJ14, author = {Jun Dong and Yihong Zhou and Tao Yang and Haiyan Jin}, title = {Compact rectangular waveguide to {HMSIW} transition}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140316}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140316}, doi = {10.1587/ELEX.11.20140316}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DongZYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuNWYL14, author = {Ling Du and Ning Ning and Shuangyi Wu and Qi Yu and Yang Liu}, title = {A digital background calibration technique for {SAR} {ADC} based on capacitor swapping}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140325}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140325}, doi = {10.1587/ELEX.11.20140325}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/DuNWYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/EbuchiTWTTCUIY14, author = {Tsuyoshi Ebuchi and Taku Toshikawa and Seiji Watanabe and Tomohiro Tsuchiya and Yutaka Terada and Tomoko Chiba and Keijiro Umehara and Toru Iwata and Takefumi Yoshikawa}, title = {A jitter suppression technique against data pattern dependency on high-speed interfaces for highly integrated SoCs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140949}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140949}, doi = {10.1587/ELEX.11.20140949}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/EbuchiTWTTCUIY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FanCWLZHJCS14, author = {Xi Fan and Houpeng Chen and Qian Wang and Xi Li and Yiyun Zhang and Jiajun Hu and Rong Jin and Yifeng Chen and Zhitang Song}, title = {Optimization of periphery circuits in a 1K-bit {PCRAM} chip for highly reliable write and read operations}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141071}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141071}, doi = {10.1587/ELEX.11.20141071}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FanCWLZHJCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FanLLG14, author = {Lingyan Fan and Jianjun Luo and Hailuan Liu and Xuan Geng}, title = {Data security concurrent with homogeneous by {AES} algorithm in {SSD} controller}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140535}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140535}, doi = {10.1587/ELEX.11.20140535}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/FanLLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FanLWZ14, author = {Chaojie Fan and Yuxiao Lu and Ke Wang and Jianjun Zhou}, title = {Digital nonlinearity calibration for pipelined ADCs using sampling capacitors splitting}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140442}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140442}, doi = {10.1587/ELEX.11.20140442}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FanLWZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FanWPZ14, author = {Chaojie Fan and Ke Wang and Wenjie Pan and Jianjun Zhou}, title = {Nonlinear inter-stage gain calibration for pipelined ADCs employing double dithering modes}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140995}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140995}, doi = {10.1587/ELEX.11.20140995}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FanWPZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FengXWY14, author = {Dejun Feng and Letao Xu and Wei Wang and Hui Yang}, title = {Radar target echo cancellation using interrupted-sampling repeater}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {8}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130997}, doi = {10.1587/ELEX.11.20130997}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FengXWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FuZL14, author = {Qiang Fu and Bo Zhang and Zhaoji Li}, title = {Bypass anode lateral {IGBT} on {SOI} for snapback suppression}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140470}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140470}, doi = {10.1587/ELEX.11.20140470}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/FuZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Funaki14, author = {Tsuyoshi Funaki}, title = {A study on the self turn-on phenomenon of power {MOSFET} induced by the turn-off operation of body diodes}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140350}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140350}, doi = {10.1587/ELEX.11.20140350}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Funaki14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FunatoSS14, author = {Hiroki Funato and Takashi Suga and Michihiko Suhara}, title = {Improved position-signal-difference electric near-field measurements based on fringe capacitance model}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140272}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140272}, doi = {10.1587/ELEX.11.20140272}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FunatoSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Gonzalez-CarabarinAM14, author = {Lizeth Gonzalez{-}Carabarin and Tetsuya Asai and Masato Motomura}, title = {Low-power asynchronous digital pipeline based on mismatch-tolerant logic gates}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140632}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140632}, doi = {10.1587/ELEX.11.20140632}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Gonzalez-CarabarinAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Gonzalez-HernandezMVRBRG14, author = {Jose Genaro Gonzalez{-}Hernandez and Jose Eduardo Martinez{-}Bernal and Antonio Valderrabano{-}Gonzalez and Julio Cesar Rosas{-}Caro and Francisco Beltr{\'{a}}n{-}Carbajal and Juan Manuel Ram{\'{\i}}rez{-}Arredondo and Juan Miguel Gonz{\'{a}}lez{-}Lopez}, title = {Bootstrap cascaded multilevel converter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140561}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140561}, doi = {10.1587/ELEX.11.20140561}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Gonzalez-HernandezMVRBRG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GotoSMH14, author = {Keiji Goto and Mizuki Sawada and Kojiro Mori and Yuki Horii}, title = {Asymptotic analysis for transient scattered field excited by the edges of a cylindrically curved conducting open sheet}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20130963}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130963}, doi = {10.1587/ELEX.11.20130963}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GotoSMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuanWCCC14, author = {Zhenyu Guan and Justin S. J. Wong and Sumanta Chaudhuri and George A. Constantinides and Peter Y. K. Cheung}, title = {Classification on variation maps: a new placement strategy to alleviate process variation on {FPGA}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130912}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130912}, doi = {10.1587/ELEX.10.20130912}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuanWCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuanWCCC14a, author = {Zhenyu Guan and Justin S. J. Wong and Sumanta Chaudhuri and George A. Constantinides and Peter Y. K. Cheung}, title = {Mitigation of process variation effect in FPGAs with partial rerouting method}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20140011}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140011}, doi = {10.1587/ELEX.11.20140011}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuanWCCC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoTLDZ14, author = {Lei Guo and Yuhua Tang and Yuanwu Lei and Yong Dou and Jie Zhou}, title = {Transpose-free variable-size {FFT} accelerator based on-chip {SRAM}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140171}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140171}, doi = {10.1587/ELEX.11.20140171}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoTLDZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ha-VanS14, author = {Nam Ha{-}Van and Chulhun Seo}, title = {Design of high {PAE} class-E power amplifier for wireless power transmission}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140682}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140682}, doi = {10.1587/ELEX.11.20140682}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ha-VanS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HagaMSK14, author = {Nozomi Haga and Kuniyuki Motojima and Mitsuru Shinagawa and Yuichi Kado}, title = {System of equations describing charges of multiple conductors immersed in electrostatic fields}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140803}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140803}, doi = {10.1587/ELEX.11.20140803}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HagaMSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HagaMSK14a, author = {Nozomi Haga and Kuniyuki Motojima and Mitsuru Shinagawa and Yuichi Kado}, title = {Received noise voltage of wearable transceiver in the presence of fluorescent lamps using high-frequency electronic ballasts}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140920}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140920}, doi = {10.1587/ELEX.11.20140920}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HagaMSK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanCK14, author = {Taewoo Han and Inhyuk Choi and Sungho Kang}, title = {A novel test access mechanism for parallel testing of multi-core system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140093}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140093}, doi = {10.1587/ELEX.11.20140093}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Hashiguchi14, author = {Gen Hashiguchi}, title = {Electromechanical theory of microelectromechanical devices}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20142007}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142007}, doi = {10.1587/ELEX.11.20142007}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Hashiguchi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HassaniS14, author = {Meisam Hassani and Abbas Shoulaie}, title = {Sensorless load and position estimation in linear reluctance actuator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130908}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130908}, doi = {10.1587/ELEX.10.20130908}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HassaniS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HayatiN14, author = {Mohsen Hayati and Leila Noori}, title = {Compact tunable dual-band bandpass filter using open-loop resonator loaded by step impedances cells for multimode WLANs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20120021}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20120021}, doi = {10.1587/ELEX.11.20120021}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HayatiN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeMMIKY14, author = {Guangji He and Yuki Miyamoto and Kumpei Matsuda and Shintaro Izumi and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {A 54-mw 3{\texttimes}-real-time 60-kword continuous speech recognition processor {VLSI}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130787}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130787}, doi = {10.1587/ELEX.10.20130787}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeMMIKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HigashiyamaTK14, author = {Junji Higashiyama and Yoshiaki Tarusawa and Masafumi Koga}, title = {Simply configured Radio on Fiber link yielding positive gain for mobile phone system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140411}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140411}, doi = {10.1587/ELEX.11.20140411}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HigashiyamaTK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HirookaNKS14, author = {Toshihiko Hirooka and Masataka Nakazawa and Tetsuro Komukai and Toshikazu Sakano}, title = {100 Gbit/s real-time digital coherent transmission over a 32 km legacy multi-mode graded-index fiber}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140563}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140563}, doi = {10.1587/ELEX.11.20140563}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HirookaNKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Hong14, author = {Jong{-}Phil Hong}, title = {A low supply voltage and wide-tuned {CMOS} Colpitts {VCO}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140428}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140428}, doi = {10.1587/ELEX.11.20140428}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Hong14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HongLK14, author = {Hyejeong Hong and Jaeil Lim and Sungho Kang}, title = {Recovery-enhancing task scheduling for multicore processors under {NBTI} impact}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140324}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140324}, doi = {10.1587/ELEX.11.20140324}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HongLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HouZ14, author = {Rui Hou and Mingming Zheng}, title = {Packet-based nonlinear battery energy consumption optimizing for WSNs nodes}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140167}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140167}, doi = {10.1587/ELEX.11.20140167}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HouZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuCLWJZS14, author = {Jiajun Hu and Houpeng Chen and Xi Li and Qian Wang and Rong Jin and Yiyun Zhang and Zhitang Song}, title = {A novel auxiliary-free zero inductor current detection scheme for step down non-isolated {LED} driver}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141011}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141011}, doi = {10.1587/ELEX.11.20141011}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuCLWJZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuDQ14, author = {Jianguo Hu and Zhikui Duan and Junrui Qin}, title = {Multiple nodes upset tolerance {DICE} latch based on on-state transistor}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140882}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140882}, doi = {10.1587/ELEX.11.20140882}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuDQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangL14, author = {Chung{-}Hsun Huang and Wei{-}Chen Liao}, title = {A compact programmable {LDO} regulator for ultra-low voltage SoC}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140820}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140820}, doi = {10.1587/ELEX.11.20140820}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangLL14, author = {Chung{-}Hsun Huang and Wei{-}Chen Liao and Chih{-}Ming Liao}, title = {A low-voltage high {PSR} {LDO} regulator with a simple ripple cancellation technique}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140906}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140906}, doi = {10.1587/ELEX.11.20140906}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangWY14, author = {Ping Huang and Hui Wang and Cheng Yang}, title = {Non circular {ROOTMUSIC} algorithm for monostatic {MIMO} radar}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140318}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140318}, doi = {10.1587/ELEX.11.20140318}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuiY14, author = {Xu Hui and Zeng Yun}, title = {A novel layout placement structure to mitigate the multi-bit-upset in 6T-SRAM cell}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140396}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140396}, doi = {10.1587/ELEX.11.20140396}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuiY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IbuchiF14, author = {Takaaki Ibuchi and Tsuyoshi Funaki}, title = {Loss and conducted noise characteristics for {CCM} {PFC} circuit with SiC-Schottky barrier diode}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140142}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140142}, doi = {10.1587/ELEX.11.20140142}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IbuchiF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ikebe14, author = {Masayuki Ikebe}, title = {Recent progress in the technology linking sensors and digital circuits}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20142003}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142003}, doi = {10.1587/ELEX.11.20142003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ikebe14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Imano14, author = {Kazuhiko Imano}, title = {Optical observation method for ultrasonic field using the shadowgraph introducing pulse inversion averaging}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140510}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140510}, doi = {10.1587/ELEX.11.20140510}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Imano14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IshikawaS14, author = {Yasuhiko Ishikawa and Shinichi Saito}, title = {Ge-on-Si photonic devices for photonic-electronic integration on a Si platform}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20142008}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142008}, doi = {10.1587/ELEX.11.20142008}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/IshikawaS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IwamuraOKDT14, author = {Hiroshi Iwamura and Yukio Okazaki and Masahiro Kumagawa and Akinori Daimo and Koji Takinami}, title = {Clover shape layout technique in switched capacitor power amplifier for improving drain efficiency}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140807}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140807}, doi = {10.1587/ELEX.11.20140807}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IwamuraOKDT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JaziG14, author = {Hamid Reza Erfani Jazi and Noushin Ghaderi}, title = {A novel bulk driven charge pump for low power, low voltage applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130934}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130934}, doi = {10.1587/ELEX.10.20130934}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JaziG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Jeon14, author = {Man{-}Young Jeon}, title = {Analytical investigation of phase shift impulse response of three existing phase shift models using a simple planar oscillator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140293}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140293}, doi = {10.1587/ELEX.11.20140293}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Jeon14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeongHCY14, author = {Yi{-}Ru Jeong and Ic{-}Pyo Hong and Heoung{-}Jae Chun and Jong{-}Gwan Yook}, title = {Transmission characteristics of a composite made with ground granulated blast furnace slag}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130855}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130855}, doi = {10.1587/ELEX.10.20130855}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JeongHCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeongHHJ14, author = {Eui{-}Rim Jeong and Soon{-}Il Hong and In{-}Pyo Hong and Yong{-}Up Jang}, title = {A technique for reducing data converters in {MIMO} systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140409}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140409}, doi = {10.1587/ELEX.11.20140409}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JeongHHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeongKLR14, author = {Won Seob Jeong and Seung{-}Hun Kim and Sang{-}Min Lee and Won Woo Ro}, title = {Swarm Processor System: hardware process scheduler based energy efficient multi-core system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140424}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140424}, doi = {10.1587/ELEX.11.20140424}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JeongKLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangLD14, author = {Hua Jiang and Wenke Lu and Jianxin Dai}, title = {Design and fabrication of low loss and high suppression monolithic inverse wavelet transform processor}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140665}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140665}, doi = {10.1587/ELEX.11.20140665}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JinZCYLX14, author = {Gang Jin and Yiqi Zhuang and Miao Cui and Yue Yin and Cong Li and Xin Xiang}, title = {A stable and two-step settling digital controlled {AGC} loop for {GNSS} receiver}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140738}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140738}, doi = {10.1587/ELEX.11.20140738}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JinZCYLX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JingZG14, author = {Kai Jing and Yiqi Zhuang and Huaxi Gu}, title = {A high image rejection SiGe low noise amplifier using passive notch filter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130928}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130928}, doi = {10.1587/ELEX.11.20130928}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JingZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JoS14, author = {Song Hyun Jo and Yong Ho Song}, title = {Data dependency reduction for parallelism enhancement of {HEVC} decoder}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {7}, pages = {20140027}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140027}, doi = {10.1587/ELEX.11.20140027}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JoS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JothikumarN14, author = {Ramya Jothikumar and Rangaswamy Nakkeeran}, title = {Complexity reduction by sign prediction in tree traversal of {MIMO} decoder}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140628}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140628}, doi = {10.1587/ELEX.11.20140628}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JothikumarN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kam14, author = {Dong Gun Kam}, title = {Optimization of flip-chip transitions for 60-GHz packages}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140256}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140256}, doi = {10.1587/ELEX.11.20140256}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Kam14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KanamotoICO14, author = {Toshiki Kanamoto and Hisato Inaba and Toshiharu Chiba and Yasuhiro Ogasahara}, title = {Resistivity-based modeling of substrate non-uniformity for low-resistivity substrate}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130813}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130813}, doi = {10.1587/ELEX.11.20130813}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KanamotoICO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangL14, author = {Hyunseong Kang and Sungjoon Lim}, title = {Electrically small dual-band substrate-integrated-waveguide antenna with fixed low-frequency and tunable high-frequency bands}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140007}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140007}, doi = {10.1587/ELEX.11.20140007}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangYS14, author = {Li Kang and Lingyun Ye and Kaichen Song}, title = {A fast in-situ {SINS} and Doppler sensor calibration algorithm for underwater vehicle navigation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140994}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140994}, doi = {10.1587/ELEX.11.20140994}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangYSS14, author = {Seung{-}Nam Kang and In{-}Sub Yoo and Myungok Shin and Seung{-}Woo Seo}, title = {Accurate inter-vehicle distance measurement based on monocular camera and line laser}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20130932}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130932}, doi = {10.1587/ELEX.11.20130932}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangYSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KavyaB14, author = {Govindaraju Kavya and V. Thulasi Bai}, title = {Wearable advanced single chip {ECG} telemonitoring system using SoPC}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140097}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140097}, doi = {10.1587/ELEX.11.20140097}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KavyaB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KawanoA14, author = {Masatomo Kawano and Yutaka Arima}, title = {Binocular range-sensor {LSI} with improved distance detection precision by coordinated pixel placement}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140747}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140747}, doi = {10.1587/ELEX.11.20140747}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KawanoA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KawanoA14a, author = {Masatomo Kawano and Yutaka Arima}, title = {Erratum: Binocular range-sensor {LSI} with improved distance detection precision by coordinated pixel placement {[IEICE} Electronics Express Vol. 11(2014) No. 19 pp. 20140747]}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20148002}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20148002}, doi = {10.1587/ELEX.11.20148002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KawanoA14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KawanoI14, author = {Toru Kawano and Toyohiko Ishihara}, title = {High-frequency uniform asymptotic solution for reflected and scattered fields over half-space metamaterial}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140284}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140284}, doi = {10.1587/ELEX.11.20140284}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KawanoI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KhanP14, author = {Mansoor Ali Khan and Hyun Chang Park}, title = {Design of normally-off GaN-based T-gate with Drain-Field-Plate {(TGDFP)} {HEMT} for power and {RF} applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140163}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140163}, doi = {10.1587/ELEX.11.20140163}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KhanP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kim14, author = {Young{-}Jin Kim}, title = {Frequency-based NCQ-aware disk cache algorithm}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140363}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140363}, doi = {10.1587/ELEX.11.20140363}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Kim14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimBJK14, author = {Kyoung{-}Ho Kim and Jun{-}Han Bae and Young{-}Hyun Jun and Kee{-}Won Kwon}, title = {A 5-Gbit/s {CDR} circuit with 1.4 mW multi-PFD phase rotating {PLL}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20140828}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140828}, doi = {10.1587/ELEX.11.20140828}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimBJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimC14, author = {Ki{-}Chai Kim and Young{-}Ki Cho}, title = {Penetration electric field characteristics of dual plates with narrow slots for the incident plane wave}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141059}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141059}, doi = {10.1587/ELEX.11.20141059}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimCCL14, author = {Ho{-}Young Kim and Jae{-}hun Cho and Joshua Cho and Seong{-}Won Lee}, title = {A frequency adaptive line compression system for mobile display devices}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140746}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140746}, doi = {10.1587/ELEX.11.20140746}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimCK14, author = {In{-}Bok Kim and Hyun{-}Chul Choi and Kang Wook Kim}, title = {A wideband bow-tie antenna using suspended stripline balun}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140767}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140767}, doi = {10.1587/ELEX.11.20140767}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimEL14, author = {Dohoon Kim and Hyo Joon Eom and Young Seung Lee}, title = {Electrostatic solution for 3-port pyramidal cell}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140219}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140219}, doi = {10.1587/ELEX.11.20140219}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimEL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimHKKY14, author = {Junghwa Kim and Jun{-}Ho Huh and Soo{-}Yong Kim and Suk Won Kim and Joon{-}Sung Yang}, title = {Low-power shared memory architecture power mode for mobile system-on-chip}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {8}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140205}, doi = {10.1587/ELEX.11.20140205}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimHKKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimJY14, author = {Jung{-}Hoon Kim and Tae{-}Heon Jang and Sung{-}Il Yang}, title = {Research on a guideline of stirrer installation in reverberation chamber}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140436}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140436}, doi = {10.1587/ELEX.11.20140436}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimJY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimK14, author = {Byoungwook Kim and Daeik Kim}, title = {Low-voltage current-mode integrator for channel selection filter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {1}, pages = {20130845}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130845}, doi = {10.1587/ELEX.10.20130845}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimL14, author = {Youngil Kim and Sangsun Lee}, title = {{H/V} linear regulator with enhanced power supply rejection}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20140012}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140012}, doi = {10.1587/ELEX.11.20140012}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimMK14, author = {Eunhwan Kim and Hyunsun Mo and Daejeong Kim}, title = {Analysis of switching frequency variation in self-oscillating class-D audio amplifiers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140779}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140779}, doi = {10.1587/ELEX.11.20140779}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimML14, author = {Hyunpil Kim and Sangook Moon and Yong{-}Surk Lee}, title = {Radix-16 Booth multiplier using novel weighted 2-stage Booth algorithm}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140407}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140407}, doi = {10.1587/ELEX.11.20140407}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSBBLPPKDC14, author = {Kwang Jin Kim and Byung Hee Son and Bruce Burgess and Sung Wan Bang and Jeong Woo Lee and Ho{-}Hyun Park and Jaehwa Park and Young{-}Bin Kown and John F. Donegan and Young{-}Wan Choi}, title = {Accurate relative position indicator for tracking-based position estimation system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20130939}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130939}, doi = {10.1587/ELEX.11.20130939}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSBBLPPKDC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSL14, author = {Hyojong Kim and Donghwan Seo and Byung{-}Geun Lee}, title = {Settling time optimization technique for binary-weighted digital-to-analog converter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140132}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140132}, doi = {10.1587/ELEX.11.20140132}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSLYCLHCP14, author = {Seung{-}Hoon Kim and Jihye Shin and Jinju Lee and Xiao Ying and Hanbyul Choi and Kyungmin Lee and Chaerin Hong and Sang{-}Bock Cho and Sung Min Park}, title = {A multi-channel current-mode {CMOS} optical receiver array for active optical {HDMI} cables}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140927}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140927}, doi = {10.1587/ELEX.11.20140927}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSLYCLHCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimYCLHCP14, author = {Seung{-}Hoon Kim and Xiao Ying and Hanbyul Choi and Kyungmin Lee and Chaerin Hong and Sang{-}Bock Cho and Sung Min Park}, title = {A 3.125-to-22-Gb/s multi-rate clock and data recovery using voltage-regulated active filter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140953}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140953}, doi = {10.1587/ELEX.11.20140953}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimYCLHCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KobayashiAKL14, author = {Haruo Kobayashi and Hitoshi Aoki and Kentaroh Katoh and Congbing Li}, title = {Analog/mixed-signal circuit design in nano {CMOS} era}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20142001}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142001}, doi = {10.1587/ELEX.11.20142001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KobayashiAKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kousai14, author = {Shouhei Kousai}, title = {Recent progress in {CMOS} {RF} circuit design}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20132011}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20132011}, doi = {10.1587/ELEX.11.20132011}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Kousai14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KubotaMO14, author = {Hirokazu Kubota and Yuji Miyoshi and Masaharu Ohashi}, title = {Technique for measuring mode power of two-mode fiber II; Experiment}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140611}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140611}, doi = {10.1587/ELEX.11.20140611}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KubotaMO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KumarT14, author = {Narendra Kumar and YewKok Tee}, title = {A design technique to improve harmonic suppression in high efficiency wideband Class {E} {RF} power amplifier}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130824}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130824}, doi = {10.1587/ELEX.11.20130824}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KumarT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KurodaS14, author = {Rihito Kuroda and Shigetoshi Sugawa}, title = {Si image sensors with wide spectral response and high robustness to ultraviolet light exposure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20142004}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142004}, doi = {10.1587/ELEX.11.20142004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KurodaS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KwonPK14, author = {Soongyu Kwon and Jong Kang Park and Jong Tae Kim}, title = {An approximated soft error analysis technique for gate-level designs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140224}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140224}, doi = {10.1587/ELEX.11.20140224}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KwonPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KwonPK14a, author = {Soongyu Kwon and Jong Kang Park and Jong Tae Kim}, title = {{STAM:} System level state-machine-based thermal behavior analysis for multicore processor}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140798}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140798}, doi = {10.1587/ELEX.11.20140798}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KwonPK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Lai14, author = {Ching{-}Ming Lai}, title = {A battery-powered single-stage three-phase high step-up converter topology for micro {DC-UPS}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140852}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140852}, doi = {10.1587/ELEX.11.20140852}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Lai14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Lee14, author = {Hyuek Jae Lee}, title = {All-optical NRZ-to-RZ reconversion from the red-chirped {NRZ} signal generated by the RZ-to-NRZ converter using an SOA-loop-mirror}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130972}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130972}, doi = {10.1587/ELEX.11.20130972}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Lee14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Lee14a, author = {Dong{-}Ho Lee}, title = {A linearized amplifier using self-mixing feedback technique}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140084}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140084}, doi = {10.1587/ELEX.11.20140084}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Lee14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCP14, author = {Dong{-}Hyo Lee and Dae{-}Won Chung and Seongmin Pyo}, title = {Reconfigurable dual-slit perturbed patch antenna for circular polarization diversity}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140384}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140384}, doi = {10.1587/ELEX.11.20140384}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCS14, author = {Dongeun Lee and Jaesik Choi and Heonshik Shin}, title = {Low-complexity compressive sensing with downsampling}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130947}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130947}, doi = {10.1587/ELEX.11.20130947}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeHAKHYLLL14, author = {Ockgoo Lee and Jeonghu Han and Kyu Hwan An and Hyoungsoo Kim and Joonhui Hur and Kiseok Yang and Kyutae Lim and Chang{-}Ho Lee and Joy Laskar}, title = {Optimization of {CMOS} power-cell layout for improving junction breakdown}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140523}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140523}, doi = {10.1587/ELEX.11.20140523}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeHAKHYLLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeJ14, author = {Sangkwon Lee and Jinseong Jeong}, title = {An off-chip input capacitor-less boost converter with fast {MPPT} for energy harvesting}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140385}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140385}, doi = {10.1587/ELEX.11.20140385}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKB14, author = {Eunji Lee and Kern Koh and Hyokyung Bahn}, title = {{P2FS:} supporting atomic writes for reliable file system design in {PCM} storage}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140520}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140520}, doi = {10.1587/ELEX.11.20140520}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKJCC14, author = {Ho{-}Yun Lee and Jun{-}Ho Kim and In{-}Gul Jang and Kyung{-}Ju Cho and Jin{-}Gyun Chung}, title = {Memory efficient DIT-based {SDF} {IFFT} for {OFDM} systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140010}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140010}, doi = {10.1587/ELEX.11.20140010}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKJCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKPCJ14, author = {Sungju Lee and Heegon Kim and Daihee Park and Yongwha Chung and Taikyeong T. Jeong}, title = {{CPU-GPU} hybrid computing for feature extraction from video stream}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140932}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140932}, doi = {10.1587/ELEX.11.20140932}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKPCJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKSLK14, author = {Kyongsu Lee and Youngjin Kim and Kyung{-}Sub Son and Sangmin Lee and Jin{-}Ku Kang}, title = {A 1.1 mW/Gb/s 10 Gbps half-rate clock-embedded transceiver for high-speed links in 65 nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140671}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140671}, doi = {10.1587/ELEX.11.20140671}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKSLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLK14, author = {Yun{-}Gu Lee and Ki{-}Hoon Lee and Woosaeng Kim}, title = {Memory bandwidth reduction using frame pipeline in video codec chips}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140592}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140592}, doi = {10.1587/ELEX.11.20140592}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeePKK14, author = {Hyun{-}Seok Lee and Hyun{-}Gyu Park and Hyo{-}Tae Kim and Kyung{-}Tae Kim}, title = {Radar cross-section prediction based on shooting and bouncing rays using line tracing method}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140102}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140102}, doi = {10.1587/ELEX.11.20140102}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeePKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeePSY14, author = {Chun{-}Ku Lee and Jin Bae Park and YongJune Shin and Tae Sung Yoon}, title = {High resolution {LFMCW} radar system using model-based beat frequency estimation in cable fault localization}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {1}, pages = {20130768}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130768}, doi = {10.1587/ELEX.10.20130768}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeePSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeiLY14, author = {Dengyun Lei and Weijun Lu and Dunshan Yu}, title = {Resource-efficient acquisition architecture for BOC-modulated signals}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140358}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140358}, doi = {10.1587/ELEX.11.20140358}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeiLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCZYL14, author = {Yang Li and Xiaowen Chen and Xiaohui Zhao and Yong Yang and Hengzhu Liu}, title = {Round-trip latency prediction for memory access fairness in mesh-based many-core architectures}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141027}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141027}, doi = {10.1587/ELEX.11.20141027}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCZYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiGYW14, author = {Min Li and Huaxi Gu and Yintang Yang and Kun Wang}, title = {A 3D topology based-on partial overlapped clusters for NoC}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140790}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140790}, doi = {10.1587/ELEX.11.20140790}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiGYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiHLH14, author = {Mao Li and Qian He and Kai Li and Zishu He}, title = {A recursive method for compensating ionospheric phase contamination based on multistage Taylor expansion}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140391}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140391}, doi = {10.1587/ELEX.11.20140391}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiHLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLYH14, author = {Chang Lin Li and Jae Hoon Lee and Joon{-}Sung Yang and Tae Hee Han}, title = {Communication-aware custom topology generation for {VFI} network-on-chip}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140716}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140716}, doi = {10.1587/ELEX.11.20140716}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLYZ14, author = {Lei Li and Saiye Li and Peng Yang and Qingyu Zhang}, title = {Booth encoding modulo (2\emph{\({}^{\mbox{n}}\)} - 2\emph{\({}^{\mbox{p}}\)} - 1) multipliers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140588}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140588}, doi = {10.1587/ELEX.11.20140588}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiMD14, author = {Teng Li and Hongfu Meng and Wenbin Dou}, title = {Broadband transition between substrate integrated waveguide and rectangular waveguide based on ridged steps}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140434}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140434}, doi = {10.1587/ELEX.11.20140434}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiMD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWZCHYZ14, author = {Yi Li and Liang Wen and Yuejun Zhang and Xu Cheng and Jun Han and Zhiyi Yu and Xiaoyang Zeng}, title = {An area-efficient dual replica-bitline delay technique for process-variation-tolerant low voltage {SRAM} sense amplifier timing}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130992}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130992}, doi = {10.1587/ELEX.11.20130992}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWZCHYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZHW14, author = {Wei Li and Houxiang Zhang and Hans Petter Hildre and Jun Wang}, title = {An FPGA-based real-time {UAV} {SAR} raw signal simulator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140168}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140168}, doi = {10.1587/ELEX.11.20140168}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZZZSF14, author = {Peng Li and Minxuan Zhang and Weicheng Zhang and Zhenyu Zhao and Chao Song and Hua Fan}, title = {Effect of charge sharing on {SEU} sensitive area of 40-nm 6T {SRAM} cells}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20140051}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140051}, doi = {10.1587/ELEX.11.20140051}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZZZSF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiangDX14, author = {Bin Liang and Yankang Du and Hui Xu}, title = {Mitigating the SERs of large combinational circuits by using half guard band technique in {CMOS} bulk technology}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140710}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140710}, doi = {10.1587/ELEX.11.20140710}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiangDX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiangLZW14, author = {Zhengfa Liang and Hengzhu Liu and Botao Zhang and Benzhang Wang}, title = {Real-time hardware accelerator for single image haze removal using dark channel prior and guided filter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141002}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141002}, doi = {10.1587/ELEX.11.20141002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiangLZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiangWMGZ14, author = {Yong Liang and Zhigong Wang and Qiao Meng and Xiaodan Guo and Changchun Zhang}, title = {Improvement of the bit-stream squarer and square root circuit based on {\(\Sigma\)}{\(\Delta\)} modulation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140575}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140575}, doi = {10.1587/ELEX.11.20140575}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiangWMGZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimSL14, author = {Jongbum Lim and Yongwoon Song and Hyuk{-}Jun Lee}, title = {An optimal {DRAM} sizing and partitioning method for {NVRAM} based hybrid memory architecture}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140755}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140755}, doi = {10.1587/ELEX.11.20140755}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuG14, author = {Yan Liu and Guo{-}Qing Gu}, title = {Efficient algorithm for obtaining connected components in bi-level images}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130748}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130748}, doi = {10.1587/ELEX.11.20130748}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuHGS14, author = {Fang Liu and Yan Han and Yue Gao and Jun Sun}, title = {An analog {MPPT} controller {IC} together with its application circuit}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140880}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140880}, doi = {10.1587/ELEX.11.20140880}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuHGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuHZ14, author = {Xiaopeng Liu and Yan Han and Bin Zhang}, title = {An {SET} hardened dual-modular majority voter circuit for {TMR} system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20131029}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131029}, doi = {10.1587/ELEX.11.20131029}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuMG14, author = {Zonglin Liu and Sheng Ma and Yang Guo}, title = {An efficient floating-point multiplier for digital signal processors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140078}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140078}, doi = {10.1587/ELEX.11.20140078}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuMMZ14, author = {Lianxi Liu and Junchao Mu and Ning Ma and Zhangming Zhu}, title = {A hybrid threshold self-compensation rectifier for {RF} energy harvesting}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20141000}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141000}, doi = {10.1587/ELEX.11.20141000}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuMMZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuMYZ14, author = {Yi Liu and Shuai Ma and Yintang Yang and Zhangming Zhu}, title = {{CCS:} {A} low-power capacitively charge-sharing transmitter for NoC links}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {7}, pages = {20140038}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140038}, doi = {10.1587/ELEX.11.20140038}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuMYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuXHR14, author = {Xiaowei Liu and Honglin Xu and Chong He and Mingyuan Ren}, title = {Interface circuit of sigma-delta accelerometer with on-chip-test function}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140320}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140320}, doi = {10.1587/ELEX.11.20140320}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuXHR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuXYGR14, author = {Xiaowei Liu and Honglin Xu and Liang Yin and Zhiqiang Gao and Mingyuan Ren}, title = {A low noise sigma-delta microaccelerometer interface circuit}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140315}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140315}, doi = {10.1587/ELEX.11.20140315}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuXYGR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LongZTG14, author = {Keyu Long and Deguo Zeng and Bin Tang and Guan Gui}, title = {Nyquist folding digital receiver for signal interception}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20120158}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20120158}, doi = {10.1587/ELEX.11.20120158}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LongZTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LourdusamiV14, author = {Sahaya Senthamil Lourdusami and Rajasekaran Vairamani}, title = {Analysis, design and experimentation of series-parallel {LCC} resonant converter for constant current source}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140711}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140711}, doi = {10.1587/ELEX.11.20140711}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LourdusamiV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuFSLZ14, author = {Yuxiao Lu and Chaojie Fan and Lu Sun and Zhe Li and Jianjun Zhou}, title = {A fast low power window-opening logic for high speed {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140454}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140454}, doi = {10.1587/ELEX.11.20140454}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuFSLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoFTG14, author = {Jianjun Luo and Lingyan Fan and Chris Tsu and Xuan Geng}, title = {Solid-state drive controller with embedded {RAID} functions}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140419}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140419}, doi = {10.1587/ELEX.11.20140419}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoFTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoHCW14, author = {Jun Luo and Qijun Huang and Sheng Chang and Hao Wang}, title = {Fast reconstruction with adaptive sampling in block compressed imaging}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140056}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140056}, doi = {10.1587/ELEX.11.20140056}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoHCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoHCW14a, author = {Jun Luo and Qijun Huang and Sheng Chang and Hao Wang}, title = {Hardware efficient architecture for compressed imaging}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140562}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140562}, doi = {10.1587/ELEX.11.20140562}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoHCW14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoYLLDF14, author = {Xiaobin Luo and Weihua Yu and Xin Lv and Yuanjie Lv and Shaobo Dun and Zhihong Feng}, title = {Equivalent circuit model of millimeter-wave AlGaN/GaN HEMTs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140613}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140613}, doi = {10.1587/ELEX.11.20140613}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoYLLDF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LymOPHPP14, author = {HongKyun Lym and HwanSool Oh and JaeEun Pi and Chi{-}Sung Hwang and SangHee Ko Park and KeeChan Park}, title = {5 {V} input level shifter circuit for {IGZO} thin-film transistors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140539}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140539}, doi = {10.1587/ELEX.11.20140539}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LymOPHPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MaenoMNHTYFY14, author = {Shoko Maeno and Naoto Matsuo and Shohei Nakamura and Akira Heya and Tadao Takada and Kazushige Yamana and Masataka Fukuyama and Shin Yokoyama}, title = {Study of charge retention mechanism for {DNA} memory {FET}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20130900}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130900}, doi = {10.1587/ELEX.11.20130900}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MaenoMNHTYFY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsunagaMEOH14, author = {Shoun Matsunaga and Akira Mochizuki and Tetsuo Endoh and Hideo Ohno and Takahiro Hanyu}, title = {Design of an energy-efficient 2T-2MTJ nonvolatile {TCAM} based on a parallel-serial-combined search scheme}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20131006}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131006}, doi = {10.1587/ELEX.11.20131006}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsunagaMEOH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsunagaMSNSEOH14, author = {Shoun Matsunaga and Akira Mochizuki and Noboru Sakimura and Ryusuke Nebashi and Tadahiko Sugibayashi and Tetsuo Endoh and Hideo Ohno and Takahiro Hanyu}, title = {Complementary 5T-4MTJ nonvolatile {TCAM} cell circuit with phase-selective parallel writing scheme}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140297}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140297}, doi = {10.1587/ELEX.11.20140297}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsunagaMSNSEOH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MehdipourSOS14, author = {Amir Mehdipour and Kenji Sasaoka and Matsuto Ogawa and Satofumi Souma}, title = {Proposal of simplified model for absorption coefficients in quantum dot array based intermediate band solar cell structure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140548}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140548}, doi = {10.1587/ELEX.11.20140548}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MehdipourSOS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MinakawaKHKMN14, author = {Kazunari Minakawa and Kotaro Koike and Neisei Hayashi and Yasuhiro Koike and Yosuke Mizuno and Kentaro Nakamura}, title = {Dependence of Brillouin frequency shift on temperature in poly(pentafluorostyrene)-based polymer optical fibers estimated by acoustic velocity measurement}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140285}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140285}, doi = {10.1587/ELEX.11.20140285}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MinakawaKHKMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MinamiO14, author = {Takanari Minami and Takashi Ohira}, title = {Erratum: Unified active \emph{Q} factor formula for use in noise spectrum estimation from Leeson's and Hajimiri's models {[IEICE} Electronics Express Vol 10 {(2013)} No 24 pp 20130806]}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {1}, pages = {20148001}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20148001}, doi = {10.1587/ELEX.11.20148001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MinamiO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MinatoS14, author = {Tadaharu Minato and Katsumi Sato}, title = {Future trend of Si power device}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20142002}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142002}, doi = {10.1587/ELEX.11.20142002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MinatoS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MirzaHKDASJ14, author = {Asif Mirza and Nor Hisham Hamid and Mohd Haris M. Khir and John Ojur Dennis and Khalid Ashraf and Muhammad Shoaib and Mohammad Tariq Jan}, title = {A {CMOS-MEMS} cantilever sensor for capnometric applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140113}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140113}, doi = {10.1587/ELEX.11.20140113}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MirzaHKDASJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MiyoshiKO14, author = {Yuji Miyoshi and Hirokazu Kubota and Masaharu Ohashi}, title = {Nyquist {OTDM} scheme using optical root-Nyquist pulse and optical correlation receiver}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130943}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130943}, doi = {10.1587/ELEX.10.20130943}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MiyoshiKO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MizugakiTS14, author = {Yoshinao Mizugaki and Haruna Takahashi and Hiroshi Shimada}, title = {Zero-crossing Shapiro step generated in a niobium in-line Josephson gate}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140054}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140054}, doi = {10.1587/ELEX.11.20140054}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MizugakiTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoK14, author = {Hyunsun Mo and Daejeong Kim}, title = {Delay-based clock generator with edge transmission and reset}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140573}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140573}, doi = {10.1587/ELEX.11.20140573}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoonLSS14, author = {Dyukyoung Moon and Hyunseul Lee and Changhwan Shin and Hyungcheol Shin}, title = {Analysis and modeling for random telegraph noise of {GIDL} current in saddle {MOSFET} for {DRAM} application}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140468}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140468}, doi = {10.1587/ELEX.11.20140468}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoonLSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MorimuraOMSH14, author = {Hiroki Morimura and Shoichi Oshima and Kenichi Matsunaga and Toshishige Shimamura and Mitsuru Harada}, title = {Ultra-low-power circuit techniques for mm-size wireless sensor nodes with energy harvesting}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20142009}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142009}, doi = {10.1587/ELEX.11.20142009}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MorimuraOMSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaOSG14, author = {Toshifumi Moriyama and Giacomo Oliveri and Marco Salucci and Enrico Giarola}, title = {On the radiation properties of ADS-thinned dipole arrays}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {16}, pages = {20140569}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140569}, doi = {10.1587/ELEX.11.20140569}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaOSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaOST14, author = {Toshifumi Moriyama and Giacomo Oliveri and Marco Salucci and Takashi Takenaka}, title = {A Multi-Scaling Forward-Backward Time-Stepping Method for microwave imaging}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {16}, pages = {20140578}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140578}, doi = {10.1587/ELEX.11.20140578}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaOST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaPASR14, author = {Toshifumi Moriyama and Lorenzo Poli and Nicola Anselmi and Marco Salucci and Paolo Rocca}, title = {Real array pattern tolerances from amplitude excitation errors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140571}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140571}, doi = {10.1587/ELEX.11.20140571}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaPASR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaPR14, author = {Toshifumi Moriyama and Lorenzo Poli and Paolo Rocca}, title = {Adaptive nulling in thinned planar arrays through Genetic Algorithms}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140785}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140785}, doi = {10.1587/ELEX.11.20140785}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaPR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaS14, author = {Toshifumi Moriyama and Makoto Satake}, title = {Compensation of phase error caused by ground height among polarimetric channels in Pi-SAR-X2}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140839}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140839}, doi = {10.1587/ELEX.11.20140839}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaTJKKWT14, author = {Takumi Moriyama and Daiki Tanaka and Paridhi Jain and Hitoshi Kawashima and Masashi Kuwahara and Xiaomin Wang and Hiroyuki Tsuda}, title = {Ultra-compact, self-holding asymmetric Mach-Zehnder interferometer switch using Ge\({}_{\mbox{2}}\)Sb\({}_{\mbox{2}}\)Te\({}_{\mbox{5}}\) phase-change material}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140538}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140538}, doi = {10.1587/ELEX.11.20140538}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaTJKKWT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaVSRG14, author = {Toshifumi Moriyama and Federico Viani and Marco Salucci and Fabrizio Robol and Enrico Giarola}, title = {Planar multiband antenna for 3G/4G advanced wireless services}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140570}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140570}, doi = {10.1587/ELEX.11.20140570}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaVSRG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NamW14, author = {Ilku Nam and Doo Hyung Woo}, title = {An ambient-light sensor system with startup correction for {LTPS-TFT} {LCD}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140086}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140086}, doi = {10.1587/ELEX.11.20140086}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NamW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Narahara14, author = {Koichi Narahara}, title = {Efficiency of three-wave mixing in nonlinear composite right- and left-handed transmission lines}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {16}, pages = {20140547}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140547}, doi = {10.1587/ELEX.11.20140547}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Narahara14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Narahara14a, author = {Koichi Narahara}, title = {Soliton decay in composite right- and left-handed transmission lines periodically loaded with Schottky varactors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140881}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140881}, doi = {10.1587/ELEX.11.20140881}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Narahara14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NiDZLW14, author = {Shi{-}Ce Ni and Yong Dou and Dan Zou and Rongchun Li and Qiang Wang}, title = {Parallel graph traversal for {FPGA}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {7}, pages = {20130987}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130987}, doi = {10.1587/ELEX.11.20130987}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NiDZLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NiitsuHYK14, author = {Kiichi Niitsu and Naohiro Harigai and Takahiro J. Yamaguchi and Haruo Kobayashi}, title = {A low-offset cascaded time amplifier with reconfigurable inter-stage connection}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140203}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140203}, doi = {10.1587/ELEX.11.20140203}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NiitsuHYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OgawaIGMLSSPLTL14, author = {Kensuke Ogawa and Hiroki Ishihara and Kazuhiro Goi and Yasuhiro Mashiko and Soon Thor Lim and Min Jie Sun and Sean Seah and Ching Eng Png and Tsung{-}Yang Liow and Xiaoguang Tu and Guo{-}Qiang Lo and Dim{-}Lee Kwong}, title = {Fundamental characteristics and high-speed applications of carrier-depletion silicon Mach-Zehnder modulators}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20142010}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142010}, doi = {10.1587/ELEX.11.20142010}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OgawaIGMLSSPLTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Oh14, author = {Nam{-}Jin Oh}, title = {High performance differential Colpitts {VCO} with a linearized tuning range using a series resonator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140432}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140432}, doi = {10.1587/ELEX.11.20140432}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Oh14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhPE14, author = {Taehyoun Oh and Myung Chul Park and Yun Seong Eo}, title = {A 4Gb/s, 370{\(\mathrm{\mu}\)}A low-power Tx {FIR} driver for {LPDDR4} applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20130825}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130825}, doi = {10.1587/ELEX.10.20130825}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhPE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ohira14, author = {Takashi Ohira}, title = {Extended \emph{k}-\emph{Q} product formulas for capacitive- and inductive-coupling wireless power transfer schemes}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140147}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140147}, doi = {10.1587/ELEX.11.20140147}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ohira14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ohira14a, author = {Takashi Ohira}, title = {Maximum available efficiency formulation based on a black-box model of linear two-port power transfer systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140448}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140448}, doi = {10.1587/ELEX.11.20140448}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ohira14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ohmi14, author = {Shun'ichiro Ohmi}, title = {Importance of Si surface flatness to realize high-performance Si devices utilizing ultrathin films with new material system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20142006}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142006}, doi = {10.1587/ELEX.11.20142006}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ohmi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhsawaKKUM14, author = {Kazuto Ohsawa and Atsushi Kato and Toru Kanazawa and Eiji Uehara and Yasuyuki Miyamoto}, title = {Channel thickness dependence on InGaAs {MOSFET} with n-InP source for high current density}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140567}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140567}, doi = {10.1587/ELEX.11.20140567}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhsawaKKUM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OishiKMNT14, author = {Masayuki Oishi and Naoyasu Kamiya and Takahide Murakami and Kosuke Nishimura and Keiji Tanaka}, title = {Autonomous self-healing technique utilizing a self-injection-locked Fabry-Perot laser for optical and wireless communication systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {8}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140184}, doi = {10.1587/ELEX.11.20140184}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OishiKMNT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OkazakiKPYK14, author = {Toru Okazaki and Daisuke Kanemoto and Ramesh K. Pokharel and Keiji Yoshida and Haruichi Kanaya}, title = {A design methodology for {SAR} {ADC} optimal redundancy bit}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140218}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140218}, doi = {10.1587/ELEX.11.20140218}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OkazakiKPYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OnizawaH14, author = {Naoya Onizawa and Takahiro Hanyu}, title = {Soft-error tolerant transistor/magnetic-tunnel-junction hybrid non-volatile C-element}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141017}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141017}, doi = {10.1587/ELEX.11.20141017}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OnizawaH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OnoueMTS14, author = {Masatoshi Onoue and Takaaki Miyasako and Eisuke Tokumitsu and Tatsuya Shimoda}, title = {Observation of high dielectric constant of Bi-Nb-O\({}_{\mbox{x}}\) thin-film capacitors fabricated by chemical solution deposition process}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {16}, pages = {20140651}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140651}, doi = {10.1587/ELEX.11.20140651}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OnoueMTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ortega-TorresRS14, author = {Eduardo Ortega{-}Torres and Sergio Ru{\'{\i}}z{-}Hern{\'{a}}ndez and Carlos S{\'{a}}nchez{-}L{\'{o}}pez}, title = {Behavioral modeling for synthesizing n-scroll attractors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140467}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140467}, doi = {10.1587/ELEX.11.20140467}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ortega-TorresRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Padilla-CantoyaF14, author = {Ivan R. Padilla{-}Cantoya and Paul M. Furth}, title = {High performance voltage follower with very low output resistance for {WTA} applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140629}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140629}, doi = {10.1587/ELEX.11.20140629}, timestamp = {Sat, 21 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Padilla-CantoyaF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Park14, author = {Jung{-}Dong Park}, title = {260 GHz spatially combined transmitter with a V-band distributed {OOK} modulator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140736}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140736}, doi = {10.1587/ELEX.11.20140736}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Park14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Park14a, author = {Jung{-}Dong Park}, title = {Design of switching-mode {CMOS} frequency multipliers in sub-Terahertz regime}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140806}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140806}, doi = {10.1587/ELEX.11.20140806}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Park14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Park14b, author = {Jun Park}, title = {Vision-based refinement of {GPS} location and compass orientation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140566}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140566}, doi = {10.1587/ELEX.11.20140566}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Park14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkK14, author = {Jeong{-}Geun Park and Che{-}Young Kim}, title = {Analysis and design of the {CRLH} {SICL} unit cell using effective parameters}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20131000}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131000}, doi = {10.1587/ELEX.11.20131000}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkKJO14, author = {Kangyeob Park and Bong Chan Kim and Byunghoo Jung and Wonseok Oh}, title = {A 1-13 Gbps tunable optical receiver with supply voltage scaling}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140733}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140733}, doi = {10.1587/ELEX.11.20140733}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkKJO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkNSS14, author = {Wonkyeong Park and Van Ha Nguyen and Kil{-}Soo Seo and Han Jung Song}, title = {Design of a digital controller for an {LED} driver with a digital dimming}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20131012}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131012}, doi = {10.1587/ELEX.11.20131012}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkNSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkS14, author = {Hyeongyeol Park and Hyunchol Shin}, title = {A 3.8 MHz {CMOS} Wien-bridge oscillator with differential capacitive automatic amplitude control}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140681}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140681}, doi = {10.1587/ELEX.11.20140681}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkYM14, author = {Jongsu Park and Heejun Yun and Sangook Moon}, title = {Enhancing {MPI} performance using atomic pipelined message broadcast in a distributed memory MPSoC}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140357}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140357}, doi = {10.1587/ELEX.11.20140357}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkYM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PoongothaiRK14, author = {Poongothai Marimuthu and Rajeswari Arumugam and V. Kanishkan}, title = {A heuristic based real time task assignment algorithm for heterogeneous multiprocessors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130975}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130975}, doi = {10.1587/ELEX.11.20130975}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PoongothaiRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PrabakaranSC14, author = {Maruthamuthu Paramasivam Prabakaran and Arunagiri Sivasubramanian and Krishnan Chitra}, title = {Wavelet packet transform based de-noising receiver for indoor optical wireless system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140346}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140346}, doi = {10.1587/ELEX.11.20140346}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PrabakaranSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PrabhuS14, author = {C. M. R. Prabhu and Ajay Kumar Singh}, title = {Low-power reliable {SRAM} cell for write/read operation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140913}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140913}, doi = {10.1587/ELEX.11.20140913}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PrabhuS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QinYZLZ14, author = {Peng Qin and Hao Yan and Yangyang Zhou and Xiaoyong Li and Jianjun Zhou}, title = {Phase noise suppression techniques for high frequency synthesizers in 65 nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141062}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141062}, doi = {10.1587/ELEX.11.20141062}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/QinYZLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QinZYLZ14, author = {Peng Qin and Yangyang Zhou and Hao Yan and Xiaoyong Li and Jianjun Zhou}, title = {A fast and efficient automatic frequency calibration technique for 10 GHz PLLs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140845}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140845}, doi = {10.1587/ELEX.11.20140845}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/QinZYLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QuYZWC14, author = {Hui{-}Ming Qu and Xiao{-}Hui Yang and Qi Zheng and Xin{-}Tao Wang and Qian Chen}, title = {Thermal management technology of high-power light-emitting diodes for automotive headlights}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140965}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140965}, doi = {10.1587/ELEX.11.20140965}, timestamp = {Fri, 24 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/QuYZWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QuZZ14, author = {Xi Qu and Ze{-}kun Zhou and Bo Zhang}, title = {A low-power on-chip {LDO} with advanced reference buffer}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140824}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140824}, doi = {10.1587/ELEX.11.20140824}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/QuZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QuZZF14, author = {Xi Qu and Ze{-}kun Zhou and Bo Zhang and Qiang Fu}, title = {A fast-transient on-chip {LDO} with advanced dynamic biasing circuit}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140690}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140690}, doi = {10.1587/ELEX.11.20140690}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/QuZZF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QuanLZX14, author = {Yinghui Quan and Yachao Li and Zhangming Zhu and Mengdao Xing}, title = {The range alignment approach for signal acquisition system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140304}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140304}, doi = {10.1587/ELEX.11.20140304}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/QuanLZX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SeoNJPS14, author = {Kil{-}Soo Seo and Van Ha Nguyen and Jinwoo Jung and Jusung Park and Han Jung Song}, title = {Multi-string AC-powered {LED} driver with current regulation reduction based on simple circuitry}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140810}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140810}, doi = {10.1587/ELEX.11.20140810}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SeoNJPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShanMTL14, author = {Tao Shan and Yahui Ma and Ran Tao and Shengheng Liu}, title = {Multi-channel NLMS-based sea clutter cancellation in passive bistatic radar}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140872}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140872}, doi = {10.1587/ELEX.11.20140872}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShanMTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShanZFC14, author = {Weiwei Shan and Xiao Zhang and Xingyuan Fu and Peng Cao}, title = {{VLSI} design of a reconfigurable S-box based on memory sharing method}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {1}, pages = {20130872}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130872}, doi = {10.1587/ELEX.10.20130872}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShanZFC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShenXJ14, author = {Kele Shen and Dong Xiang and Zhou Jiang}, title = {Reconfigured test architecture optimization for TSV-based three-dimensional SoCs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {16}, pages = {20140661}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140661}, doi = {10.1587/ELEX.11.20140661}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShenXJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShengCLJ14, author = {Duo Sheng and Ching{-}Che Chung and Hsiu{-}Fan Lai and Shu{-}Syun Jhao}, title = {High-resolution and all-digital on-chip delay measurement with low supply sensitivity for SoC applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20131011}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131011}, doi = {10.1587/ELEX.11.20131011}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShengCLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShiX14, author = {Xiaomin Shi and Xiaoli Xi}, title = {A compact dual-mode dual-band bandpass filter design with controllable first passband}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140991}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140991}, doi = {10.1587/ELEX.11.20140991}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShiX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShimadaOMUO14, author = {Akiro Shimada and Shinsuke Ohtake and Yuichi Miyaji and Hideyuki Uehara and Takashi Ohira}, title = {Power division ratio on multi-hop {WPT} considering coupling of the receiver with two couplers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140034}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140034}, doi = {10.1587/ELEX.11.20140034}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShimadaOMUO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Shin14, author = {Changhwan Shin}, title = {State-of-the-art silicon device miniaturization technology and its challenges}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20142005}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142005}, doi = {10.1587/ELEX.11.20142005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Shin14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinLHHTOKIPKLHP14, author = {Changhwan Shin and Gwang{-}Geun Lee and Dae{-}Hee Han and Seung{-}Pil Han and Eisuke Tokumitsu and Shun'ichiro Ohmi and Dong{-}Joo Kim and Hiroshi Ishiwara and Minseo Park and Seung{-}Hyun Kim and Wan{-}Gyu Lee and Yun Jeong Hwang and Byung{-}Eun Park}, title = {Experimental demonstration of a ferroelectric {FET} using paper substrate}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140447}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140447}, doi = {10.1587/ELEX.11.20140447}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinLHHTOKIPKLHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinS14, author = {Ilhoon Shin and Yong H. Shin}, title = {Active log pool for fully associative sector translation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {1}, pages = {20130942}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130942}, doi = {10.1587/ELEX.10.20130942}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinSLKSKPCAHR14, author = {Jea{-}Shik Shin and Insang Song and Moon{-}Chul Lee and Chul{-}Soo Kim and Sang Uk Son and Duck{-}Hwan Kim and Ho{-}Soo Park and Jing Cui and Yujie Ai and Sungwoo Hwang and Jae{-}Sung Rieh}, title = {Bulk acoustic wave resonator with suppressed energy loss using improved lateral structure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20130938}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130938}, doi = {10.1587/ELEX.11.20130938}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinSLKSKPCAHR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongL14, author = {Hyeonho Song and Minjae Lee}, title = {Asymmetric monotonic switching scheme for energy-efficient {SAR} ADCs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140345}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140345}, doi = {10.1587/ELEX.11.20140345}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongL14a, author = {Xin{-}Wu Song and Jian{-}Hui Lin}, title = {The optimization of electrical fast transient filter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140485}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140485}, doi = {10.1587/ELEX.11.20140485}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongL14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongN14, author = {Lizhong Song and Yuming Nie}, title = {A printed dual polarized array antenna element with a three layer structure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140047}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140047}, doi = {10.1587/ELEX.11.20140047}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongN14a, author = {Lizhong Song and Yuming Nie}, title = {A novel miniaturized Wilkinson power divider using comb-like defected ground structure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140959}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140959}, doi = {10.1587/ELEX.11.20140959}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongN14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunGYZ14, author = {Huafeng Sun and Huaxi Gu and Yintang Yang and Jian Zhu}, title = {H-cluster: a hybrid architecture for three-dimensional many-core chips}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140876}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140876}, doi = {10.1587/ELEX.11.20140876}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunGYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunHQ14, author = {Jun Sun and Yan Han and Yuji Qian}, title = {A low power low supply sensitivity current-mode relaxation oscillator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140877}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140877}, doi = {10.1587/ELEX.11.20140877}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunHQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunXSL14, author = {Daying Sun and Shen Xu and Weifeng Sun and Shengli Lu}, title = {A digital control algorithm for single-phase boost {PFC} converter with fast dynamic response}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140493}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140493}, doi = {10.1587/ELEX.11.20140493}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunXSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunYZZCJW14, author = {Hengqing Sun and Guobin Yang and Yuannong Zhang and Peng Zhu and Xiao Cui and Chunhua Jiang and Fan Wang}, title = {The hardware design of a new ionospheric sounding system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140249}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140249}, doi = {10.1587/ELEX.11.20140249}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunYZZCJW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SuzukiCTKINK14, author = {Keijiro Suzuki and Guangwei Cong and Ken Tanizawa and Sang{-}Hun Kim and Kazuhiro Ikeda and Shu Namiki and Hitoshi Kawashima}, title = {Multiport optical switches integrated on Si photonics platform}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20142011}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20142011}, doi = {10.1587/ELEX.11.20142011}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SuzukiCTKINK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SuzukiSNMSEOH14, author = {Daisuke Suzuki and Noboru Sakimura and Masanori Natsui and Akira Mochizuki and Tadahiko Sugibayashi and Tetsuo Endoh and Hideo Ohno and Takahiro Hanyu}, title = {A compact low-power nonvolatile flip-flop using domain-wall-motion-device-based single-ended structure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140296}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140296}, doi = {10.1587/ELEX.11.20140296}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SuzukiSNMSEOH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakahashiHAHN14, author = {Hiroyuki Takahashi and Akihiko Hirata and Katsuhiro Ajito and Shintaro Hisatake and Tadao Nagatsuma}, title = {10-Gbit/s close-proximity wireless system meeting the regulation for extremely low-power radio stations}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20130989}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130989}, doi = {10.1587/ELEX.11.20130989}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TakahashiHAHN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakashimaMMOKK14, author = {Yusuke Takashima and Yuto Maejima and Hiroshi Murata and Yasuyuki Okamura and Atsushi Kanno and Tetsuya Kawanishi}, title = {Optical DFG-based 60 GHz signal generation by using a LiTaO\({}_{\mbox{3}}\) rectangular waveguide}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140381}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140381}, doi = {10.1587/ELEX.11.20140381}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakashimaMMOKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakeuchiCYK14, author = {Daiki Takeuchi and Wataru Chujo and Shin{-}ichi Yamamoto and Yahei Koyamada}, title = {Coherent synthesis of two continuous microwave signals generated by two optical beats}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140209}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140209}, doi = {10.1587/ELEX.11.20140209}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakeuchiCYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TanWTLYT14, author = {Jian Tan and Biyang Wen and Yingwei Tian and Ke Li and Jing Yang and Mao Tian}, title = {Design and {FPGA} implementation of time-frequency transforming for stretch processing}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140387}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140387}, doi = {10.1587/ELEX.11.20140387}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TanWTLYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TanakaW14, author = {Yuuki Tanaka and Shugang Wei}, title = {Efficient squaring circuit using canonical signed-digit number representation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130955}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130955}, doi = {10.1587/ELEX.11.20130955}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TanakaW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TangMLC14, author = {Jifei Tang and Qiao Meng and Yezi Lei and Zhikuang Cai}, title = {Quasar signal estimation and compensation for data processing in {VLBI} receiver}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140897}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140897}, doi = {10.1587/ELEX.11.20140897}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TangMLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianBWZJ14, author = {Xiaoming Tian and Chunfeng Bai and Jianhui Wu and Meng Zhang and Xincun Ji}, title = {A low power dB-linear {RSSI} based on logarithmic amplifier}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140431}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140431}, doi = {10.1587/ELEX.11.20140431}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianBWZJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianQYJ14, author = {Gao Tian and Wang Qi and Yang Yan and Hou Jing}, title = {Design of {LED} power supply with high power factor based on {SEPIC} converter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140576}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140576}, doi = {10.1587/ELEX.11.20140576}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianQYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TianWXZ14, author = {Mi Tian and Zhigong Wang and Jian Xu and Changchun Zhang}, title = {Design of Q-enhanced Class-C {VCO} with robust start-up and high oscillation stability}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140982}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140982}, doi = {10.1587/ELEX.11.20140982}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TianWXZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TsuchiyaSH14, author = {Masahiro Tsuchiya and Takahiro Shiozawa and Shinji Harakawa}, title = {Electric field sensing and imaging by noninvasive parallel-plate sensor}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140745}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140745}, doi = {10.1587/ELEX.11.20140745}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TsuchiyaSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UeharaHTTO14, author = {Tomoyuki Uehara and Kohei Hagiwara and Toshihide Tanigaki and Kenichiro Tsuji and Noriaki Onodera}, title = {Frequency stabilization of two orthogonally polarized external cavity laser diodes using a novel {\(\gamma\)}-type optical configuration consist of a phase modulator and a Faraday rotator mirror}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140169}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140169}, doi = {10.1587/ELEX.11.20140169}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UeharaHTTO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UjiharaHTMN14, author = {Hiroki Ujihara and Neisei Hayashi and Marie Tabaru and Yosuke Mizuno and Kentaro Nakamura}, title = {Measurement of large-strain dependence of optical propagation loss in perfluorinated polymer fibers for use in seismic diagnosis}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140707}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140707}, doi = {10.1587/ELEX.11.20140707}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UjiharaHTMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UribeAGMCM14, author = {Claudia Feregrino Uribe and Ernesto Aparicio{-}D{\'{\i}}az and Jos{\'{e}} Juan Garc{\'{\i}}a{-}Hern{\'{a}}ndez and Alejandra Menendez{-}Ortiz and Ren{\'{e}} Cumplido and Alicia Morales{-}Reyes}, title = {Hardware architecture for security improved Fallahpour audio watermarking scheme}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140223}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140223}, doi = {10.1587/ELEX.11.20140223}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/UribeAGMCM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ValeroRRMC14, author = {Mar{\'{\i}}a de Rodanas Valero and Alejandro Roman{-}Loera and Jaime Ram{\'{\i}}rez{-}Angulo and Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s and Santiago Celma}, title = {Rail to rail {CMOS} complementary input stage with only one active differential pair at a time}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140392}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140392}, doi = {10.1587/ELEX.11.20140392}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ValeroRRMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/VijayakumarK14, author = {S. Vijayakumar and Reeba Korah}, title = {Circuit level, 32nm, 1-bit {MOSSI-ULP} adder: power, {PDP} and area efficient base cell for unsigned multiplier}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140109}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140109}, doi = {10.1587/ELEX.11.20140109}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/VijayakumarK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCX14, author = {Dong Wang and Peng Cao and Yang Xiao}, title = {A parallel arithmetic array for accelerating compute-intensive applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20130981}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130981}, doi = {10.1587/ELEX.11.20130981}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCX14a, author = {Dong Wang and Peng Cao and Yang Xiao}, title = {Reduced-error constant correction truncated multiplier}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140481}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140481}, doi = {10.1587/ELEX.11.20140481}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCX14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangDY14, author = {Liandong Wang and Huanyao Dai and Hui Yang}, title = {A novel pulsed Doppler radar seeker modeling method used for closed loop trajectory simulation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140844}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140844}, doi = {10.1587/ELEX.11.20140844}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangDY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangGA14, author = {Yong Wang and Jianhua Ge and Bo Ai}, title = {An adaptive predistortion for power amplifier nonlinearity in the presence of measurement noise}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20131044}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131044}, doi = {10.1587/ELEX.11.20131044}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangGYCW14, author = {Kang Wang and Huaxi Gu and Yintang Yang and Ke Chen and Kun Wang}, title = {On the design of a 3D optical interconnected memory system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140664}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140664}, doi = {10.1587/ELEX.11.20140664}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangGYCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangHWW14, author = {Liang{-}Hung Wang and Yi{-}Mao Hsiao and Rong{-}Shan Wei and San{-}Fu Wang}, title = {Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20140090}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140090}, doi = {10.1587/ELEX.11.20140090}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangHWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangKYN14, author = {Yixin Wang and Keisuke Kasai and Masato Yoshida and Masataka Nakazawa}, title = {60 Gbit/s, 64 {QAM} LD-based injection-locked coherent heterodyne transmission over 160 km with a spectral efficiency of 9 bit/s/Hz}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140641}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140641}, doi = {10.1587/ELEX.11.20140641}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangKYN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLYR14, author = {Mingshuo Wang and Li Lin and Fan Ye and Junyan Ren}, title = {A 7 bit 1 GS/s pipelined folding and interpolating {ADC} with \emph{coarse-stage-free joint encoding}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140371}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140371}, doi = {10.1587/ELEX.11.20140371}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLYR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangMLW14, author = {Peng Wang and Sheng Ma and Hongyi Lu and Zhiying Wang}, title = {A comprehensive comparison between virtual cut-through and wormhole routers for cache coherent Network on-Chips}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140496}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140496}, doi = {10.1587/ELEX.11.20140496}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangMLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangW14, author = {To{-}Po Wang and Shih{-}Yu Wang}, title = {A new dual -\emph{G}\({}_{\mbox{m}}\) structure with Class-AB operation of low-power low-phase-noise K-band {CMOS} {VCO}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140250}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140250}, doi = {10.1587/ELEX.11.20140250}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangW14a, author = {To{-}Po Wang and Chih{-}Yu Wu}, title = {An 82.3- to 87.4-GHz modified differential Colpitts {VCO} in 0.18-{\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140342}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140342}, doi = {10.1587/ELEX.11.20140342}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangW14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWH14, author = {Leiou Wang and Donghui Wang and Chengpeng Hao}, title = {Low power address bus encoding using loop prediction}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140379}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140379}, doi = {10.1587/ELEX.11.20140379}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWYH14, author = {Leiou Wang and Donghui Wang and Huan Ying and Chengpeng Hao}, title = {Low power register files by eliminating redundant read}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140774}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140774}, doi = {10.1587/ELEX.11.20140774}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangXZ14, author = {Lili Wang and Xiangjun Xin and Linwei Zhu}, title = {A widely tunable erbium-doped fiber laser using closed loop control}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140517}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140517}, doi = {10.1587/ELEX.11.20140517}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangXZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangY0R14, author = {Mingshuo Wang and Fan Ye and Wei Li and Junyan Ren}, title = {A 42fJ 8-bit 1.0-GS/s folding and interpolating {ADC} with 1GHz signal bandwidth}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130986}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130986}, doi = {10.1587/ELEX.11.20130986}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangY0R14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangYLK14, author = {Weiwei Wang and Zhiqiang You and Peng Liu and Jishun Kuang}, title = {An adaptive neural network {A/D} converter based on CMOS/memristor hybrid design}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141012}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141012}, doi = {10.1587/ELEX.11.20141012}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangYLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangYWH14, author = {Xin{-}Gang Wang and Hai{-}Gang Yang and Fei Wang and Hui He}, title = {Successive approximation time-to-digital converter based on vernier charging method}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {1}, pages = {20130885}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130885}, doi = {10.1587/ELEX.10.20130885}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangYWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZ14, author = {Chunzao Wang and Bin Zhang}, title = {{NPN} aided fast switching insulated gate bipolar transistor with a p-buffer layer}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140294}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140294}, doi = {10.1587/ELEX.11.20140294}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiLLG14, author = {Jizeng Wei and Xulong Liu and Hao Liu and Wei Guo}, title = {A low-time-complexity and secure dual-field scalar multiplication based on co-Z protected {NAF}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140361}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140361}, doi = {10.1587/ELEX.11.20140361}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiLLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiYK14, author = {Zhiheng Wei and Keita Yasutomi and Shoji Kawahito}, title = {Extremely small differential non-linearity in a {DMOS} capacitor based cyclic {ADC} for {CMOS} image sensors}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140893}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140893}, doi = {10.1587/ELEX.11.20140893}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeyPCC14, author = {I{-}Chyn Wey and Chien{-}Chang Peng and Heng{-}Jui Chou and Po Tsang Chen}, title = {Reliable and low error dual modular redundancy {FIR} filter with wide protection window}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140183}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140183}, doi = {10.1587/ELEX.11.20140183}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeyPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiDJYHZ14, author = {Yue Xi and Zhongliang Deng and Jichao Jiao and Lu Yin and Ke Han and Di Zhu}, title = {A novel acquisition scheme for Galileo {E1} {OS} signals}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140979}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140979}, doi = {10.1587/ELEX.11.20140979}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XiDJYHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaFYZCY14, author = {Zhenghuan Xia and Guangyou Fang and Shengbo Ye and Qunying Zhang and Chao Chen and Hejun Yin}, title = {A novel handheld pseudo random coded {UWB} radar for human sensing applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140981}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140981}, doi = {10.1587/ELEX.11.20140981}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaFYZCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaWCZJ14, author = {Lanhua Xia and Jianhui Wu and Zhikuang Cai and Meng Zhang and Xincun Ji}, title = {A low-cost built-in self-test for {CP-PLL} based on {TDC}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140247}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140247}, doi = {10.1587/ELEX.11.20140247}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaWCZJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieZ0S14, author = {Zhen Xie and Yang Zhang and Jun Yang and Longxing Shi}, title = {An improved memory system simulator based on DRAMSim2}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140466}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140466}, doi = {10.1587/ELEX.11.20140466}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieZ0S14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieZS14, author = {Zhen Xie and Yang Zhang and Longxing Shi}, title = {A method for estimating the 3D rendering performance of the SoC in the early design stage}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140386}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140386}, doi = {10.1587/ELEX.11.20140386}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieZYLWY14, author = {Shao{-}Yi Xie and Xiao{-}Fa Zhang and Jun Yang and Li{-}Guo Liu and Quan Wang and Nai{-}Chang Yuan}, title = {FPGA-based ultra-fast and wideband instantaneous frequency measurement receiver}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140263}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140263}, doi = {10.1587/ELEX.11.20140263}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieZYLWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiongZZ14, author = {Yanwei Xiong and Jianhua Zhang and Ping Zhang}, title = {Parallel multi-rate compressed sampling with a sub-Nyquist sampling rate}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140330}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140330}, doi = {10.1587/ELEX.11.20140330}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiongZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuLHR14, author = {Honglin Xu and Xiaowei Liu and Fuxiang Huang and Mingyuan Ren}, title = {Low-distortion bandpass {\(\Sigma\)}{\(\Delta\)} modulator using two-path double-sampling technique}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140337}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140337}, doi = {10.1587/ELEX.11.20140337}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuLHR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuWTS14, author = {Xinxin Xu and Qun Wang and Zhanghong Tang and Biao Sun}, title = {Optimal design of non-magnetic metamaterial absorbers using visualization method}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140676}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140676}, doi = {10.1587/ELEX.11.20140676}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuWTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YanHCHM14, author = {Zhiting Yan and Guanghui He and Xi Chen and Weifeng He and Zhigang Mao}, title = {Improved Max-Log-MAP {BICM-IDD} receiver for {MIMO} systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140800}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140800}, doi = {10.1587/ELEX.11.20140800}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YanHCHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLCQMC14, author = {Xiaoming Yang and Tianqian Li and Yu Cai and Xiqiang Qiu and Bo Ma and Changjiang Chen}, title = {A novel controllable carrier-injection mechanism in high voltage diode for reducing switching loss}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140461}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140461}, doi = {10.1587/ELEX.11.20140461}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLCQMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLZD14, author = {Yintang Yang and Xiaoxian Liu and Zhangming Zhu and Ruixue Ding}, title = {Temperature properties of the parasitic resistance of through-silicon vias (TSVs) in high-frequency 3-D ICs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140504}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140504}, doi = {10.1587/ELEX.11.20140504}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLZD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangWSXLWZWW14, author = {Jing Yang and Ruokun Wang and Yangsheng Shi and Xinjun Xu and Siming Li and Caijun Wang and Hao Zhou and Biyang Wen and Shicai Wu}, title = {Dual-use multistatic {HF} ocean radar for current mapping and ship tracking}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140281}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140281}, doi = {10.1587/ELEX.11.20140281}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YangWSXLWZWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YiXBL14, author = {Wei Yi and Hui Xu and Kai Bu and Nan Li}, title = {A new differential {RAID} for high reliable All Flash Array}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20141007}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141007}, doi = {10.1587/ELEX.11.20141007}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YiXBL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YimLH14, author = {Myung{-}Kyoon Yim and Kyoung{-}Min Lee and Tae Hee Han}, title = {Surface temperature-aware thermal management technique for mobile devices}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140944}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140944}, doi = {10.1587/ELEX.11.20140944}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YimLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YinZJFQX14, author = {Yue Yin and Yiqi Zhuang and Gang Jin and Xiaoqiang Fan and Xiaofei Qi and Xin Xiang}, title = {A 48-dB precise decibel linear programmable gain amplifier for {GNSS} receivers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {21}, pages = {20140940}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140940}, doi = {10.1587/ELEX.11.20140940}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YinZJFQX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoshikawaN14, author = {Takefumi Yoshikawa and Makoto Nagata}, title = {Timing margin enhancement technique for current mode interface}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140766}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140766}, doi = {10.1587/ELEX.11.20140766}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoshikawaN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoshimuraO14, author = {Wataru Yoshimura and Kenichi Ohhata}, title = {Automatic technique of distortion compensation in resistor ladder for high-speed and low-power {ADC}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {11}, pages = {20140313}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140313}, doi = {10.1587/ELEX.11.20140313}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoshimuraO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Yu14, author = {Byung{-}Gyu Yu}, title = {An improved dynamic maximum power point tracking method for {PV} application}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130941}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130941}, doi = {10.1587/ELEX.11.20130941}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Yu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Yu14a, author = {Byung{-}Gyu Yu}, title = {An improved active frequency drift anti-islanding method for multiple {PV} micro-inverter systems}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140143}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140143}, doi = {10.1587/ELEX.11.20140143}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Yu14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuSLHTYM14, author = {Sichen Yu and Zhonghan Shen and Xiaolu Liu and Huixiang Han and Xi Tan and Na Yan and Hao Min}, title = {A digital intensive clock recovery circuit for HF-Band active {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {7}, pages = {20140138}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140138}, doi = {10.1587/ELEX.11.20140138}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuSLHTYM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuYCL14, author = {Seunghak Yu and Sungroh Yoon and Eui{-}Young Chung and Hyuk{-}Jun Lee}, title = {Design of a bitmap-based QoS-aware memory controller for a packet memory}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {5}, pages = {20130983}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130983}, doi = {10.1587/ELEX.11.20130983}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuYCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YukinariSNA14, author = {Masashi Yukinari and Noriaki Sato and Nobuhiko Nishiyama and Shigehisa Arai}, title = {Spectral characteristics of a 1.3-{\(\mathrm{\mu}\)}m npn-AlGaInAs/InP transistor laser under various operating conditions}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140679}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140679}, doi = {10.1587/ELEX.11.20140679}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YukinariSNA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZawawiZ14, author = {Ruhaifi Abdullah Zawawi and Tun Zainal Azni Zulkifli}, title = {A 1.6 ppm/{\textdegree}C bandgap voltage reference for an extended operating temperature range}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140383}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140383}, doi = {10.1587/ELEX.11.20140383}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZawawiZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZengZSYX14, author = {Zhibin Zeng and Yiqi Zhuang and Zengning Shen and Yindi Yao and Xin Xiang}, title = {A novel simple wideband common-mode suppression filter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140582}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140582}, doi = {10.1587/ELEX.11.20140582}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZengZSYX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZengZZZS14, author = {Yu Zeng and Xiaofang Zhou and Linshan Zhang and Nianrong Zhou and Gerald E. Sobelman}, title = {A database-driven Ant Colony Algorithm for {PLC} networking}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140957}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140957}, doi = {10.1587/ELEX.11.20140957}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZengZZZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaiZZZG14, author = {Leyu Zhai and Haitao Zhai and Ziwei Zhou and Eryang Zhang and Kai Gao}, title = {A novel approach to pruning the general Volterra series for modeling power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20131030}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131030}, doi = {10.1587/ELEX.11.20131030}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaiZZZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaiZZZZ14, author = {Leyu Zhai and Haitao Zhai and Ziwei Zhou and Eryang Zhang and Runzhou Zhang}, title = {Suboptimum custom-tailored model based on the pruned Volterra series for power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140693}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140693}, doi = {10.1587/ELEX.11.20140693}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaiZZZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCCY14, author = {Chao Zhang and Jianjun Chen and Yaqing Chi and Hui Yang}, title = {Research on single-event transient mechanism in a novel {SOI} {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140518}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140518}, doi = {10.1587/ELEX.11.20140518}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCSLJWWCW14, author = {Yiyun Zhang and Houpeng Chen and Zhitang Song and Xi Li and Rong Jin and Qian Wang and Yuchan Wang and Daolin Cai and Yueqing Wang}, title = {A smart method of optimizing the read/write current on {PCM} array}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {15}, pages = {20140529}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140529}, doi = {10.1587/ELEX.11.20140529}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCSLJWWCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangGZZW14, author = {Huajun Zhang and Huotao Gao and Qingchen Zhou and Lin Zhou and Fan Wang}, title = {A novel digital beamformer applied in vehicle mounted {HF} receiving device}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130919}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130919}, doi = {10.1587/ELEX.11.20130919}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangGZZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLHW14, author = {Kaifeng Zhang and Huanzhang Lu and Weidong Hu and Jian Wang}, title = {A {LUT} manipulation based intrinsic evolvable system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20131003}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131003}, doi = {10.1587/ELEX.11.20131003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLSYS14, author = {Chunwei Zhang and Siyang Liu and Daying Sun and Chaohui Yu and Weifeng Sun}, title = {A lateral {DMOS} with partial buried-oxide layer to achieve better {RESURF} effect}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20140055}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140055}, doi = {10.1587/ELEX.11.20140055}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLSYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLZLWYL14, author = {Jun{-}An Zhang and Guangjun Li and Rui{-}Tao Zhang and Jiao{-}Xue Li and Ya{-}Feng Wei and Bo Yan and Ru{-}Zhang Li}, title = {A 2.5-GHz Direct Digital Frequency Synthesizer with spurious noise cancellation}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {14}, pages = {20140533}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140533}, doi = {10.1587/ELEX.11.20140533}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLZLWYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWZC14, author = {Xiaoqiang Zhang and Ning Wu and Fang Zhou and Xin Chen}, title = {An optimized delay-aware common subexpression elimination algorithm for hardware implementation of binary-field linear transform}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140934}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140934}, doi = {10.1587/ELEX.11.20140934}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWZC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangXWWY14, author = {De{-}Ping Zhang and Shao{-}Yi Xie and Chao Wang and Wei{-}wei Wu and Nai{-}Chang Yuan}, title = {Design of a coherent inverse synthetic aperture radar moving target simulator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141044}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141044}, doi = {10.1587/ELEX.11.20141044}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangXWWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangYCWIN14, author = {Songjun Zhang and Guoan Yang and Zhengxing Cheng and Huub van de Wetering and Chihiro Ikuta and Yoshifumi Nishio}, title = {A novel optimization design approach for Contourlet directional filter banks}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {17}, pages = {20140556}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140556}, doi = {10.1587/ELEX.11.20140556}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangYCWIN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZLLQWR14, author = {Yanlong Zhang and Yiqi Zhuang and Zhenrong Li and Hongyun Li and Xing Quan and Bo Wang and Xiaojiao Ren}, title = {A {CMOS} semi-distributed step attenuator with low insertion loss and low phase distortion}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140394}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140394}, doi = {10.1587/ELEX.11.20140394}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZLLQWR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZLQR14, author = {Yanlong Zhang and Yiqi Zhuang and Zhenrong Li and Xing Quan and Xiaojiao Ren}, title = {A broadband 5-bit {CMOS} step attenuator in small area with low insertion loss}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140216}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140216}, doi = {10.1587/ELEX.11.20140216}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZLQR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZNJ14, author = {Zhengmin Zhang and Lin Zhang and Zhenqiu Ning and Xing Jin}, title = {A novel electromagnetic interference resisting local interconnection network transmitter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {10}, pages = {20140307}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140307}, doi = {10.1587/ELEX.11.20140307}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZNJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoFCSG14, author = {Hui Zhao and Shiquan Fan and Leicheng Chen and Yan Song and Li Geng}, title = {A 0.2 {V-1.8} {V} 8T {SRAM} with Bit-interleaving Capability}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {8}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140229}, doi = {10.1587/ELEX.11.20140229}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoFCSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoYD14, author = {Yingbo Zhao and Yintang Yang and Gang Dong}, title = {Modeling and optimization of noise coupling in TSV-based 3D ICs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140797}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140797}, doi = {10.1587/ELEX.11.20140797}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoYD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoZD14, author = {Xiao Zhao and Qi{-}Sheng Zhang and Ming Deng}, title = {A 1-V recycling current {OTA} with improved gain-bandwidth and input/output range}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20131040}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131040}, doi = {10.1587/ELEX.11.20131040}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoZD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengCCL14, author = {Daqing Zheng and Weimin Chen and Li Chen and Cunlong Li}, title = {A microwave radar system based on carrier modulation and heterodyne phase difference detecting with time-to-digital converter}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140791}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140791}, doi = {10.1587/ELEX.11.20140791}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengGZ14, author = {Guohai Zheng and Huaxi Gu and Jian Zhu}, title = {Design and implementation of a NoC router supporting multicast}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {2}, pages = {20130655}, year = {2014}, url = {https://doi.org/10.1587/elex.10.20130655}, doi = {10.1587/ELEX.10.20130655}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengGZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhongHSZCS14, author = {Dongdong Zhong and Yan Han and Jun Sun and Qian Zhou and Ray C. C. Cheung and Wenquan Sui}, title = {A perfectly current matched charge pump with wide dynamic range for ultra low voltage applications}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140993}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140993}, doi = {10.1587/ELEX.11.20140993}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhongHSZCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouCLWCLWS14, author = {Mi Zhou and Xiaogang Chen and Shunfen Li and Yueqing Wang and Yifeng Chen and Gezi Li and Yuchan Wang and Zhitang Song}, title = {Flexible block management with data migration wear-leveling algorithm for phase change memory}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {22}, pages = {20140924}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140924}, doi = {10.1587/ELEX.11.20140924}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouCLWCLWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouWCC14, author = {Mingjie Zhou and Jianhui Wu and Chao Chen and Zhikang Cai}, title = {A tunable Gm-C polyphase filter with high linearity and automatic frequency calibration}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140794}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140794}, doi = {10.1587/ELEX.11.20140794}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouWCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.