Search dblp for Publications

export results for "toc:db/conf/socc/socc2011.bht:"

 download as .bib file

@inproceedings{DBLP:conf/socc/Abraham11,
  author       = {Jacob A. Abraham},
  title        = {Tutorial: "Manufacturing test of systems-on-a-chip (SoCs)"},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {272},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085148},
  doi          = {10.1109/SOCC.2011.6085148},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Abraham11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ArumugamSBV11,
  author       = {Thianantha Arumugam and
                  Sakir Sezer and
                  Dwayne Burns and
                  Vishalini Vasu},
  title        = {High performance multi-engine regular expression processing},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {347--352},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085117},
  doi          = {10.1109/SOCC.2011.6085117},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ArumugamSBV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/AungLS11,
  author       = {Yan Lin Aung and
                  Siew Kei Lam and
                  Thambipillai Srikanthan},
  title        = {Compiler-assisted technique for rapid performance estimation of FPGA-based
                  processors},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {341--346},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085116},
  doi          = {10.1109/SOCC.2011.6085116},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/AungLS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/BrandstatterH11,
  author       = {Siegfried Brandst{\"{a}}tter and
                  Mario Huemer},
  title        = {{VFSMC} - a core for cycle accurate multithreaded processing in hard
                  real-time Systems-on-Chip},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {312--317},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085111},
  doi          = {10.1109/SOCC.2011.6085111},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/BrandstatterH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Burns11,
  author       = {Jeffrey L. Burns},
  title        = {Technology trends and implications on SoC design},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {386},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085094},
  doi          = {10.1109/SOCC.2011.6085094},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Burns11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/CaoB11,
  author       = {Yuan Cao and
                  Amine Bermak},
  title        = {An analog gamma correction method for high dynamic range applications},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {318--322},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085112},
  doi          = {10.1109/SOCC.2011.6085112},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/CaoB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Chakrabarty11,
  author       = {Krishnendu Chakrabarty},
  title        = {Design and optimization methods for digital microfluidic biochips:
                  {A} vision for functional diversity and more than moore},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {5},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085141},
  doi          = {10.1109/SOCC.2011.6085141},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Chakrabarty11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ChangJ11,
  author       = {Mu{-}Tien Chang and
                  Bruce L. Jacob},
  title        = {An analytical model to estimate {PCM} failure probability due to process
                  variations},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {174--177},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085128},
  doi          = {10.1109/SOCC.2011.6085128},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/ChangJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ChaoCT11,
  author       = {An{-}Sheng Chao and
                  Soon{-}Jyh Chang and
                  Hsin{-}Wen Ting},
  title        = {A {SAR} {ADC} {BIST} for simplified linearity test},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {146--149},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085122},
  doi          = {10.1109/SOCC.2011.6085122},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ChaoCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ChaoYLW11,
  author       = {Chih{-}Hao Chao and
                  Tsu{-}Chu Yin and
                  Shu{-}Yen Lin and
                  An{-}Yeu Wu},
  title        = {Transport Layer Assisted Routing for Non-Stationary Irregular mesh
                  of thermal-aware 3D Network-on-Chip systems},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {284--289},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085086},
  doi          = {10.1109/SOCC.2011.6085086},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ChaoYLW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ChenCL11,
  author       = {Yi{-}Rong Chen and
                  Hung{-}Ming Chen and
                  Shih{-}Ying Liu},
  title        = {TSV-based 3D-IC placement for timing optimization},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {290--295},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085087},
  doi          = {10.1109/SOCC.2011.6085087},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ChenCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ChenWHL11,
  author       = {Yen{-}An Chen and
                  Chun{-}Yao Wang and
                  Ching{-}Yi Huang and
                  Hsiu{-}Yi Lin},
  title        = {A register-transfer level testability analyzer},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {219--224},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085107},
  doi          = {10.1109/SOCC.2011.6085107},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ChenWHL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ChengHLL11,
  author       = {Chang{-}Hsin Cheng and
                  Chun{-}Lung Hsu and
                  Chung{-}Kai Liu and
                  Shih{-}Yin Lin},
  title        = {High reliability built-in self-detection and self-correction design
                  for {DCT/IDCT} application},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {213--218},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085106},
  doi          = {10.1109/SOCC.2011.6085106},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ChengHLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Chiras11,
  author       = {Stefanie Chiras},
  title        = {The pending arrival of Phase Change Memory: The implications on the
                  memory-storage hierarchy and on future systems development},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {387},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085095},
  doi          = {10.1109/SOCC.2011.6085095},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Chiras11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Chiueh11,
  author       = {Tzi{-}Dar Chiueh},
  title        = {Baseband signal processing in {SDR}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {258},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085144},
  doi          = {10.1109/SOCC.2011.6085144},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Chiueh11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/CuiPCZLZG11,
  author       = {Ying Cui and
                  Xiao Peng and
                  Zhixiang Chen and
                  Xiongxin Zhao and
                  Yichao Lu and
                  Dajiang Zhou and
                  Satoshi Goto},
  title        = {Ultra low power {QC-LDPC} decoder with high parallelism},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {142--145},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085136},
  doi          = {10.1109/SOCC.2011.6085136},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/CuiPCZLZG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/DeivasigamaniTMIALJ11,
  author       = {Meganathan Deivasigamani and
                  Shaghayeghsadat Tabatabaei and
                  Naveed Ul Mustafa and
                  Hamza Ijaz and
                  Haris Bin Aslam and
                  Shaoteng Liu and
                  Axel Jantsch},
  title        = {Concept and design of exhaustive-parallel search algorithm for Network-on-Chip},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {150--155},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085123},
  doi          = {10.1109/SOCC.2011.6085123},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/DeivasigamaniTMIALJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/DengLR11,
  author       = {Shin{-}Liang Deng and
                  Chun{-}Yi Li and
                  Robert Rieger},
  title        = {Double-differential recording and {AGC} using amplifier {ASIC}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085121},
  doi          = {10.1109/SOCC.2011.6085121},
  timestamp    = {Mon, 05 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/DengLR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/DeyB11,
  author       = {Abhisek Dey and
                  Tarun Kanti Bhattacharyya},
  title        = {Low power 120 {KSPS} 12bit {SAR} {ADC} with a novel switch control
                  method for internal {CDAC}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {76--80},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085099},
  doi          = {10.1109/SOCC.2011.6085099},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/DeyB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/DuCYH11,
  author       = {Wei{-}Hung Du and
                  Ming{-}Hung Chang and
                  Hao{-}Yi Yang and
                  Wei Hwang},
  title        = {An energy-efficient 10T SRAM-based {FIFO} memory operating in near-/sub-threshold
                  regions},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {19--23},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085069},
  doi          = {10.1109/SOCC.2011.6085069},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/DuCYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/FischerR11,
  author       = {Godi Fischer and
                  H. Thomas Rossby},
  title        = {A silicon core for an acoustic archival tag},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {63--69},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085097},
  doi          = {10.1109/SOCC.2011.6085097},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/FischerR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/GongTWS11,
  author       = {Na Gong and
                  Geng Tang and
                  Jinhui Wang and
                  Ramalingam Sridhar},
  title        = {Novel adaptive keeper {LBL} technique for low power and high performance
                  register files},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {30--35},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085071},
  doi          = {10.1109/SOCC.2011.6085071},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/GongTWS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/GongTWS11a,
  author       = {Na Gong and
                  Geng Tang and
                  Jinhui Wang and
                  Ramalingam Sridhar},
  title        = {Low power tri-state register files design for modern out-of-order
                  processors},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {323--328},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085113},
  doi          = {10.1109/SOCC.2011.6085113},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/GongTWS11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/GongWS11,
  author       = {Na Gong and
                  Jinhui Wang and
                  Ramalingam Sridhar},
  title        = {{PVT} variations aware optimal sleep vector determination of dual
                  {VT} domino {OR} circuits},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {359--364},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085092},
  doi          = {10.1109/SOCC.2011.6085092},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/GongWS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/GuderianFWF11,
  author       = {Falko Guderian and
                  Erik Fischer and
                  Markus Winter and
                  Gerhard P. Fettweis},
  title        = {Fair rate packet arbitration in Network-on-Chip},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {278--283},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085085},
  doi          = {10.1109/SOCC.2011.6085085},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/GuderianFWF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/GuoYZWT11,
  author       = {Liucheng Guo and
                  Jiangfang Yi and
                  Liang Zhang and
                  Xiaoyin Wang and
                  Dong Tong},
  title        = {{CGA:} Combining cluster analysis with genetic algorithm for regression
                  suite reduction of microprocessors},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {207--212},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085105},
  doi          = {10.1109/SOCC.2011.6085105},
  timestamp    = {Mon, 15 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/GuoYZWT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HsiehYLLC11,
  author       = {Wen{-}Tsan Hsieh and
                  Jen{-}Chieh Yeh and
                  Shih{-}Che Lin and
                  Hsing{-}Chuang Liu and
                  Yi{-}Siou Chen},
  title        = {System power analysis with {DVFS} on {ESL} virtual platform},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {93--98},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085102},
  doi          = {10.1109/SOCC.2011.6085102},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HsiehYLLC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HsinCCLW11,
  author       = {Hsien{-}Kai Hsin and
                  En{-}Jui Chang and
                  Chih{-}Hao Chao and
                  Shu{-}Yen Lin and
                  An{-}Yeu Wu},
  title        = {Multi-Pheromone ACO-based routing in Network-on-Chip system inspired
                  by economic phenomenon},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {273--277},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085084},
  doi          = {10.1109/SOCC.2011.6085084},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/HsinCCLW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HsuKKT11,
  author       = {Jen{-}Yuan Hsu and
                  Chien{-}Yu Kao and
                  Ping{-}Heng Kuo and
                  Pangan Ting},
  title        = {Configurable baseband designs and implementations of WiMAX/LTE dual
                  systems based on multi-core {DSP}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {265--271},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085146},
  doi          = {10.1109/SOCC.2011.6085146},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HsuKKT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HuangCH11,
  author       = {Po{-}Tsang Huang and
                  Yung Chang and
                  Wei Hwang},
  title        = {On-demand memory sub-system for multi-core SoCs},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {122--127},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085132},
  doi          = {10.1109/SOCC.2011.6085132},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HuangCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HuangCLW11,
  author       = {Chien{-}Chih Huang and
                  Jwu{-}E Chen and
                  Pei{-}Wen Luo and
                  Chin{-}Long Wey},
  title        = {Yield-award placement optimization for Switched-Capacitor analog integrated
                  circuits},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {170--173},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085127},
  doi          = {10.1109/SOCC.2011.6085127},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HuangCLW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HuangLCH11,
  author       = {Tsung{-}Wei Huang and
                  Yan{-}You Lin and
                  Jia{-}Wen Chang and
                  Tsung{-}Yi Ho},
  title        = {Recent research and emerging challenges in design and optimization
                  for digital microfluidic biochips},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {12--17},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085143},
  doi          = {10.1109/SOCC.2011.6085143},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HuangLCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Intrater11,
  author       = {Gideon D. Intrater},
  title        = {Plenary: Boosting performance efficiency in multiprocessor systems
                  through multi-threading},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {178},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085140},
  doi          = {10.1109/SOCC.2011.6085140},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Intrater11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/JiaF11,
  author       = {Xiaoyan Jia and
                  Gerhard P. Fettweis},
  title        = {Integration of code optimization and hardware exploration for a {VLIW}
                  architecture by using fuzzy control system},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {36--41},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085072},
  doi          = {10.1109/SOCC.2011.6085072},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/JiaF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/JiaoK11,
  author       = {Hailong Jiao and
                  Volkan Kursun},
  title        = {Sleep signal slew rate modulation for mode transition noise suppression
                  in ground gated integrated circuits},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {365--370},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085093},
  doi          = {10.1109/SOCC.2011.6085093},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/JiaoK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/KaushikSS11,
  author       = {Samarth Kaushik and
                  Amit Kumar Singh and
                  Thambipillai Srikanthan},
  title        = {Computation and communication aware run-time mapping for NoC-based
                  MPSoC platforms},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {185--190},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085078},
  doi          = {10.1109/SOCC.2011.6085078},
  timestamp    = {Mon, 14 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/KaushikSS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/KawashimaOTS11,
  author       = {Junya Kawashima and
                  Hiroyuki Ochi and
                  Hiroshi Tsutsui and
                  Takashi Sato},
  title        = {A design strategy for sub-threshold circuits considering energy-minimization
                  and yield-maximization},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {57--62},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085076},
  doi          = {10.1109/SOCC.2011.6085076},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/KawashimaOTS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/KoppulaBS11,
  author       = {Rajaram Mohan Roy Koppula and
                  Sakkarapani Balagopal and
                  Vishal Saxena},
  title        = {Efficient design and synthesis of decimation filters for wideband
                  delta-sigma ADCs},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {380--385},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085120},
  doi          = {10.1109/SOCC.2011.6085120},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/KoppulaBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/KuoSZ11,
  author       = {Po{-}Yu Kuo and
                  Siwat Saibua and
                  Dian Zhou},
  title        = {A novel approach to estimate the impact of analog circuit performance
                  based on the small signal model under process variations},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {70--75},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085098},
  doi          = {10.1109/SOCC.2011.6085098},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/KuoSZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Lee11,
  author       = {Jri Lee},
  title        = {Tutorial: "Design of high-speed wireline transceivers"},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {353},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085149},
  doi          = {10.1109/SOCC.2011.6085149},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Lee11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LinS11,
  author       = {Yi{-}Li Lin and
                  Alvin W. Y. Su},
  title        = {Functional verifications for SoC software/hardware co-design: From
                  virtual platform to physical platform},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {201--206},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085104},
  doi          = {10.1109/SOCC.2011.6085104},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/LinS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LuMS11,
  author       = {Ye Lu and
                  John V. McCanny and
                  Sakir Sezer},
  title        = {Exploring Virtual-Channel architecture in {FPGA} based Networks-on-Chip},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {302--307},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085089},
  doi          = {10.1109/SOCC.2011.6085089},
  timestamp    = {Fri, 02 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/LuMS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MajiM11,
  author       = {Supriyo Maji and
                  Pradip Mandal},
  title        = {A {CAD} methodology for automatic topology selection {\&} sizing},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {87--92},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085101},
  doi          = {10.1109/SOCC.2011.6085101},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MajiM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MauricioMA11,
  author       = {Joan Mauricio and
                  Francesc Moll and
                  Josep Altet},
  title        = {Monitor strategies for variability reduction considering correlation
                  between power and timing variability},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {225--230},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085081},
  doi          = {10.1109/SOCC.2011.6085081},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MauricioMA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MesgarzadehZA11,
  author       = {Behzad Mesgarzadeh and
                  Iman Esmaeil Zadeh and
                  Atila Alvandpour},
  title        = {A multi-segment clocking scheme to reduce on-chip {EMI}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {251--255},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085110},
  doi          = {10.1109/SOCC.2011.6085110},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MesgarzadehZA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MezhibovskyTF11,
  author       = {Janna Mezhibovsky and
                  Adam Teman and
                  Alexander Fish},
  title        = {Low voltage SRAMs and the scalability of the 9T Supply Feedback {SRAM}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {136--141},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085135},
  doi          = {10.1109/SOCC.2011.6085135},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MezhibovskyTF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MoreiraOPC11,
  author       = {Matheus T. Moreira and
                  Bruno Cruz de Oliveira and
                  Julian J. H. Pontes and
                  Ney Calazans},
  title        = {A 65nm standard cell set and flow dedicated to automated asynchronous
                  circuits design},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {99--104},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085103},
  doi          = {10.1109/SOCC.2011.6085103},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MoreiraOPC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MouMYMT11,
  author       = {Shouxian Mou and
                  Kaixue Ma and
                  Kiat Seng Yeo and
                  Nagarajan Mahalingam and
                  Bharatha Kumar Thangarasu},
  title        = {A low power wide tuning range {VCO} with coupled {LC} tanks},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {52--56},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085075},
  doi          = {10.1109/SOCC.2011.6085075},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MouMYMT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/NaqviDKB11,
  author       = {Syed R. Naqvi and
                  Ilker Deligoz and
                  Sayfe Kiaei and
                  Bertan Bakkaloglu},
  title        = {Dynamic calibration of feedback {DAC} non-linearity for a 4\({}^{\mbox{th}}\)
                  order {CT} sigma delta for digital hearing aids},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {109--113},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085129},
  doi          = {10.1109/SOCC.2011.6085129},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/NaqviDKB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PandaAV11,
  author       = {Amayika Panda and
                  Annie Avakian and
                  Ranga Vemuri},
  title        = {Configurable workload generators for multicore architectures},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {179--184},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085077},
  doi          = {10.1109/SOCC.2011.6085077},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PandaAV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PonsMRAVG11,
  author       = {Marc Pons and
                  Francesc Moll and
                  Antonio Rubio and
                  Jaume Abella and
                  Xavier Vera and
                  Antonio Gonz{\'{a}}lez},
  title        = {Design of complex circuits using the Via-Configurable transistor array
                  regular layout fabric},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {166--169},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085126},
  doi          = {10.1109/SOCC.2011.6085126},
  timestamp    = {Thu, 06 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PonsMRAVG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PopMM11,
  author       = {Paul Pop and
                  Elena Maftei and
                  Jan Madsen},
  title        = {Recent research and emerging challenges in the System-Level Design
                  of digital microfluidic biochips},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {6--11},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085142},
  doi          = {10.1109/SOCC.2011.6085142},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/PopMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PrakashLCS11,
  author       = {Alok Prakash and
                  Siew Kei Lam and
                  Christopher T. Clarke and
                  Thambipillai Srikanthan},
  title        = {Instruction set customization for area-constrained {FPGA} designs},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {329--334},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085114},
  doi          = {10.1109/SOCC.2011.6085114},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PrakashLCS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/RashedEI11,
  author       = {Maher Rashed and
                  Mohamed A. Abd El{-}Ghany and
                  Mohammed Ismail},
  title        = {Power characteristics of Asynchronous Networks-on-Chip},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {160--165},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085125},
  doi          = {10.1109/SOCC.2011.6085125},
  timestamp    = {Tue, 24 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/RashedEI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/SalemAHEEDNA11,
  author       = {Rami F. Salem and
                  Ahmed Arafa and
                  Sherif Hany and
                  Abdelrahman ElMously and
                  Haitham Eissa and
                  Mohamed Dessouky and
                  David Nairn and
                  Mohab H. Anis},
  title        = {A parametric {DFM} solution for analog circuits: Electrical driven
                  hot spot detection, analysis and correction flow},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {231--236},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085082},
  doi          = {10.1109/SOCC.2011.6085082},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/SalemAHEEDNA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/SanusiB11,
  author       = {Azeez Sanusi and
                  Magdy A. Bayoumi},
  title        = {De-Cache: {A} novel caching scheme for large-scale NoC based multiprocessor
                  systems-on-chips},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {191--196},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085079},
  doi          = {10.1109/SOCC.2011.6085079},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/SanusiB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ShiT11,
  author       = {Kaijian Shi and
                  David Tester},
  title        = {Well tapping methodologies in power-gating design},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {128--131},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085133},
  doi          = {10.1109/SOCC.2011.6085133},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ShiT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ShiehFTY11,
  author       = {Ming{-}Der Shieh and
                  Shih{-}Hao Fang and
                  Shing{-}Chung Tang and
                  Der{-}Wei Yang},
  title        = {{VLSI} design of area-efficient memory access architectures for quasi-cyclic
                  {LDPC} codes},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {242--246},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085108},
  doi          = {10.1109/SOCC.2011.6085108},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ShiehFTY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ShihCSTL11,
  author       = {Tzu{-}Chun Shih and
                  Tsan{-}Wen Chen and
                  Wei{-}Hao Sung and
                  Ping{-}Yuan Tsai and
                  Chen{-}Yi Lee},
  title        = {An energy-efficient OFDM-based baseband transceiver design for ubiquitous
                  healthcare monitoring applications},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {371--375},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085118},
  doi          = {10.1109/SOCC.2011.6085118},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ShihCSTL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ShinDLALNH11,
  author       = {Jeonghee Shin and
                  John A. Darringer and
                  Guojie Luo and
                  Merav Aharoni and
                  Alexey Lvov and
                  Gi{-}Joon Nam and
                  Michael B. Healy},
  title        = {Floorplanning challenges in early chip planning},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {388--393},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085096},
  doi          = {10.1109/SOCC.2011.6085096},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ShinDLALNH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/SinghF11,
  author       = {Virendra Singh and
                  Masahiro Fujita},
  title        = {Tutorial: "Post silicon debug of {SOC} designs"},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {18},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085147},
  doi          = {10.1109/SOCC.2011.6085147},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/SinghF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/SuHLCSC11,
  author       = {Yi{-}Pei Su and
                  Wei{-}Yi Hu and
                  Jia{-}Wei Lin and
                  Yun{-}Chung Chen and
                  Sakir Sezer and
                  Sao{-}Jie Chen},
  title        = {Low power Gm-boosted differential Colpitts {VCO}},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {247--250},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085109},
  doi          = {10.1109/SOCC.2011.6085109},
  timestamp    = {Mon, 05 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/SuHLCSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/TaiNK11,
  author       = {Harry Tai and
                  Peter Noel and
                  Tad A. Kwasniewski},
  title        = {A reduced signal feed-through 6-tap pre-emphasis circuit for use in
                  a 10GB/S backplane communications system},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {114--117},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085130},
  doi          = {10.1109/SOCC.2011.6085130},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/TaiNK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ThirugnanamH11,
  author       = {Rajesh Thirugnanam and
                  Dong Sam Ha},
  title        = {Feasibility study for communication over Power Distribution Networks
                  of microprocessors},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {118--121},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085131},
  doi          = {10.1109/SOCC.2011.6085131},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ThirugnanamH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ThomasRB11,
  author       = {Alexander Thomas and
                  Michael R{\"{u}}ckauer and
                  J{\"{u}}rgen Becker},
  title        = {HoneyComb: {A} multi-grained dynamically reconfigurable runtime adaptive
                  hardware architecture},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {335--340},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085115},
  doi          = {10.1109/SOCC.2011.6085115},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ThomasRB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/TsaiCL11,
  author       = {Ping{-}Yuan Tsai and
                  Tsan{-}Wen Chen and
                  Chen{-}Yi Lee},
  title        = {A low-power all-digital phase modulator pair for {LINC} transmitters},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {48--51},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085074},
  doi          = {10.1109/SOCC.2011.6085074},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/TsaiCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/TuLH11,
  author       = {Wen{-}Pin Tu and
                  Yen{-}Hsin Lee and
                  Shih{-}Hsu Huang},
  title        = {{TSV} sharing through multiplexing for {TSV} count minimization in
                  high-level synthesis},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {156--159},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085124},
  doi          = {10.1109/SOCC.2011.6085124},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/TuLH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Wang11,
  author       = {Laung{-}Terng Wang},
  title        = {Luncheon Speaker: "Introduction to SoC testing"},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {256--257},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085153},
  doi          = {10.1109/SOCC.2011.6085153},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Wang11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/WangOBBBP11,
  author       = {Lei Wang and
                  Markus Olbrich and
                  Erich Barke and
                  Thomas B{\"{u}}chner and
                  Markus B{\"{u}}hler and
                  Philipp V. Panitz},
  title        = {A gate sizing method for glitch power reduction},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {24--29},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085070},
  doi          = {10.1109/SOCC.2011.6085070},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/WangOBBBP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/WangYSH11,
  author       = {Yi{-}Ming Wang and
                  Jen{-}Tsung Yu and
                  Yuandi Surya and
                  Chung{-}Hsun Huang},
  title        = {A compact delay-recycled clock skew-compensation and/or duty-cycle-correction
                  circuit},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {42--47},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085073},
  doi          = {10.1109/SOCC.2011.6085073},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/WangYSH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/WenLWCTC11,
  author       = {Shui{-}An Wen and
                  Huang{-}Lun Lin and
                  Chi Wu and
                  Chun{-}Chin Chen and
                  Kun{-}Hsien Tsai and
                  Wei{-}Min Cheng},
  title        = {Power-aware design technique for {PAC} Duo based embedded system},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {132--135},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085134},
  doi          = {10.1109/SOCC.2011.6085134},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/WenLWCTC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/YamajiW11,
  author       = {Yuichiro Yamaji and
                  Minoru Watanabe},
  title        = {A 144-configuration context {MEMS} optically reconfigurable gate array},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {237--241},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085083},
  doi          = {10.1109/SOCC.2011.6085083},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/YamajiW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/YanSC11,
  author       = {Jin{-}Tai Yan and
                  Tung{-}Yen Sung and
                  Zhi{-}Wei Chen},
  title        = {Simultaneous escape routing based on routability-driven net ordering},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {81--86},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085100},
  doi          = {10.1109/SOCC.2011.6085100},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/YanSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/YangCCCCWH11,
  author       = {Chih{-}Chyau Yang and
                  Nien{-}Hsiang Chang and
                  Shih{-}Lun Chen and
                  Wei{-}De Chien and
                  Chi{-}Shi Chen and
                  Chien{-}Ming Wu and
                  Chun{-}Ming Huang},
  title        = {A novel methodology for Multi-Project System-on-a-Chip},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {308--311},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085090},
  doi          = {10.1109/SOCC.2011.6085090},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/YangCCCCWH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/YangYHLLCCLCCHJLLLSWLH11,
  author       = {Hao{-}I Yang and
                  Shih{-}Chi Yang and
                  Mao{-}Chih Hsia and
                  Yung{-}Wei Lin and
                  Yi{-}Wei Lin and
                  Chien{-}Hen Chen and
                  Chi{-}Shin Chang and
                  Geng{-}Cing Lin and
                  Yin{-}Nien Chen and
                  Ching{-}Te Chuang and
                  Wei Hwang and
                  Shyh{-}Jye Jou and
                  Nan{-}Chun Lien and
                  Hung{-}Yu Li and
                  Kuen{-}Di Lee and
                  Wei{-}Chiang Shih and
                  Ya{-}Ping Wu and
                  Wen{-}Ta Lee and
                  Chih{-}Chiang Hsu},
  title        = {A high-performance low {VMIN} 55nm 512Kb disturb-free 8T {SRAM} with
                  adaptive {VVSS} control},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {197--200},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085080},
  doi          = {10.1109/SOCC.2011.6085080},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/YangYHLLCCLCCHJLLLSWLH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/YaoGYS11,
  author       = {Kai{-}Wen Yao and
                  Cihun{-}Siyong Alex Gong and
                  Shan{-}Ci Yang and
                  Muh{-}Tian Shiue},
  title        = {Design of a neural recording amplifier with tunable pseudo resistors},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {376--379},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085119},
  doi          = {10.1109/SOCC.2011.6085119},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/YaoGYS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ZhaoTYZM11,
  author       = {Yan Zhao and
                  Nianxiong Tan and
                  Kun Yang and
                  Shupeng Zhong and
                  Changyou Men},
  title        = {A single-phase energy metering SoC with {IAS-DSP} and ultra low power
                  metering mode},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {354--358},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085091},
  doi          = {10.1109/SOCC.2011.6085091},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ZhaoTYZM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ZhengKCHCL11,
  author       = {Yi{-}Xue Zheng and
                  Po{-}Ping Kan and
                  Liang{-}Bi Chen and
                  Kai{-}Yang Hsieh and
                  Bo{-}Chuan Cheng and
                  Katherine Shu{-}Min Li},
  title        = {Fault tolerant application-specific NoC topology synthesis for three-dimensional
                  integrated circuits},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {296--301},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085088},
  doi          = {10.1109/SOCC.2011.6085088},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ZhengKCHCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ZhouLZW11,
  author       = {Ruolin Zhou and
                  Xue Li and
                  Jian Zhang and
                  Zhiqiang Wu},
  title        = {Software defined radio based frequency domain chaotic cognitive radio},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {259--264},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085145},
  doi          = {10.1109/SOCC.2011.6085145},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ZhouLZW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/socc/2011,
  title        = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6076821/proceeding},
  isbn         = {978-1-4577-1616-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics